WorldWideScience

Sample records for semiconductor technology fabrication

  1. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian

    2013-04-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment are required, this design is not only easier from a technological point of view, but it also has the potential to reduce damage introduced to the semiconductor during fabrication. The device shows a similar magnetoresistance ratio as a conventional one but it has a lower sensitivity. Because of the reduced resistance, and hence less noise, high magnetic field resolution is maintained. © 1980-2012 IEEE.

  2. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  3. Microplasma fabrication: from semiconductor technology for 2D-chips and microfluidic channels to rapid prototyping and 3D-printing of microplasma devices

    Science.gov (United States)

    Shatford, R.; Karanassios, Vassili

    2014-05-01

    Microplasmas are receiving attention in recent conferences and current scientific literature. In our laboratory, microplasmas-on-chips proved to be particularly attractive. The 2D- and 3D-chips we developed became hybrid because they were fitted with a quartz plate (quartz was used due to its transparency to UV). Fabrication of 2D- and 3D-chips for microplasma research is described. The fabrication methods described ranged from semiconductor fabrication technology, to Computer Numerical Control (CNC) machining, to 3D-printing. These methods may prove to be useful for those contemplating in entering microplasma research but have no access to expensive semiconductor fabrication equipment.

  4. Compact Submillimeter-Wave Receivers Made with Semiconductor Nano-Fabrication Technologies

    Science.gov (United States)

    Jung, C.; Thomas, B.; Lee, C.; Peralta, A.; Chattopadhyay, G.; Gill, J.; Cooper, K.; Mehdi, I.

    2011-01-01

    Advanced semiconductor nanofabrication techniques are utilized to design, fabricate and demonstrate a super-compact, low-mass (<10 grams) submillimeter-wave heterodyne front-end. RF elements such as waveguides and channels are fabricated in a silicon wafer substrate using deep-reactive ion etching (DRIE). Etched patterns with sidewalls angles controlled with 1 deg precision are reported, while maintaining a surface roughness of better than 20 nm rms for the etched structures. This approach is being developed to build compact 2-D imaging arrays in the THz frequency range.

  5. All-polymer organic semiconductor laser chips: Parallel fabrication and encapsulation

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Klinkhammer, Sönke; Christiansen, Mads Brøkner

    2010-01-01

    Organic semiconductor lasers are of particular interest as tunable visible laser light sources. For bringing those to market encapsulation is needed to ensure practicable lifetimes. Additionally, fabrication technologies suitable for mass production must be used. We introduce all-polymer chips...... comprising encapsulated distributed feedback organic semiconductor lasers. Several chips are fabricated in parallel by thermal nanoimprint of the feedback grating on 4? wafer scale out of poly(methyl methacrylate) (PMMA) and cyclic olefin copolymer (COC). The lasers consisting of the organic semiconductor...... tris(8- hydroxyquinoline) aluminum (Alq3) doped with the laser dye 4-dicyanomethylene-2- methyl-6-(p-dimethylaminostyril)-4H-pyrane (DCM) are hermetically sealed by thermally bonding a polymer lid. The organic thin film is placed in a basin within the substrate and is not in direct contact to the lid...

  6. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  7. Flexible MEMS: A novel technology to fabricate flexible sensors and electronics

    Science.gov (United States)

    Tu, Hongen

    This dissertation presents the design and fabrication techniques used to fabricate flexible MEMS (Micro Electro Mechanical Systems) devices. MEMS devices and CMOS(Complementary Metal-Oxide-Semiconductor) circuits are traditionally fabricated on rigid substrates with inorganic semiconductor materials such as Silicon. However, it is highly desirable that functional elements like sensors, actuators or micro fluidic components to be fabricated on flexible substrates for a wide variety of applications. Due to the fact that flexible substrate is temperature sensitive, typically only low temperature materials, such as polymers, metals, and organic semiconductor materials, can be directly fabricated on flexible substrates. A novel technology based on XeF2(xenon difluoride) isotropic silicon etching and parylene conformal coating, which is able to monolithically incorporate high temperature materials and fluidic channels, was developed at Wayne State University. The technology was first implemented in the development of out-of-plane parylene microneedle arrays that can be individually addressed by integrated flexible micro-channels. These devices enable the delivery of chemicals with controlled temporal and spatial patterns and allow us to study neurotransmitter-based retinal prosthesis. The technology was further explored by adopting the conventional SOI-CMOS processes. High performance and high density CMOS circuits can be first fabricated on SOI wafers, and then be integrated into flexible substrates. Flexible p-channel MOSFETs (Metal-Oxide-Semiconductor Field-Effect-Transistors) were successfully integrated and tested. Integration of pressure sensors and flow sensors based on single crystal silicon has also been demonstrated. A novel smart yarn technology that enables the invisible integration of sensors and electronics into fabrics has been developed. The most significant advantage of this technology is its post-MEMS and post-CMOS compatibility. Various high

  8. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  9. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2013-01-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment

  10. CMOS MEMS Fabrication Technologies and Devices

    Directory of Open Access Journals (Sweden)

    Hongwei Qu

    2016-01-01

    Full Text Available This paper reviews CMOS (complementary metal-oxide-semiconductor MEMS (micro-electro-mechanical systems fabrication technologies and enabled micro devices of various sensors and actuators. The technologies are classified based on the sequence of the fabrication of CMOS circuitry and MEMS elements, while SOI (silicon-on-insulator CMOS MEMS are introduced separately. Introduction of associated devices follows the description of the respective CMOS MEMS technologies. Due to the vast array of CMOS MEMS devices, this review focuses only on the most typical MEMS sensors and actuators including pressure sensors, inertial sensors, frequency reference devices and actuators utilizing different physics effects and the fabrication processes introduced. Moreover, the incorporation of MEMS and CMOS is limited to monolithic integration, meaning wafer-bonding-based stacking and other integration approaches, despite their advantages, are excluded from the discussion. Both competitive industrial products and state-of-the-art research results on CMOS MEMS are covered.

  11. A modeling method of semiconductor fabrication flows with extended knowledge hybrid Petri nets

    Institute of Scientific and Technical Information of China (English)

    Zhou Binghai; Jiang Shuyu; Wang Shijin; Wu bin

    2008-01-01

    A modeling method of extended knowledge hybrid Petri nets (EKHPNs), incorporating object-oriented methods into hybrid Petri nets (HPNs), was presented and used for the representation and modeling of semiconductor wafer fabrication flows. To model the discrete and continuous parts of a complex semiconductor wafer fabrication flow, the HPNs were introduced into the EKHPNs. Object-oriented methods were combined into the EKHPNs for coping with the complexity of the fabrication flow. Knowledge annotations were introduced to solve input and output conflicts of the EKHPNs.Finally, to demonstrate the validity of the EKHPN method, a real semiconductor wafer fabrication case was used to illustrate the modeling procedure. The modeling results indicate that the proposed method can be used to model a complex semiconductor wafer fabrication flow expediently.

  12. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  13. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Fabrication of integrated metallic MEMS devices

    DEFF Research Database (Denmark)

    Yalcinkaya, Arda Deniz; Ravnkilde, Jan Tue; Hansen, Ole

    2002-01-01

    A simple and complementary metal oxide semiconductor (CMOS) compatible fabrication technique for microelectromechanical (MEMS) devices is presented. The fabrication technology makes use of electroplated metal layers. Among the fabricated devices, high quality factor microresonators are characteri......A simple and complementary metal oxide semiconductor (CMOS) compatible fabrication technique for microelectromechanical (MEMS) devices is presented. The fabrication technology makes use of electroplated metal layers. Among the fabricated devices, high quality factor microresonators...

  15. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  16. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  17. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  18. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  19. Technology of fabrication of silicon-lithium detector with superficial junction

    International Nuclear Information System (INIS)

    Cabal Rodriguez, A.E.; Diaz Garcia, A.; Noriega Scull, C.

    1997-01-01

    The Silicon nuclear radiation detectors transform the charge produced within the semiconductor crystal, product of the impinges of particles and X rays, in pulses of voltage at the output of the preamplifier. The planar Silicon-Lithium (Si(Li)) detector with superficial junction is basically a Pin structure diode. By mean of the diffusion and drift of Lithium in the Silicon a compensated or depletion region was created. There the incident radiation interacts with the Silicon, producing an electric signal proportional to the detector's energy deposited in the semiconductor. The technological process of fabrication this kind of detectors comprises several stages, some of them complex and of long duration. They also demand a systematic control. The technological process of Si(Li) detector's fabrication was carried out. The detector's fabrication electric characteristics were measured in some steps. An obtained device was mounted in the holder within a cryostat, in order to work to temperature of the liquid nitrogen. The energy resolution of the detector was measured and the value was 180 eV for the line of 5.9 KeV of an Fe-55 source. This value has allowed to work with the detector in energy disperse X-rays fluorescence. (author) [es

  20. Soft liquid phase adsorption for fabrication of organic semiconductor films on wettability patterned surfaces.

    Science.gov (United States)

    Watanabe, Satoshi; Akiyoshi, Yuri; Matsumoto, Mutsuyoshi

    2014-01-01

    We report a soft liquid-phase adsorption (SLPA) technique for the fabrication of organic semiconductor films on wettability-patterned substrates using toluene/water emulsions. Wettability-patterned substrates were obtained by the UV-ozone treatment of self-assembled monolayers of silane coupling agents on glass plates using a metal mask. Organic semiconductor polymer films were formed selectively on the hydrophobic part of the wettability-patterned substrates. The thickness of the films fabricated by the SLPA technique is significantly larger than that of the films fabricated by dip-coating and spin-coating techniques. The film thickness can be controlled by adjusting the volume ratio of toluene to water, immersion angle, immersion temperature, and immersion time. The SLPA technique allows for the direct production of organic semiconductor films on wettability-patterned substrates with minimized material consumption and reduced number of fabrication steps.

  1. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  2. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  3. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  4. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  5. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  6. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  7. Zinc Alloys for the Fabrication of Semiconductor Devices

    Science.gov (United States)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  8. Semiconductor light sources fabricated by vapor phase epitaxial regrowth

    International Nuclear Information System (INIS)

    Powazinik, W.; Olshansky, R.; Meland, E.; Lauer, R.B.

    1986-01-01

    An extremely versatile technique for the fabrication of semiconductor light sources is described. The technique which is based on the halide vapor phase regrowth (VPR) of InP on channeled and selectively etched InGaAsP/InP double heterostructure material, results in a buried heterostructure (BH) index-guided VPR-BH diode laser structure which can be optimized for a number of different types of semiconductor light sources. The conditions and parameters associated with the halide VPR process are given, and the properties of the regrown InP are reported. The processing and characterization of high-frequency lasers with 18-GHz bandwidths and high-power lasers with cw single-spatial-mode powers of 60 mW are described. Additionally, the fabrication and characterization of superluminescent LEDs based on the this basic VPR-BH structure are described. These LEDs are capable of coupling more than 80 μW of optical power into a single-mode fiber at 100 mA, and can couple as much as 8 μW of optical power into a single-mode fiber at drive currents as low as 20 mA

  9. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  10. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  11. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  12. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  13. Inspection logistics planning for multi-stage production systems with applications to semiconductor fabrication lines

    Science.gov (United States)

    Chen, Kyle Dakai

    Since the market for semiconductor products has become more lucrative and competitive, research into improving yields for semiconductor fabrication lines has lately received a tremendous amount of attention. One of the most critical tasks in achieving such yield improvements is to plan the in-line inspection sampling efficiently so that any potential yield problems can be detected early and eliminated quickly. We formulate a multi-stage inspection planning model based on configurations in actual semiconductor fabrication lines, specifically taking into account both the capacity constraint and the congestion effects at the inspection station. We propose a new mixed First-Come-First-Serve (FCFS) and Last-Come-First-Serve (LCFS) discipline for serving the inspection samples to expedite the detection of potential yield problems. Employing this mixed FCFS and LCFS discipline, we derive approximate expressions for the queueing delays in yield problem detection time and develop near-optimal algorithms to obtain the inspection logistics planning policies. We also investigate the queueing performance with this mixed type of service discipline under different assumptions and configurations. In addition, we conduct numerical tests and generate managerial insights based on input data from actual semiconductor fabrication lines. To the best of our knowledge, this research is novel in developing, for the first time in the literature, near-optimal results for inspection logistics planning in multi-stage production systems with congestion effects explicitly considered.

  14. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  15. Fabrication of combinatorial nm-planar electrode array for high throughput evaluation of organic semiconductors

    International Nuclear Information System (INIS)

    Haemori, M.; Edura, T.; Tsutsui, K.; Itaka, K.; Wada, Y.; Koinuma, H.

    2006-01-01

    We have fabricated a combinatorial nm-planar electrode array by using photolithography and chemical mechanical polishing processes for high throughput electrical evaluation of organic devices. Sub-nm precision was achieved with respect to the average level difference between each pair of electrodes and a dielectric layer. The insulating property between the electrodes is high enough to measure I-V characteristics of organic semiconductors. Bottom-contact field-effect-transistors (FETs) of pentacene were fabricated on this electrode array by use of molecular beam epitaxy. It was demonstrated that the array could be used as a pre-patterned device substrate for high throughput screening of the electrical properties of organic semiconductors

  16. Review of the Semiconductor Industry and Technology Roadmap.

    Science.gov (United States)

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  17. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  18. High-Performance WSe2 Complementary Metal Oxide Semiconductor Technology and Integrated Circuits.

    Science.gov (United States)

    Yu, Lili; Zubair, Ahmad; Santos, Elton J G; Zhang, Xu; Lin, Yuxuan; Zhang, Yuhao; Palacios, Tomás

    2015-08-12

    Because of their extraordinary structural and electrical properties, two-dimensional materials are currently being pursued for applications such as thin-film transistors and integrated circuit. One of the main challenges that still needs to be overcome for these applications is the fabrication of air-stable transistors with industry-compatible complementary metal oxide semiconductor (CMOS) technology. In this work, we experimentally demonstrate a novel high performance air-stable WSe2 CMOS technology with almost ideal voltage transfer characteristic, full logic swing and high noise margin with different supply voltages. More importantly, the inverter shows large voltage gain (∼38) and small static power (picowatts), paving the way for low power electronic system in 2D materials.

  19. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  20. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  1. Thermal Management of Power Semiconductor Packages - Matching Cooling Technologies with Packaging Technologies (Presentation)

    Energy Technology Data Exchange (ETDEWEB)

    Bennion, K.; Moreno, G.

    2010-04-27

    Heat removal for power semiconductor devices is critical for robust operation. Because there are different packaging options, different thermal management technologies, and a range of applications, there is a need for a methodology to match cooling technologies and package configurations to target applications. To meet this need, a methodology was developed to compare the sensitivity of cooling technologies on the overall package thermal performance over a range of power semiconductor packaging configurations. The results provide insight into the trade-offs associated with cooling technologies and package configurations. The approach provides a method for comparing new developments in power semiconductor packages and identifying potential thermal control technologies for the package. The results can help users select the appropriate combination of packaging configuration and cooling technology for the desired application.

  2. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  3. The fabrication of carbon nanotube field-effect transistors with semiconductors as the source and drain contact materials.

    Science.gov (United States)

    Xiao, Z; Camino, F E

    2009-04-01

    Sb(2)Te(3) and Bi(2)Te(2)Se semiconductor materials were used as the source and drain contact materials in the fabrication of carbon nanotube field-effect transistors (CNTFETs). Ultra-purified single-walled carbon nanotubes (SWCNTs) were ultrasonically dispersed in N-methyl pyrrolidone solvent. Dielectrophoresis was used to deposit and align SWCNTs for fabrication of CNTFETs. The Sb(2)Te(3)- and Bi(2)Te(2)Se-based CNTFETs demonstrate p-type metal-oxide-silicon-like I-V curves with high on/off drain-source current ratio at large drain-source voltages and good saturation of drain-source current with increasing drain-source voltage. The fabrication process developed is novel and has general meaning, and could be used for the fabrication of SWCNT-based integrated devices and systems with semiconductor contact materials.

  4. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  5. Fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam method

    International Nuclear Information System (INIS)

    Xu Xingsheng; Chen Hongda; Xiong Zhigang; Jin Aizi; Gu Changzhi; Cheng Bingying; Zhang Daozhong

    2007-01-01

    In this paper, we introduced the fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam machine, it shows that the method of focused-ion beam can fabricate two-dimensional photonic crystal and photonic crystal device efficiently, and the quality of the fabricated photonic crystal is high. Using the focused-ion beam method, we fabricate photonic crystal wavelength division multiplexer, and its characteristics are analyzed

  6. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  7. Fabrication of Semiconductor ZnO Nanostructures for Versatile SERS Application

    Directory of Open Access Journals (Sweden)

    Lili Yang

    2017-11-01

    Full Text Available Since the initial discovery of surface-enhanced Raman scattering (SERS in the 1970s, it has exhibited a huge potential application in many fields due to its outstanding advantages. Since the ultra-sensitive noble metallic nanostructures have increasingly exposed themselves as having some problems during application, semiconductors have been gradually exploited as one of the critical SERS substrate materials due to their distinctive advantages when compared with noble metals. ZnO is one of the most representative metallic oxide semiconductors with an abundant reserve, various and cost-effective fabrication techniques, as well as special physical and chemical properties. Thanks to the varied morphologies, size-dependent exciton, good chemical stability, a tunable band gap, carrier concentration, and stoichiometry, ZnO nanostructures have the potential to be exploited as SERS substrates. Moreover, other distinctive properties possessed by ZnO such as biocompatibility, photocatcalysis and self-cleaning, and gas- and chemo-sensitivity can be synergistically integrated and exerted with SERS activity to realize the multifunctional potential of ZnO substrates. In this review, we discuss the inevitable development trend of exploiting the potential semiconductor ZnO as a SERS substrate. After clarifying the root cause of the great disparity between the enhancement factor (EF of noble metals and that of ZnO nanostructures, two specific methods are put forward to improve the SERS activity of ZnO, namely: elemental doping and combination of ZnO with noble metals. Then, we introduce a distinctive advantage of ZnO as SERS substrate and illustrate the necessity of reporting a meaningful average EF. We also summarize some fabrication methods for ZnO nanostructures with varied dimensions (0–3 dimensions. Finally, we present an overview of ZnO nanostructures for the versatile SERS application.

  8. Humidity Sensors Principle, Mechanism, and Fabrication Technologies: A Comprehensive Review

    Directory of Open Access Journals (Sweden)

    Hamid Farahani

    2014-04-01

    Full Text Available Humidity measurement is one of the most significant issues in various areas of applications such as instrumentation, automated systems, agriculture, climatology and GIS. Numerous sorts of humidity sensors fabricated and developed for industrial and laboratory applications are reviewed and presented in this article. The survey frequently concentrates on the RH sensors based upon their organic and inorganic functional materials, e.g., porous ceramics (semiconductors, polymers, ceramic/polymer and electrolytes, as well as conduction mechanism and fabrication technologies. A significant aim of this review is to provide a distinct categorization pursuant to state of the art humidity sensor types, principles of work, sensing substances, transduction mechanisms, and production technologies. Furthermore, performance characteristics of the different humidity sensors such as electrical and statistical data will be detailed and gives an added value to the report. By comparison of overall prospects of the sensors it was revealed that there are still drawbacks as to efficiency of sensing elements and conduction values. The flexibility offered by thick film and thin film processes either in the preparation of materials or in the choice of shape and size of the sensor structure provides advantages over other technologies. These ceramic sensors show faster response than other types.

  9. Humidity Sensors Principle, Mechanism, and Fabrication Technologies: A Comprehensive Review

    Science.gov (United States)

    Farahani, Hamid; Wagiran, Rahman; Hamidon, Mohd Nizar

    2014-01-01

    Humidity measurement is one of the most significant issues in various areas of applications such as instrumentation, automated systems, agriculture, climatology and GIS. Numerous sorts of humidity sensors fabricated and developed for industrial and laboratory applications are reviewed and presented in this article. The survey frequently concentrates on the RH sensors based upon their organic and inorganic functional materials, e.g., porous ceramics (semiconductors), polymers, ceramic/polymer and electrolytes, as well as conduction mechanism and fabrication technologies. A significant aim of this review is to provide a distinct categorization pursuant to state of the art humidity sensor types, principles of work, sensing substances, transduction mechanisms, and production technologies. Furthermore, performance characteristics of the different humidity sensors such as electrical and statistical data will be detailed and gives an added value to the report. By comparison of overall prospects of the sensors it was revealed that there are still drawbacks as to efficiency of sensing elements and conduction values. The flexibility offered by thick film and thin film processes either in the preparation of materials or in the choice of shape and size of the sensor structure provides advantages over other technologies. These ceramic sensors show faster response than other types. PMID:24784036

  10. New semiconductor laser technology for gas sensing applications in the 1650nm range

    Science.gov (United States)

    Morrison, Gordon B.; Sherman, Jes; Estrella, Steven; Moreira, Renan L.; Leisher, Paul O.; Mashanovitch, Milan L.; Stephen, Mark; Numata, Kenji; Wu, Stewart; Riris, Haris

    2017-08-01

    Atmospheric methane (CH4) is the second most important anthropogenic greenhouse gas with approximately 25 times the radiative forcing of carbon dioxide (CO2) per molecule. CH4 also contributes to pollution in the lower atmosphere through chemical reactions leading to ozone production. Recent developments of LIDAR measurement technology for CH4 have been previously reported by Goddard Space Flight Center (GSFC). In this paper, we report on a novel, high-performance tunable semiconductor laser technology developed by Freedom Photonics for the 1650nm wavelength range operation, and for LIDAR detection of CH4. Devices described are monolithic, with simple control, and compatible with low-cost fabrication techniques. We present 3 different types of tunable lasers implemented for this application.

  11. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  12. Semiconductor lasers and herterojunction leds

    CERN Document Server

    Kressel, Henry

    2012-01-01

    Semiconductor Lasers and Heterojunction LEDs presents an introduction to the subject of semiconductor lasers and heterojunction LEDs. The book reviews relevant basic solid-state and electromagnetic principles; the relevant concepts in solid state physics; and the p-n junctions and heterojunctions. The text also describes stimulated emission and gain; the relevant concepts in electromagnetic field theory; and the modes in laser structures. The relation between electrical and optical properties of laser diodes; epitaxial technology; binary III-V compounds; and diode fabrication are also consider

  13. Fabrication of laser-target components by semiconductor technology

    International Nuclear Information System (INIS)

    Tindall, W.E.

    1979-01-01

    This paper describes the design and fabrication of a unique silicon substrate with which laser-target components can be mass produced. Different sizes and shapes of gold foils from 50 to 3000 microns in diameter and up to 25 microns thick have been produced with this process since 1976

  14. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  15. CCST [Center for Compound Semiconductor Technology] research briefs

    International Nuclear Information System (INIS)

    Zipperian, T.E.; Voelker, E.R.

    1989-12-01

    This paper discusses the following topics: theoretical predictions of valence and conduction band offsets in III-V semiconductors; reflectance modulation of a semiconductor superlattice optical mirror; magnetoquantum oscillations of the phonon-drag thermoelectric power in quantum wells; correlation between photoluminescence line shape and device performance of p-channel strained-layer materials; control of threading dislocations in heteroepitaxial structures; improved growth of CdTe on GaAs by patterning; role of structure threading dislocations in relaxation of highly strained single-quantum-well structures; InAlAs growth optimization using reflection mass spectrometry; nonvolatile charge storage in III-V heterostructures; optically triggered thyristor switches; InAsSb strained-layer superlattice infrared detectors with high detectivities; resonant periodic gain surface-emitting semiconductor lasers; performance advantages of strained-quantum-well lasers in AlGaAs/InGaAs; optical integrated circuit for phased-array radar antenna control; and deposition and novel device fabrication from Tl 2 Ca 2 Ba 2 Cu 3 O y thin films

  16. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  17. Scheme for the fabrication of ultrashort channel metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Appenzeller, J.; Martel, R.; Solomon, P.; Chan, K.; Avouris, Ph.; Knoch, J.; Benedict, J.; Tanner, M.; Thomas, S.; Wang, K. L.

    2000-01-01

    We present a scheme for the fabrication of ultrashort channel length metal-oxide-semiconductor field-effect transistors (MOSFETs) involving nanolithography and molecular-beam epitaxy. The active channel is undoped and is defined by a combination of nanometer-scale patterning and anisotropic etching of an n ++ layer grown on a silicon on insulator wafer. The method is self-limiting and can produce MOSFET devices with channel lengths of less than 10 nm. Measurements on the first batch of n-MOSFET devices fabricated with this approach show very good output characteristics and good control of short-channel effects. (c) 2000 American Institute of Physics

  18. High-performance semiconductors based on oligocarbazole–thiophene derivatives for solution-fabricated organic field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Gung-Pei; Hsieh, Kuo-Huang, E-mail: khhsieh@ntu.edu.tw

    2013-01-01

    A series of oligocarbazole–thiophenes based on a constant conjugate backbone (carbazole–bithiophene–carbazole) with various n-alkyl chain lengths was prepared for application to organic field-effect transistors (OFETs). The lengths of the n-alkyl substitutions attached on 9-position of carbazole moieties were methyl (CCzT2), hexyl (C6CzT2), dodecyl (C12CzT2), and octadecyl (C18CzT2), called CxCzT2. Variations of n-alkyl chain lengths are proposed to figure out the optimization of OFET performance via solution fabrication of the active layer. Before fabricating OFET devices, the thermal, optical, and electrochemical properties of CxCzT2 were fully characterized with thermogravimetric analysis, differential scanning calorimetry, ultraviolet–visible spectroscopy, and cyclic voltammetry to realize the relationships of the structure to the properties. After fabricating CxCzT2 on Si/SiO{sub 2} substrates via solution casting, the thin film morphologies were also studied with polarizing optical microscopy, atomic force microscopy, and X-ray diffraction to investigate the structural relationship to OFET performance. A higher hole mobility was observed with C12CzT2 (3.6 × 10{sup −2} cm{sup 2} V{sup −1} s{sup −1}) due to its liquid crystal properties, and the hole mobility could be further improved to 1.2 × 10{sup −1} cm{sup 2} V{sup −1} s{sup −1} by the introduction of a phenyl-self-assembled monolayer on the Si/SiO{sub 2} substrates. The excellent OFET performances of C12CzT2 by solution–fabrication could be considered as a promising candidate for high-end OFET application. - Highlights: ► These oligomeric semiconductors were synthesized rapidly. ► The thermal, optical, and electrochemical properties were fully investigated. ► The liquid crystal properties can be obtained via alkyl chain length adjustment. ► These oligomeric semiconductors can be solution-fabricated. ► One of these oligomeric semiconductors yields high field-effect hole

  19. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  20. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  1. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Circuit design techniques for non-crystalline semiconductors

    CERN Document Server

    Sambandan, Sanjiv

    2012-01-01

    Despite significant progress in materials and fabrication technologies related to non-crystalline semiconductors, fundamental drawbacks continue to limit real-world application of these devices in electronic circuits. To help readers deal with problems such as low mobility and intrinsic time variant behavior, Circuit Design Techniques for Non-Crystalline Semiconductors outlines a systematic design approach, including circuit theory, enabling users to synthesize circuits without worrying about the details of device physics. This book: Offers examples of how self-assembly can be used as a powerf

  3. Smart Fabrics Technology Development

    Science.gov (United States)

    Simon, Cory; Potter, Elliott; Potter, Elliott; McCabe, Mary; Baggerman, Clint

    2010-01-01

    Advances in Smart Fabrics technology are enabling an exciting array of new applications for NASA exploration missions, the biomedical community, and consumer electronics. This report summarizes the findings of a brief investigation into the state of the art and potential applications of smart fabrics to address challenges in human spaceflight.

  4. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  5. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  6. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  7. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  8. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  9. Fabrication of solid-state secondary battery using semiconductors and evaluation of its charge/discharge characteristics

    Science.gov (United States)

    Sasaki, Atsuya; Sasaki, Akito; Hirabayashi, Hideaki; Saito, Shuichi; Aoki, Katsuaki; Kataoka, Yoshinori; Suzuki, Koji; Yabuhara, Hidehiko; Ito, Takahiro; Takagi, Shigeyuki

    2018-04-01

    Li-ion batteries have attracted interest for use as storage batteries. However, the risk of fire has not yet been resolved. Although solid Li-ion batteries are possible alternatives, their performance characteristics are unsatisfactory. Recently, research on utilizing the accumulation of carriers at the trap levels of semiconductors has been performed. However, the detailed charge/discharge characteristics and principles have not been reported. In this report, we attempted to form new n-type oxide semiconductor/insulator/p-type oxide semiconductor structures. The battery characteristics of these structures were evaluated by charge/discharge measurements. The obtained results clearly indicated the characteristics of rechargeable batteries. Furthermore, the fabricated structure accumulated an approximately 5000 times larger number of carriers than a parallel plate capacitor. Additionally, by constructing circuit models based on the experimental results, the charge/discharge mechanisms were considered. This is the first detailed experimental report on a rechargeable battery that operates without the double injection of ions and electrons.

  10. Development of fabrication technology for ceramic nuclear fuel

    International Nuclear Information System (INIS)

    Lee, Young Woo; Sohn, D. S.; Na, S. H.

    2003-05-01

    The purpose of the study is to develop the fabrication technology of MOX fuel. The researches carried out during the last stage(1997. 4.∼2003. 3.) mainly consisted of ; study of MOX pellet fabrication technology for application and development of characterization technology for the aim of confirming the development of powder treatment technology and sintering technology and of the optimization of the above technologies and fabrication of Pu-MOX pellet specimens through an international joint collaboration between KAERI and PSI based on the fundamental technologies developed in KAERI. Based on the studies carried out and the results obtained during the last stage, more extensive studies for the process technologies of the unit processes were performed, in this year, for the purpose of development of indigenous overall MOX pellet fabrication process technology, relating process parameters among the unit processes and integrating these unit process technologies. Furthermore, for the preparation of transfer of relevant technologies to the industries, a feasibility study was performed on the commercialization of the technology developed in KAERI with the relevant industry in close collaboration

  11. Practical silicon Light emitting devices fabricated by standard IC technology

    International Nuclear Information System (INIS)

    Aharoni, H.; Monuko du Plessis; Snyman, L.W.

    2004-01-01

    Full Text:Research activities are described with regard to the development of a comprehensive approach for the practical realization of single crystal Silicon Light Emitting Devices (Si-LEDs). Several interesting suggestions for the fabrication of such devices were made in the literature but they were not adopted by the semiconductor industry because they involve non-standard fabrication schemes, requiring special production lines. Our work presents an alternative approach, proposed and realized in practice by us, permitting the fabrication of Si-LEDs using the standard conventional fully industrialized IC technology ''as is'' without any adaptation. It enables their fabrication in the same production lines of the presently existing IC industry. This means that Si-LEDs can now be fabricated simultaneously with other components, such as transistors, on the same silicon chip, using the same masks and processing procedures. The result is that the yield, reliability, and price of the above Si-LEDs are the same as the other Si devices integrated on the same chip. In this work some structural details of several practical Si-LED's designed by us, as well as experimental results describing their performance are presented. These Si-LED's were fabricated to our specifications utilizing standard CMOS/BiCMOS technology, a fact which comprises an achievement by itself. The structure of the Si-LED's, is designed according to specifications such as the required operating voltage, overall light output intensity, its dependence(linear, or non-linear) on the input signal (voltage or current), light generations location (bulk, or near-surface), the emission pattern and uniformity. Such structural design present a problem since the designer can not use any structural parameters (such as doping levels and junction depths for example) but only those which already exist in the production lines. Since the fabrication procedures in these lines are originally designed for processing of

  12. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  13. Fabrication of miniaturized electrostatic deflectors using LIGA

    International Nuclear Information System (INIS)

    Jackson, K.H.; Khan-Malek, C.; Muray, L.P.

    1997-01-01

    Miniaturized electron beam columns (open-quotes microcolumnsclose quotes) have been demonstrated to be suitable candidates for scanning electron microscopy (SEM), e-beam lithography and other high resolution, low voltage applications. In the present technology, microcolumns consist of open-quotes selectively scaledclose quotes micro-sized lenses and apertures, fabricated from silicon membranes with e-beam lithography, reactive ion beam etching and other semiconductor thin-film techniques. These miniaturized electron-optical elements provide significant advantages over conventional optics in performance and ease of fabrication. Since lens aberrations scale roughly with size, it is possible to fabricate simple microcolumns with extremely high brightness sources and electrostatic objective lenses, with resolution and beam current comparable to conventional e-beam columns. Moreover since microcolumns typically operate at low voltages (1 KeV), the proximity effects encountered in e-beam lithography become negligible. For high throughput applications, batch fabrication methods may be used to build large parallel arrays of microcolumns. To date, the best reported performance with a 1 keV cold field emission cathode, is 30 nm resolution at a working distance of 2mm in a 3.5mm column. Fabrication of the microcolumn deflector and stigmator, however, have remained beyond the capabilities of conventional machining operations and semiconductor processing technology. This work examines the LIGA process as a superior alternative to fabrication of the deflectors, especially in terms of degree of miniaturization, dimensional control, placement accuracy, run-out, facet smoothness and choice of suitable materials. LIGA is a combination of deep X-ray lithography, electroplating, and injection molding processes which allow the fabrication of microstructures

  14. Micro/nano-fabrication technologies for cell biology.

    Science.gov (United States)

    Qian, Tongcheng; Wang, Yingxiao

    2010-10-01

    Micro/nano-fabrication techniques, such as soft lithography and electrospinning, have been well-developed and widely applied in many research fields in the past decade. Due to the low costs and simple procedures, these techniques have become important and popular for biological studies. In this review, we focus on the studies integrating micro/nano-fabrication work to elucidate the molecular mechanism of signaling transduction in cell biology. We first describe different micro/nano-fabrication technologies, including techniques generating three-dimensional scaffolds for tissue engineering. We then introduce the application of these technologies in manipulating the physical or chemical micro/nano-environment to regulate the cellular behavior and response, such as cell life and death, differentiation, proliferation, and cell migration. Recent advancement in integrating the micro/nano-technologies and live cell imaging are also discussed. Finally, potential schemes in cell biology involving micro/nano-fabrication technologies are proposed to provide perspectives on the future research activities.

  15. Composite fabrication via resin transfer molding technology

    Energy Technology Data Exchange (ETDEWEB)

    Jamison, G.M.; Domeier, L.A.

    1996-04-01

    The IMPReS (Integrated Modeling and Processing of Resin-based Structures) Program was funded in FY95 to consolidate, evaluate and enhance Sandia`s capabilities in the design and fabrication of composite structures. A key driver of this and related programs was the need for more agile product development processes and for model based design and fabrication tools across all of Sandia`s material technologies. A team of polymer, composite and modeling personnel was assembled to benchmark Sandia`s existing expertise in this area relative to industrial and academic programs and to initiate the tasks required to meet Sandia`s future needs. RTM (Resin Transfer Molding) was selected as the focus composite fabrication technology due to its versatility and growing use in industry. Modeling efforts focused on the prediction of composite mechanical properties and failure/damage mechanisms and also on the uncured resin flow processes typical of RTM. Appropriate molds and test composites were fabricated and model validation studies begun. This report summarizes and archives the modeling and fabrication studies carried out under IMPReS and evaluates the status of composite technology within Sandia. It should provide a complete and convenient baseline for future composite technology efforts within Sandia.

  16. Development of fabrication technology for CANDU advanced fuel -Development of the advanced CANDU technology-

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Chang Beom; Kim, Hyeong Soo; Kim, Sang Won; Seok, Ho Cheon; Shim, Ki Seop; Byeon, Taek Sang; Jang, Ho Il; Kim, Sang Sik; Choi, Il Kwon; Cho, Dae Sik; Sheo, Seung Won; Lee, Soo Cheol; Kim, Yoon Hoi; Park, Choon Ho; Jeong, Seong Hoon; Kang, Myeong Soo; Park, Kwang Seok; Oh, Hee Kwan; Jang, Hong Seop; Kim, Yang Kon; Shin, Won Cheol; Lee, Do Yeon; Beon, Yeong Cheol; Lee, Sang Uh; Sho, Dal Yeong; Han, Eun Deok; Kim, Bong Soon; Park, Cheol Joo; Lee, Kyu Am; Yeon, Jin Yeong; Choi, Seok Mo; Shon, Jae Moon [Korea Atomic Energy Res. Inst., Taejon (Korea, Republic of)

    1994-07-01

    The present study is to develop the advanced CANDU fuel fabrication technologies by means of applying the R and D results and experiences gained from localization of mass production technologies of CANDU fuels. The annual portion of this year study includes following: 1. manufacturing of demo-fuel bundles for out-of-pile testing 2. development of technologies for the fabrication and inspection of advanced fuels 3. design and munufacturing of fuel fabrication facilities 4. performance of fundamental studies related to the development of advanced fuel fabrication technology.

  17. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  18. Fabrication and operation methods of a one-time programmable (OTP) nonvolatile memory (NVM) based on a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Cho, Seongjae; Lee, Junghoon; Jung, Sunghun; Park, Sehwan; Park, Byunggook

    2011-01-01

    In this paper, a novel one-time programmable (OTP) nonvolatile memory (NVM) device and its array based on a metal-insulator-semiconductor (MIS) structure is proposed. The Iindividual memory device has a vertical channel of a silicon diode. Historically, OTP memories were widely used for read-only-memories (ROMs), in which the most basic system architecture model was to store central processing unit (CPU) instructions. By grafting the nanoscale fabrication technology and novel structuring onto the concept of the OTP memory, innovative high-density NVM appliances for mobile storage media may be possible. The program operation is performed by breaking down the thin oxide layer between the pn diode structure and the wordline (WL). The programmed state can be identified by an operation that reads the leakage currents through the broken oxide. Since the proposed OTP NVM is based on neither a transistor structure nor a charge storing mechanism, it is highly reliable and functional for realizing the ultra-large scale integration. The operation physics and the fabrication processes are also explained in detail.

  19. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  20. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  1. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  2. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-09-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  3. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  4. Mass production compatible fabrication techniques of single-crystalline silver metamaterials and plasmonics devices

    Science.gov (United States)

    Rodionov, Ilya A.; Baburin, Alexander S.; Zverev, Alexander V.; Philippov, Ivan A.; Gabidulin, Aidar R.; Dobronosova, Alina A.; Ryzhova, Elena V.; Vinogradov, Alexey P.; Ivanov, Anton I.; Maklakov, Sergey S.; Baryshev, Alexander V.; Trofimov, Igor V.; Merzlikin, Alexander M.; Orlikovsky, Nikolay A.; Rizhikov, Ilya A.

    2017-08-01

    During last 20 years, great results in metamaterials and plasmonic nanostructures fabrication were obtained. However, large ohmic losses in metals and mass production compatibility still represent the most serious challenge that obstruct progress in the fields of metamaterials and plasmonics. Many recent research are primarily focused on developing low-loss alternative materials, such as nitrides, II-VI semiconductor oxides, high-doped semiconductors, or two-dimensional materials. In this work, we demonstrate that our perfectly fabricated silver films can be an effective low-loss material system, as theoretically well-known. We present a fabrication technology of plasmonic and metamaterial nanodevices on transparent (quartz, mica) and non-transparent (silicon) substrates by means of e-beam lithography and ICP dry etch instead of a commonly-used focused ion beam (FIB) technology. We eliminate negative influence of litho-etch steps on silver films quality and fabricate square millimeter area devices with different topologies and perfect sub-100 nm dimensions reproducibility. Our silver non-damage fabrication scheme is tested on trial manufacture of spasers, plasmonic sensors and waveguides, metasurfaces, etc. These results can be used as a flexible device manufacture platform for a broad range of practical applications in optoelectronics, communications, photovoltaics and biotechnology.

  5. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  6. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  7. Fabrication technology for ODS Alloy MA957

    International Nuclear Information System (INIS)

    ML Hamilton; DS Gelles; RJ Lobsinger; MM Paxton; WF Brown

    2000-01-01

    A successful fabrication schedule has been developed at Carpenter Technology Corporation for the production of MA957 fuel and blanket cladding. Difficulties with gun drilling, plug drawing and recrystallization were overcome to produce a pilot lot of tubing. This report documents the fabrication efforts of two qualified vendors and the support studies performed at WHC to develop the fabrication-schedule

  8. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  9. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  10. Fabrication of a Micromachined Capacitive Switch Using the CMOS-MEMS Technology

    Directory of Open Access Journals (Sweden)

    Cheng-Yang Lin

    2015-11-01

    Full Text Available The study investigates the design and fabrication of a micromachined radio frequency (RF capacitive switch using the complementary metal oxide semiconductor-microelectromechanical system (CMOS-MEMS technology. The structure of the micromachined switch is composed of a membrane, eight springs, four inductors, and coplanar waveguide (CPW lines. In order to reduce the actuation voltage of the switch, the springs are designed as low stiffness. The finite element method (FEM software CoventorWare is used to simulate the actuation voltage and displacement of the switch. The micromachined switch needs a post-CMOS process to release the springs and membrane. A wet etching is employed to etch the sacrificial silicon dioxide layer, and to release the membrane and springs of the switch. Experiments show that the pull-in voltage of the switch is 12 V. The switch has an insertion loss of 0.8 dB at 36 GHz and an isolation of 19 dB at 36 GHz.

  11. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-01-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10–2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost. PMID:26416434

  12. Semiconductor technology in protein kinase research and drug discovery: sensing a revolution.

    Science.gov (United States)

    Bhalla, Nikhil; Di Lorenzo, Mirella; Estrela, Pedro; Pula, Giordano

    2017-02-01

    Since the discovery of protein kinase activity in 1954, close to 600 kinases have been discovered that have crucial roles in cell physiology. In several pathological conditions, aberrant protein kinase activity leads to abnormal cell and tissue physiology. Therefore, protein kinase inhibitors are investigated as potential treatments for several diseases, including dementia, diabetes, cancer and autoimmune and cardiovascular disease. Modern semiconductor technology has recently been applied to accelerate the discovery of novel protein kinase inhibitors that could become the standard-of-care drugs of tomorrow. Here, we describe current techniques and novel applications of semiconductor technologies in protein kinase inhibitor drug discovery. Copyright © 2016 Elsevier Ltd. All rights reserved.

  13. Colloidal Sb2S3 Nanocrystals: Synthesis, Characterization and Fabrication of Solid-State Semiconductor Sensitized Solar Cell

    KAUST Repository

    Abulikemu, Mutalifu

    2015-12-26

    Inorganic nanocrystals composed of earth-abundant and non-toxic elements are crucial to fabricated sustainable photovoltaic devices in large scale. In this study, various-shaped and different phases of antimony sulfide nanocrystals, which is composed of non-scarce and non-toxic elements, are synthesized using hot-injection colloidal method. The effect of various synthetic parameters on the final morphology is explored. Also, foreign ion (Chlorine) effects on the morphology of Sb2S3 nanocrystals have been observed. Structural, optical and morphological properties of the nanocrystals were investigated, and Sb2S3 nanocrystal-based solid-state semiconductor-sensitized solar cells were fabricated using as-prepared nanocrystals. We achieved promising power conversion efficiencies of 1.48%.

  14. Colloidal Sb2S3 Nanocrystals: Synthesis, Characterization and Fabrication of Solid-State Semiconductor Sensitized Solar Cell

    KAUST Repository

    Abulikemu, Mutalifu; Del Gobbo, Silvano; Anjum, Dalaver H.; Malik, Mohammad A; Bakr, Osman

    2015-01-01

    Inorganic nanocrystals composed of earth-abundant and non-toxic elements are crucial to fabricated sustainable photovoltaic devices in large scale. In this study, various-shaped and different phases of antimony sulfide nanocrystals, which is composed of non-scarce and non-toxic elements, are synthesized using hot-injection colloidal method. The effect of various synthetic parameters on the final morphology is explored. Also, foreign ion (Chlorine) effects on the morphology of Sb2S3 nanocrystals have been observed. Structural, optical and morphological properties of the nanocrystals were investigated, and Sb2S3 nanocrystal-based solid-state semiconductor-sensitized solar cells were fabricated using as-prepared nanocrystals. We achieved promising power conversion efficiencies of 1.48%.

  15. Development of technology for fabrication of lithium CPS on basis of CNT-reinforced carboxylic fabric

    Energy Technology Data Exchange (ETDEWEB)

    Tazhibayeva, Irina, E-mail: tazhibayeva@ntsc.kz [Institute of Atomic Energy, National Nuclear Center of RK, Kurchatov (Kazakhstan); Baklanov, Viktor; Ponkratov, Yuriy [Institute of Atomic Energy, National Nuclear Center of RK, Kurchatov (Kazakhstan); Abdullin, Khabibulla [Institute of Experimental and Theoretical Physics of Kazakh National University, Almaty (Kazakhstan); Kulsartov, Timur; Gordienko, Yuriy; Zaurbekova, Zhanna [Institute of Atomic Energy, National Nuclear Center of RK, Kurchatov (Kazakhstan); Lyublinski, Igor [JSC «Red Star», Moscow (Russian Federation); NRNU «MEPhI», Moscow (Russian Federation); Vertkov, Alexey [JSC «Red Star», Moscow (Russian Federation); Skakov, Mazhyn [Institute of Atomic Energy, National Nuclear Center of RK, Kurchatov (Kazakhstan)

    2017-04-15

    Highlights: • Preliminary study of carboxylic fabric wettability with liquid lithium is presented. • Preliminary studies of carboxylic fabric wettability with liquid lithium consist in carrying out of experiments at temperatures 673,773 and 873 К in vacuum during long time. • A scheme of experimental device for manufacturing of lithium CPS and matrix filling procedure with liquid lithium are presented. • The concept of lithium limiter with CPS on basis of CNT-reinforced carboxylic fabric is proposed. - Abstract: The paper describes the analysis of liquid lithium interaction with materials based on carbon, the manufacture technology of capillary-porous system (CPS) matrix on basis of CNT-reinforced carboxylic fabric. Preliminary study of carboxylic fabric wettability with liquid lithium is presented. The development of technology includes: microstructural studies of carboxylic fabric before its CNT-reinforcing; validation of CNT-reinforcing technology; mode validation of CVD-method for CNT synthesize; study of synthesized carbon structures. Preliminary studies of carboxylic fabric wettability with liquid lithium consist in carrying out of experiments at temperatures 673, 773 and 873 К in vacuum during long time. The scheme of experimental device for manufacturing of lithium CPS and matrix filling procedure with liquid lithium are presented. The concept of lithium limiter with CPS on basis of CNT-reinforced carboxylic fabric is proposed.

  16. Development of technology for fabrication of lithium CPS on basis of CNT-reinforced carboxylic fabric

    International Nuclear Information System (INIS)

    Tazhibayeva, Irina; Baklanov, Viktor; Ponkratov, Yuriy; Abdullin, Khabibulla; Kulsartov, Timur; Gordienko, Yuriy; Zaurbekova, Zhanna; Lyublinski, Igor; Vertkov, Alexey; Skakov, Mazhyn

    2017-01-01

    Highlights: • Preliminary study of carboxylic fabric wettability with liquid lithium is presented. • Preliminary studies of carboxylic fabric wettability with liquid lithium consist in carrying out of experiments at temperatures 673,773 and 873 К in vacuum during long time. • A scheme of experimental device for manufacturing of lithium CPS and matrix filling procedure with liquid lithium are presented. • The concept of lithium limiter with CPS on basis of CNT-reinforced carboxylic fabric is proposed. - Abstract: The paper describes the analysis of liquid lithium interaction with materials based on carbon, the manufacture technology of capillary-porous system (CPS) matrix on basis of CNT-reinforced carboxylic fabric. Preliminary study of carboxylic fabric wettability with liquid lithium is presented. The development of technology includes: microstructural studies of carboxylic fabric before its CNT-reinforcing; validation of CNT-reinforcing technology; mode validation of CVD-method for CNT synthesize; study of synthesized carbon structures. Preliminary studies of carboxylic fabric wettability with liquid lithium consist in carrying out of experiments at temperatures 673, 773 and 873 К in vacuum during long time. The scheme of experimental device for manufacturing of lithium CPS and matrix filling procedure with liquid lithium are presented. The concept of lithium limiter with CPS on basis of CNT-reinforced carboxylic fabric is proposed.

  17. Junction and circuit fabrication

    International Nuclear Information System (INIS)

    Jackel, L.D.

    1980-01-01

    Great strides have been made in Josephson junction fabrication in the four years since the first IC SQUID meeting. Advances in lithography have allowed the production of devices with planar dimensions as small as a few hundred angstroms. Improved technology has provided ultra-high sensitivity SQUIDS, high-efficiency low-noise mixers, and complex integrated circuits. This review highlights some of the new fabrication procedures. The review consists of three parts. Part 1 is a short summary of the requirements on junctions for various applications. Part 2 reviews intergrated circuit fabrication, including tunnel junction logic circuits made at IBM and Bell Labs, and microbridge radiation sources made at SUNY at Stony Brook. Part 3 describes new junction fabrication techniques, the major emphasis of this review. This part includes a discussion of small oxide-barrier tunnel junctions, semiconductor barrier junctions, and microbridge junctions. Part 3 concludes by considering very fine lithography and limitations to miniaturization. (orig.)

  18. Smart fabric sensors and e-textile technologies: a review

    International Nuclear Information System (INIS)

    Castano, Lina M; Flatau, Alison B

    2014-01-01

    This paper provides a review of recent developments in the rapidly changing and advancing field of smart fabric sensor and electronic textile technologies. It summarizes the basic principles and approaches employed when building fabric sensors as well as the most commonly used materials and techniques used in electronic textiles. This paper shows that sensing functionality can be created by intrinsic and extrinsic modifications to textile substrates depending on the level of integration into the fabric platform. The current work demonstrates that fabric sensors can be tailored to measure force, pressure, chemicals, humidity and temperature variations. Materials, connectors, fabric circuits, interconnects, encapsulation and fabrication methods associated with fabric technologies prove to be customizable and versatile but less robust than their conventional electronics counterparts. The findings of this survey suggest that a complete smart fabric system is possible through the integration of the different types of textile based functional elements. This work intends to be a starting point for standardization of smart fabric sensing techniques and e-textile fabrication methods. (topical review)

  19. Printing technologies in fabrication of drug delivery systems.

    Science.gov (United States)

    Kolakovic, Ruzica; Viitala, Tapani; Ihalainen, Petri; Genina, Natalja; Peltonen, Jouko; Sandler, Niklas

    2013-12-01

    There has been increased activity in the field recently regarding the development and research on various printing techniques in fabrication of dosage forms and drug delivery systems. These technologies may offer benefits and flexibility in manufacturing, potentially paving the way for personalized dosing and tailor-made dosage forms. In this review, the most recent observations and advancements in fabrication of drug delivery systems by utilizing printing technologies are summarized. A general overview of 2D printing techniques is presented including a review of the most recent literature where printing techniques are used in fabrication of drug delivery systems. The future perspectives and possible impacts on formulation strategies, flexible dosing and personalized medication of using printing techniques for fabrication of drug delivery systems are discussed. It is evident that there is an urgent need to meet the challenges of rapidly growing trend of personalization of medicines through development of flexible drug-manufacturing approaches. In this context, various printing technologies, such as inkjet and flexography, can play an important role. Challenges on different levels exist and include: i) technological development of printers and production lines; ii) printable formulations and carrier substrates; iii) quality control and characterization; and iv) regulatory perspectives.

  20. Design, fabrication and transportation of Si rotating device

    International Nuclear Information System (INIS)

    Kimura, Nobuaki; Imaizumi, Tomomi; Takemoto, Noriyuki; Tanimoto, Masataka; Saito, Takashi; Hori, Naohiko; Tsuchiya, Kunihiko; Romanova, Nataliya; Gizatulin, Shamil; Martyushov, Alexandr; Nakipov, Darkhan; Chakrov, Petr; Tanaka, Futoshi; Nakajima, Takeshi

    2012-06-01

    Si semiconductor production by Neutron Transmutation Doping (NTD) method using the Japan Materials Testing Reactor (JMTR) has been investigated in Neutron Irradiation and Testing Reactor Center, Japan Atomic Energy Agency (JAEA) in order to expand industry use. As a part of investigations, irradiation test of silicon ingot for development of NTD-Si with high quality was planned using WWR-K in Institute of Nuclear Physics (INP), National Nuclear Center of Republic of Kazakhstan (NNC-RK) based on one of specific topics of cooperation (STC), Irradiation Technology for NTD-Si (STC No.II-4), on the implementing arrangement between NNC-RK and the JAEA for 'Nuclear Technology on Testing/Research Reactors' in cooperation in research and development in nuclear energy and technology. As for the irradiation test, Si rotating device was fabricated in JAEA, and the fabricated device was transported with irradiation specimens from JAEA to INP-NNC-RK. This report described the design, the fabrication, the performance test of the Si rotating device and transportation procedures. (author)

  1. Recent Progress on the DUPIC Fuel Fabrication Technology at KAERI

    International Nuclear Information System (INIS)

    Jung-Won Lee; Ho-Jin Ryu; Geun-Il Park; Kee-Chan Song

    2008-01-01

    Since 1991, KAERI has been developing the DUPIC fuel cycle technology. The concept of a direct use of spent PWR fuel in Candu reactors (DUPIC) is based on a dry processing method to re-fabricate Candu fuel from spent PWR fuel without any intentional separation of the fissile materials and fission products. A DUPIC fuel pellet was successfully fabricated and the DUPIC fuel element fabrication processes were qualified on the basis of a Quality Assurance program. Consequently, the DUPIC fuel fabrication technology was verified and demonstrated on a laboratory-scale. Recently, the fuel discharge burn-up of PWRs has been extended to reduce the amount of spent fuel and the fuel cycle costs. Considering this trend of extending the fuel burn-up in PWRs, the DUPIC fuel fabrication technology should be improved to process high burn-up spent fuels. Particularly the release behavior of cesium from the pellet prepared with a high burn-up spent fuel was assessed. an improved DUPIC fuel fabrication technology was experimentally established with a fuel burn-up of 65,000 MWd/tU. (authors)

  2. NIOSH Field Studies Team Assessment: Worker Exposure to Aerosolized Metal Oxide Nanoparticles in a Semiconductor Fabrication Facility

    OpenAIRE

    Brenner, Sara A.; Neu-Baker, Nicole M.; Eastlake, Adrienne C.; Beaucham, Catherine C.; Geraci, Charles L.

    2016-01-01

    The ubiquitous use of engineered nanomaterials – particulate materials measuring approximately 1–100 nanometers (nm) on their smallest axis, intentionally engineered to express novel properties – in semiconductor fabrication poses unique issues for protecting worker health and safety. Use of new substances or substances in a new form may present hazards that have yet to be characterized for their acute or chronic health effects. Uncharacterized or emerging occupational health hazards may exis...

  3. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  4. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    Science.gov (United States)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  5. Development of Nuclear Fuel Remote Fabrication Technology

    International Nuclear Information System (INIS)

    Lee, Jung Won; Yang, M. S.; Kim, S. S. and others

    2005-04-01

    The aim of this study is to develop the essential technology of dry refabrication using spent fuel materials in a laboratory scale on the basis of proliferation resistance policy. The emphasis is placed on the assessment and the development of the essential technology of dry refabrication using spent fuel materials. In this study, the remote fuel fabrication technology to make a dry refabricated fuel with an enhanced quality was established. And the instrumented fuel pellets and mini-elements were manufactured for the irradiation testing in HANARO. The design and development technology of the remote fabrication equipment and the remote operating and maintenance technology of the equipment in hot cell were also achieved. These achievements will be used in and applied to the future back-end fuel cycle and GEN-IV fuel cycle and be a milestone for Korea to be an advanced nuclear country in the world

  6. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  7. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  8. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  9. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  10. Synchrotron radiation studies of inorganic-organic semiconductor interfaces

    International Nuclear Information System (INIS)

    Evans, D.A.; Steiner, H.J.; Vearey-Roberts, A.R.; Bushell, A.; Cabailh, G.; O'Brien, S.; Wells, J.W.; McGovern, I.T.; Dhanak, V.R.; Kampen, T.U.; Zahn, D.R.T.; Batchelor, D.

    2003-01-01

    Organic semiconductors (polymers and small molecules) are widely used in electronic and optoelectronic technologies. Many devices are based on multilayer structures where interfaces play a central role in device performance and where inorganic semiconductor models are inadequate. Synchrotron radiation techniques such as photoelectron spectroscopy (PES), near-edge X-ray absorption fine structure (NEXAFS) and X-ray standing wave spectroscopy (XSW) provide a powerful means of probing the structural, electronic and chemical properties of these interfaces. The surface-specificity of these techniques allows key properties to be monitored as the heterostructure is fabricated. This methodology has been directed at the growth of hybrid organic-inorganic semiconductor interfaces involving copper phthalocyanine as the model organic material and InSb and GaAs as the model inorganic semiconductor substrates. Core level PES has revealed that these interfaces are abrupt and chemically inert due to the weak bonding between the molecules and the inorganic semiconductor. NEXAFS studies have shown that there is a preferred orientation of the molecules within the organic semiconductor layers. The valence band offsets for the heterojunctions have been directly measured using valence level PES and were found to be very different for copper phthalocyanine on InSb and GaAs (0.7 and -0.3 eV respectively) although an interface dipole is present in both cases

  11. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  12. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  13. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  14. Micromechanical Structures Fabrication; FINAL

    International Nuclear Information System (INIS)

    Rajic, S

    2001-01-01

    Work in materials other than silicon for MEMS applications has typically been restricted to metals and metal oxides instead of more ''exotic'' semiconductors. However, group III-V and II-VI semiconductors form a very important and versatile collection of material and electronic parameters available to the MEMS and MOEMS designer. With these materials, not only are the traditional mechanical material variables (thermal conductivity, thermal expansion, Young's modulus, etc.) available, but also chemical constituents can be varied in ternary and quaternary materials. This flexibility can be extremely important for both friction and chemical compatibility issues for MEMS. In addition, the ability to continually vary the bandgap energy can be particularly useful for many electronics and infrared detection applications. However, there are two major obstacles associated with alternate semiconductor material MEMS. The first issue is the actual fabrication of non-silicon micro-devices and the second impediment is communicating with these novel devices. We have implemented an essentially material independent fabrication method that is amenable to most group III-V and II-VI semiconductors. This technique uses a combination of non-traditional direct write precision fabrication processes such as diamond turning, ion milling, laser ablation, etc. This type of deterministic fabrication approach lends itself to an almost trivial assembly process. We also implemented a mechanical, electrical, and optical self-aligning hybridization technique for these alternate-material MEMS substrates

  15. New technology for the control of narrow-gap semiconductors

    International Nuclear Information System (INIS)

    Antoniou, I.; Bozhevolnov, V.; Melnikov, Yu.; Yafyasov, A.

    2003-01-01

    We present the results of the year work in the frame of the EU ESPRIT Project 28890 NTCONGS 'New technology for the control of narrow-gap semiconductors'. This work has involved both theoretical and experimental study, as well as the development of new specific equipment, towards the creation of a new generation of nanoelectronic devices able to operate at 77 K and even at room temperature

  16. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  17. Integrated porous-silicon light-emitting diodes: A fabrication process using graded doping profiles

    International Nuclear Information System (INIS)

    Barillaro, G.; Diligenti, A.; Pieri, F.; Fuso, F.; Allegrini, M.

    2001-01-01

    A fabrication process, compatible with an industrial bipolar+complementary metal - oxide - semiconductor (MOS)+diffusion MOS technology, has been developed for the fabrication of efficient porous-silicon-based light-emitting diodes. The electrical contact is fabricated with a double n + /p doping, achieving a high current injection efficiency and thus lower biasing voltages. The anodization is performed as the last step of the process, thus reducing potential incompatibilities with industrial processes. The fabricated devices show yellow-orange electroluminescence, visible with the naked eye in room lighting. A spectral characterization of light emission is presented and briefly discussed. [copyright] 2001 American Institute of Physics

  18. Semiconductor laser using multimode interference principle

    Science.gov (United States)

    Gong, Zisu; Yin, Rui; Ji, Wei; Wu, Chonghao

    2018-01-01

    Multimode interference (MMI) structure is introduced in semiconductor laser used in optical communication system to realize higher power and better temperature tolerance. Using beam propagation method (BPM), Multimode interference laser diode (MMI-LD) is designed and fabricated in InGaAsP/InP based material. As a comparison, conventional semiconductor laser using straight single-mode waveguide is also fabricated in the same wafer. With a low injection current (about 230 mA), the output power of the implemented MMI-LD is up to 2.296 mW which is about four times higher than the output power of the conventional semiconductor laser. The implemented MMI-LD exhibits stable output operating at the wavelength of 1.52 μm and better temperature tolerance when the temperature varies from 283.15 K to 293.15 K.

  19. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  20. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    Science.gov (United States)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  1. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  2. Hybrid High-Temperature-Superconductor–Semiconductor Tunnel Diode

    Directory of Open Access Journals (Sweden)

    Alex Hayat

    2012-12-01

    Full Text Available We report the demonstration of hybrid high-T_{c}-superconductor–semiconductor tunnel junctions, enabling new interdisciplinary directions in condensed matter research. The devices are fabricated by our newly developed mechanical-bonding technique, resulting in high-T_{c}-superconductor–semiconductor tunnel diodes. Tunneling-spectra characterization of the hybrid junctions of Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with bulk GaAs, or a GaAs/AlGaAs quantum well, exhibits excess voltage and nonlinearity, similarly to spectra obtained in scanning-tunneling microscopy, and is in good agreement with theoretical predictions for a d-wave-superconductor–normal-material junction. Additional junctions are demonstrated using Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with graphite or Bi_{2}Te_{3}. Our results pave the way for new methods in unconventional superconductivity studies, novel materials, and quantum technology applications.

  3. Fabrication and Characterization of Copper System Compound Semiconductor Solar Cells

    Directory of Open Access Journals (Sweden)

    Ryosuke Motoyoshi

    2010-01-01

    Full Text Available Copper system compound semiconductor solar cells were produced by a spin-coating method, and their cell performance and structures were investigated. Copper indium disulfide- (CIS- based solar cells with titanium dioxide (TiO2 were produced on F-doped SnO2 (FTO. A device based on an FTO/CIS/TiO2 structure provided better cell performance compared to that based on FTO/TiO2/CIS structure. Cupric oxide- (CuO- and cuprous oxide- (Cu2O- based solar cells with fullerene (C60 were also fabricated on FTO and indium tin oxide (ITO. The microstructure and cell performance of the CuO/C60 heterojunction and the Cu2O:C60 bulk heterojunction structure were investigated. The photovoltaic devices based on FTO/CuO/C60 and ITO/Cu2O:C60 structures provided short-circuit current density of 0.015 mAcm−2 and 0.11 mAcm−2, and open-circuit voltage of 0.045 V and 0.17 V under an Air Mass 1.5 illumination, respectively. The microstructures of the active layers were examined by X-ray diffraction and transmission electron microscopy.

  4. Progress in semiconductor laser diodes: SPIE volume 723

    International Nuclear Information System (INIS)

    Eichen, E.

    1987-01-01

    This book contains proceedings arranged under the following session headings: High power diode lasers; single emitters and arrays; Ultrahigh speed modulation of semiconductor diode lasers; Coherence and linewidth stabilized semiconductor lasers; and Growth, fabrication, and evaluation of laser diodes

  5. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  6. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  7. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  8. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  9. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  11. Surface passivation technology for III-V semiconductor nanoelectronics

    International Nuclear Information System (INIS)

    Hasegawa, Hideki; Akazawa, Masamichi

    2008-01-01

    The present status and key issues of surface passivation technology for III-V surfaces are discussed in view of applications to emerging novel III-V nanoelectronics. First, necessities of passivation and currently available surface passivation technologies for GaAs, InGaAs and AlGaAs are reviewed. Then, the principle of the Si interface control layer (ICL)-based passivation scheme by the authors' group is introduced and its basic characterization is presented. Ths Si ICL is a molecular beam epitaxy (MBE)-grown ultrathin Si layer inserted between III-V semiconductor and passivation dielectric. Finally, applications of the Si ICL method to passivation of GaAs nanowires and GaAs nanowire transistors and to realization of pinning-free high-k dielectric/GaAs MOS gate stacks are presented

  12. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  13. Novel WSi/Au T-shaped gate GaAs metal-semiconductor field-effect-transistor fabrication process for super low-noise microwave monolithic integrated circuit amplifiers

    International Nuclear Information System (INIS)

    Takano, H.; Hosogi, K.; Kato, T.

    1995-01-01

    A fully ion-implanted self-aligned T-shaped gate Ga As metal-semiconductor field-effect transistor (MESFET) with high frequency and extremely low-noise performance has been successfully fabricated for super low-noise microwave monolithic integrated circuit (MMIC) amplifiers. A subhalf-micrometer gate structure composed of WSi/Ti/Mo/Au is employed to reduce gate resistance effectively. This multilayer gate structure is formed by newly developed dummy SiON self-alignment technology and a photoresist planarization process. At an operating frequency of 12 GHz, a minimum noise figure of 0.87 dB with an associated gain of 10.62 dB has been obtained. Based on the novel FET process, a low-noise single-stage MMIC amplifier with an excellent low-noise figure of 1.2 dB with an associated gain of 8 dB in the 14 GHz band has been realized. This is the lowest noise figure ever reported at this frequency for low-noise MMICs based on ion-implanted self-aligned gate MESFET technology. 14 refs., 9 figs

  14. Proceedings of the Malaysian Science and Technology Congress '94: Vol. II - new products and processes

    International Nuclear Information System (INIS)

    1994-01-01

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress '94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions

  15. Proceedings of the Malaysian Science and Technology Congress `94: Vol. II - new products and processes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-12-31

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress `94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions.

  16. DEEMO: a new technology for the fabrication of microstructures

    NARCIS (Netherlands)

    Elders, J.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Ehrfeld, W.

    1995-01-01

    The recent innovations in dry etching make it a promising technology for the fabrications of micromoulds. The high aspect ratios, directional freedom, low roughness, high etch rates and high selectivity with respect to the mask material allow a versatile fabrication process of micromoulds for

  17. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M.; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C.; Lupini, Andrew R.; Borisevich, Albina Y.; Kalinin, Sergei V.

    2018-06-01

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore’s law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  18. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback.

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C; Lupini, Andrew R; Borisevich, Albina Y; Kalinin, Sergei V

    2018-06-22

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore's law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  19. Development of technology of high density LEU dispersion fuel fabrication

    International Nuclear Information System (INIS)

    Wiencek, T.; Totev, T.

    2007-01-01

    Advanced Materials Fabrication Facilities at Argonne National Laboratory have been involved in development of LEU dispersion fuel for research and test reactors from the beginning of RERTR program. This paper presents development of technology of high density LEU dispersion fuel fabrication for full size plate type fuel elements. A brief description of Advanced Materials Fabrication Facilities where development of the technology was carried out is given. A flow diagram of the manufacturing process is presented. U-Mo powder was manufactured by the rotating electrode process. The atomization produced a U-Mo alloy powder with a relatively uniform size distribution and a nearly spherical shape. Test plates were fabricated using tungsten and depleted U-7 wt.% Mo alloy, 4043 Al and Al-2 wt% Si matrices with Al 6061 aluminum alloy for the cladding. During the development of the technology of manufacturing of full size high density LEU dispersion fuel plates special attention was paid to meet the required homogeneity, bonding, dimensions, fuel out of zone and other mechanical characteristics of the plates.

  20. The effect of body bias of the metal-oxide-semiconductor field-effect transistor in the resistive network on spatial current distribution in a bio-inspired complementary metal-oxide-semiconductor vision chip

    Science.gov (United States)

    Kong, Jae-Sung; Hyun, Hyo-Young; Seo, Sang-Ho; Shin, Jang-Kyoo

    2008-11-01

    Complementary metal-oxide-semiconductor (CMOS) vision chips for edge detection based on a resistive circuit have recently been developed. These chips help in the creation of neuromorphic systems of a compact size, high speed of operation, and low power dissipation. The output of the vision chip depends predominantly upon the electrical characteristics of the resistive network which consists of a resistive circuit. In this paper, the body effect of the metal-oxide-semiconductor field-effect transistor for current distribution in a resistive circuit is discussed with a simple model. In order to evaluate the model, two 160 × 120 CMOS vision chips have been fabricated using a standard CMOS technology. The experimental results nicely match our prediction.

  1. Fabrication technology of spherical fuel element for HTR-10

    International Nuclear Information System (INIS)

    He Jun; Zou Yanwen; Liang Tongxiang; Qiu Xueliang

    2002-01-01

    R and D on the fabrication technology of the spherical fuel elements for the 10 MW HTR Test Module (HTR-10) began from 1986. Cold quasi-isostatic molding with a silicon rubber die is used for manufacturing the spherical fuel elements.The fabrication technology and the graphite matrix materials were investigated and optimized. Twenty five batches of fuel elements, about 11000 of the fuel elements, have been produced. The cold properties of the graphite matrix materials satisfied the design specifications. The mean free uranium fraction of 25 batches was 5 x 10 -5

  2. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  3. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  4. Fabrication of Metallic Hollow Nanoparticles

    Science.gov (United States)

    Kim, Jae-Woo (Inventor); Choi, Sr., Sang H. (Inventor); Lillehei, Peter T. (Inventor); Chu, Sang-Hyon (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2016-01-01

    Metal and semiconductor nanoshells, particularly transition metal nanoshells, are fabricated using dendrimer molecules. Metallic colloids, metallic ions or semiconductors are attached to amine groups on the dendrimer surface in stabilized solution for the surface seeding method and the surface seedless method, respectively. Subsequently, the process is repeated with additional metallic ions or semiconductor, a stabilizer, and NaBH.sub.4 to increase the wall thickness of the metallic or semiconductor lining on the dendrimer surface. Metallic or semiconductor ions are automatically reduced on the metallic or semiconductor nanoparticles causing the formation of hollow metallic or semiconductor nanoparticles. The void size of the formed hollow nanoparticles depends on the dendrimer generation. The thickness of the metallic or semiconductor thin film around the dendrimer depends on the repetition times and the size of initial metallic or semiconductor seeds.

  5. Semiconductor X-ray spectrometers

    International Nuclear Information System (INIS)

    Muggleton, A.H.F.

    1978-02-01

    An outline is given of recent developments in particle and photon induced x-ray fluorescence (XRF) analysis. Following a brief description of the basic mechanism of semiconductor detector operation a comparison is made between semiconductor detectors, scintillators and gas filled proportional devices. Detector fabrication and cryostat design are described in more detail and the effects of various device parameters on system performance, such as energy resolution, count rate capability, efficiency, microphony, etc. are discussed. The main applications of these detectors in x-ray fluorescence analysis, electron microprobe analysis, medical and pollution studies are reviewed

  6. Impact of fuel fabrication and fuel management technologies on uranium management

    International Nuclear Information System (INIS)

    Arnsberger, P.L.; Stucker, D.L.

    1994-01-01

    Uranium utilization in commercial pressurized water reactors is a complex function of original NSSS design, utility energy requirements, fuel assembly design, fuel fabrication materials and fuel fabrication materials and fuel management optimization. Fuel design and fabrication technologies have reacted to the resulting market forcing functions with a combination of design and material changes. The technologies employed have included ever-increasing fuel discharge burnup, non-parasitic structural materials, burnable absorbers, and fissile material core zoning schemes (both in the axial and radial direction). The result of these technological advances has improved uranium utilization by roughly sixty percent from the infancy days of nuclear power to present fuel management. Fuel management optimization technologies have also been developed in recent years which provide fuel utilization improvements due to core loading pattern optimization. This paper describes the development and impact of technology advances upon uranium utilization in modern pressurized water reactors. 10 refs., 3 tabs., 10 figs

  7. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  8. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  9. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  10. AIM Photonics: Tomorrow’s Technology at the Speed of Light

    Science.gov (United States)

    2016-09-01

    information ) for automotive, body sensing and holographic user interfaces are all disruptive photonic technologies that should change how people com...manufacturing technologies . The semiconductor industry has enjoyed decades of constantly increasing integration and miniaturization, often referred...contribute to productivity. These include the formation of “fabless” ( outsourced fabrication) companies, dedicated foundries, independent electronic

  11. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  12. Pump spot size dependent lasing threshold in organic semiconductor DFB lasers fabricated via nanograting transfer.

    Science.gov (United States)

    Liu, Xin; Klinkhammer, Sönke; Wang, Ziyao; Wienhold, Tobias; Vannahme, Christoph; Jakobs, Peter-Jürgen; Bacher, Andreas; Muslija, Alban; Mappes, Timo; Lemmer, Uli

    2013-11-18

    Optically excited organic semiconductor distributed feedback (DFB) lasers enable efficient lasing in the visible spectrum. Here, we report on the rapid and parallel fabrication of DFB lasers via transferring a nanograting structure from a flexible mold onto an unstructured film of the organic gain material. This geometrically well-defined structure allows for a systematic investigation of the laser threshold behavior. The laser thresholds for these devices show a strong dependence on the pump spot diameter. This experimental finding is in good qualitative agreement with calculations based on coupled-wave theory. With further investigations on various DFB laser geometries prepared by different routes and based on different organic gain materials, we found that these findings are quite general. This is important for the comparison of threshold values of various devices characterized under different excitation areas.

  13. Pump spot size dependent lasing threshold in organic semiconductor DFB lasers fabricated via nanograting transfer

    DEFF Research Database (Denmark)

    Liu, Xin; Klinkhammer, Sönke; Wang, Ziyao

    2013-01-01

    material. This geometrically well-defined structure allows for a systematic investigation of the laser threshold behavior. The laser thresholds for these devices show a strong dependence on the pump spot diameter. This experimental finding is in good qualitative agreement with calculations based on coupled......Optically excited organic semiconductor distributed feedback (DFB) lasers enable efficient lasing in the visible spectrum. Here, we report on the rapid and parallel fabrication of DFB lasers via transferring a nanograting structure from a flexible mold onto an unstructured film of the organic gain......-wave theory. With further investigations on various DFB laser geometries prepared by different routes and based on different organic gain materials, we found that these findings are quite general. This is important for the comparison of threshold values of various devices characterized under different...

  14. [Application of next-generation semiconductor sequencing technologies in genetic diagnosis of inherited cardiomyopathies].

    Science.gov (United States)

    Zhao, Yue; Zhang, Hong; Xia, Xue-shan

    2015-07-01

    Inherited cardiomyopathy is the most common hereditary cardiac disease. It also causes a significant proportion of sudden cardiac deaths in young adults and athletes. So far, approximately one hundred genes have been reported to be involved in cardiomyopathies through different mechanisms. Therefore, the identification of the genetic basis and disease mechanisms of cardiomyopathies are important for establishing a clinical diagnosis and genetic testing. Next-generation semiconductor sequencing (NGSS) technology platform is a high-throughput sequencer capable of analyzing clinically derived genomes with high productivity, sensitivity and specificity. It was launched in 2010 by Life Technologies of USA, and it is based on a high density semiconductor chip, which was covered with tens of thousands of wells. NGSS has been successfully used in candidate gene mutation screening to identify hereditary disease. In this review, we summarize these genetic variations, challenge and application of NGSS in inherited cardiomyopathy, and its value in disease diagnosis, prevention and treatment.

  15. Optically pumped semiconductor lasers for atomic and molecular physics

    Science.gov (United States)

    Burd, S.; Leibfried, D.; Wilson, A. C.; Wineland, D. J.

    2015-03-01

    Experiments in atomic, molecular and optical (AMO) physics rely on lasers at many different wavelengths and with varying requirements on spectral linewidth, power and intensity stability. Optically pumped semiconductor lasers (OPSLs), when combined with nonlinear frequency conversion, can potentially replace many of the laser systems currently in use. We are developing a source for laser cooling and spectroscopy of Mg+ ions at 280 nm, based on a frequency quadrupled OPSL with the gain chip fabricated at the ORC at Tampere Univ. of Technology, Finland. This OPSL system could serve as a prototype for many other sources used in atomic and molecular physics.

  16. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  17. Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding.

    Science.gov (United States)

    Yu, Huiwu; Li, Xiangyou; Hao, Zhongqi; Xiong, Wei; Guo, Lianbo; Lu, Yongfeng; Yi, Rongxing; Li, Jiaming; Yang, Xinyan; Zeng, Xiaoyan

    2017-06-01

    A green and simple method to prepare metal/semiconductor nanocomposites by selective laser nano-welding metal and semiconductor nanoparticles was presented, in which the sizes, phases, and morphologies of the components can be maintained. Many types of nanocomposites (such as Ag/TiO 2 , Ag/SnO 2 , Ag/ZnO 2 , Pt/TiO 2 , Pt/SnO 2 , and Pt/ZnO) can be prepared by this method and their corresponding performances were enhanced.

  18. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  19. An Overview of Current and Past W-UO[2] CERMET Fuel Fabrication Technology

    International Nuclear Information System (INIS)

    Douglas E. Burkes; Daniel M. Wachs; James E. Werner; Steven D. Howe

    2007-01-01

    Studies dating back to the late 1940s performed by a number of different organizations and laboratories have established the major advantages of Nuclear Thermal Propulsion (NTP) systems, particularly for manned missions. A number of NTP projects have been initiated since this time; none have had any sustained fuel development work that appreciably contributed to fuel fabrication or performance data from this era. As interest in these missions returns and previous space nuclear power researchers begin to retire, fuel fabrication technologies must be revisited, so that established technologies can be transferred to young researchers seamlessly and updated, more advanced processes can be employed to develop successful NTP fuels. CERMET fuels, specifically W-UO2, are of particular interest to the next generation NTP plans since these fuels have shown significant advantages over other fuel types, such as relatively high burnup, no significant failures under severe transient conditions, capability of accommodating a large fission product inventory during irradiation and compatibility with flowing hot hydrogen. Examples of previous fabrication routes involved with CERMET fuels include hot isostatic pressing (HIPing) and press and sinter, whereas newer technologies, such as spark plasma sintering, combustion synthesis and microsphere fabrication might be well suited to produce high quality, effective fuel elements. These advanced technologies may address common issues with CERMET fuels, such as grain growth, ductile to brittle transition temperature and UO2 stoichiometry, more effectively than the commonly accepted 'traditional' fabrication routes. Bonding of fuel elements, especially if the fabrication process demands production of smaller element segments, must be investigated. Advanced brazing techniques and compounds are now available that could produce a higher quality bond segment with increased ease in joining. This paper will briefly address the history of CERMET

  20. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    Directory of Open Access Journals (Sweden)

    Lai Chin Yung

    Full Text Available The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID and light emitting diode (LED industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  1. Developing Fabrication Technologies to Provide On Demand Manufacturing for Exploration of the Moon and Mars

    Science.gov (United States)

    Hammond, Monica S.; Good, James E.; Gilley, Scott D.; Howard, Richard W.

    2006-01-01

    NASA's human exploration initiative poses great opportunity and risk for manned and robotic missions to the Moon, Mars, and beyond. Engineers and scientists at the Marshall Space Flight Center (MSFC) are developing technologies for in situ fabrication capabilities during lunar and Martian surface operations utilizing provisioned and locally refined materials. Current fabrication technologies must be advanced to support the special demands and applications of the space exploration initiative such as power, weight and volume constraints. In Situ Fabrication and Repair (ISFR) will advance state-of-the-art technologies in support of habitat structure development, tools, and mechanical part fabrication. The repair and replacement of space mission components, such as life support items or crew exercise equipment, fall within the ISFR scope. This paper will address current fabrication technologies relative to meeting ISFR targeted capabilities, near-term advancement goals, and systematic evaluation of various fabrication methods.

  2. Hollow Nanospheres Array Fabrication via Nano-Conglutination Technology.

    Science.gov (United States)

    Zhang, Man; Deng, Qiling; Xia, Liangping; Shi, Lifang; Cao, Axiu; Pang, Hui; Hu, Song

    2015-09-01

    Hollow nanospheres array is a special nanostructure with great applications in photonics, electronics and biochemistry. The nanofabrication technique with high resolution is crucial to nanosciences and nano-technology. This paper presents a novel nonconventional nano-conglutination technology combining polystyrenes spheres (PSs) self-assembly, conglutination and a lift-off process to fabricate the hollow nanospheres array with nanoholes. A self-assembly monolayer of PSs was stuck off from the quartz wafer by the thiol-ene adhesive material, and then the PSs was removed via a lift-off process and the hollow nanospheres embedded into the thiol-ene substrate was obtained. Thiolene polymer is a UV-curable material via "click chemistry" reaction at ambient conditions without the oxygen inhibition, which has excellent chemical and physical properties to be attractive as the adhesive material in nano-conglutination technology. Using the technique, a hollow nanospheres array with the nanoholes at the diameter of 200 nm embedded into the rigid thiol-ene substrate was fabricated, which has great potential to serve as a reaction container, catalyst and surface enhanced Raman scattering substrate.

  3. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  4. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  5. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    International Nuclear Information System (INIS)

    Likhachev, D.V.

    2015-01-01

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  6. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Likhachev, D.V., E-mail: dmitriy.likhachev@globalfoundries.com

    2015-08-31

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  7. Exploring graphene field effect transistor devices to improve spectral resolution of semiconductor radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, Richard Karl [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Howell, Stephen Wayne [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Martin, Jeffrey B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hamilton, Allister B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2013-12-01

    Graphene, a planar, atomically thin form of carbon, has unique electrical and material properties that could enable new high performance semiconductor devices. Graphene could be of specific interest in the development of room-temperature, high-resolution semiconductor radiation spectrometers. Incorporating graphene into a field-effect transistor architecture could provide an extremely high sensitivity readout mechanism for sensing charge carriers in a semiconductor detector, thus enabling the fabrication of a sensitive radiation sensor. In addition, the field effect transistor architecture allows us to sense only a single charge carrier type, such as electrons. This is an advantage for room-temperature semiconductor radiation detectors, which often suffer from significant hole trapping. Here we report on initial efforts towards device fabrication and proof-of-concept testing. This work investigates the use of graphene transferred onto silicon and silicon carbide, and the response of these fabricated graphene field effect transistor devices to stimuli such as light and alpha radiation.

  8. Complete Dentures Fabricated with CAD/CAM Technology and a Traditional Clinical Recording Method.

    Science.gov (United States)

    Janeva, Nadica; Kovacevska, Gordana; Janev, Edvard

    2017-10-15

    The introduction of computer-aided design/computer-aided manufacturing (CAD/CAM) technology into complete denture (CD) fabrication ushered in a new era in removable prosthodontics. Commercially available CAD/CAM denture systems are expected to improve upon the disadvantages associated with conventional fabrication. The purpose of this report is to present the workflow involved in fabricating a CD with a traditional clinical recording method and CAD/CAM technology and to summarize the advantages to the dental practitioner and the patient.

  9. Fabrication and characterization of active nanostructures

    Science.gov (United States)

    Opondo, Noah F.

    Three different nanostructure active devices have been designed, fabricated and characterized. Junctionless transistors based on highly-doped silicon nanowires fabricated using a bottom-up fabrication approach are first discussed. The fabrication avoids the ion implantation step since silicon nanowires are doped in-situ during growth. Germanium junctionless transistors fabricated with a top down approach starting from a germanium on insulator substrate and using a gate stack of high-k dielectrics and GeO2 are also presented. The levels and origin of low-frequency noise in junctionless transistor devices fabricated from silicon nanowires and also from GeOI devices are reported. Low-frequency noise is an indicator of the quality of the material, hence its characterization can reveal the quality and perhaps reliability of fabricated transistors. A novel method based on low-frequency noise measurement to envisage trap density in the semiconductor bandgap near the semiconductor/oxide interface of nanoscale silicon junctionless transistors (JLTs) is presented. Low-frequency noise characterization of JLTs biased in saturation is conducted at different gate biases. The noise spectrum indicates either a Lorentzian or 1/f. A simple analysis of the low-frequency noise data leads to the density of traps and their energy within the semiconductor bandgap. The level of noise in silicon JLT devices is lower than reported values on transistors fabricated using a top-down approach. This noise level can be significantly improved by improving the quality of dielectric and the channel interface. A micro-vacuum electron device based on silicon field emitters for cold cathode emission is also presented. The presented work utilizes vertical Si nanowires fabricated by means of self-assembly, standard lithography and etching techniques as field emitters in this dissertation. To obtain a high nanowire density, hence a high current density, a simple and inexpensive Langmuir Blodgett technique

  10. Materials science and technology strained-layer superlattices materials science and technology

    CERN Document Server

    Pearsall, Thomas P; Willardson, R K; Pearsall, Thomas P

    1990-01-01

    The following blurb to be used for the AP Report and ATI only as both volumes will not appear together there.****Strained-layer superlattices have been developed as an important new form of semiconducting material with applications in integrated electro-optics and electronics. Edited by a pioneer in the field, Thomas Pearsall, this volume offers a comprehensive discussion of strained-layer superlattices and focuses on fabrication technology and applications of the material. This volume combines with Volume 32, Strained-Layer Superlattices: Physics, in this series to cover a broad spectrum of topics, including molecular beam epitaxy, quantum wells and superlattices, strain-effects in semiconductors, optical and electrical properties of semiconductors, and semiconductor devices.****The following previously approved blurb is to be used in all other direct mail and advertising as both volumes will be promoted together.****Strained-layer superlattices have been developed as an important new form of semiconducting ...

  11. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  12. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?

    Science.gov (United States)

    Ishikawa, Kenji; Karahashi, Kazuhiro; Ishijima, Tatsuo; Cho, Sung Il; Elliott, Simon; Hausmann, Dennis; Mocuta, Dan; Wilson, Aaron; Kinoshita, Keizo

    2018-06-01

    In this review, we discuss the progress of emerging dry processes for nanoscale fabrication of high-aspect-ratio features, including emerging design technology for manufacturability. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands of nanoscale deposition and etching technologies for high-aspect-ratio features. The discussion of our atomic-scale understanding of physicochemical reactions involving ion bombardment and neutral transport presents the major challenges shared across the plasma science and technology community. Focus is placed on advances in fabrication technology that control surface reactions on three-dimensional features, as well as state-of-the-art techniques used in semiconductor manufacturing with a brief summary of future challenges.

  13. Organic semiconductors for organic field-effect transistors

    International Nuclear Information System (INIS)

    Yamashita, Yoshiro

    2009-01-01

    The advantages of organic field-effect transistors (OFETs), such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed. (topical review)

  14. Organic semiconductors for organic field-effect transistors

    Directory of Open Access Journals (Sweden)

    Yoshiro Yamashita

    2009-01-01

    Full Text Available The advantages of organic field-effect transistors (OFETs, such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed.

  15. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  16. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  17. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    Energy Technology Data Exchange (ETDEWEB)

    Halbwax, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Sarnet, T. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France)], E-mail: sarnet@lp3.univ-mrs.fr; Hermann, J.; Delaporte, Ph.; Sentis, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Fares, L.; Haller, G. [STMicroelectronics, 190 Avenue Celestin Coq, ZI, 13106 Rousset Cedex (France)

    2007-12-15

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring.

  18. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    International Nuclear Information System (INIS)

    Halbwax, M.; Sarnet, T.; Hermann, J.; Delaporte, Ph.; Sentis, M.; Fares, L.; Haller, G.

    2007-01-01

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring

  19. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  20. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian

    2013-02-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry of the semiconductor/metal interface has been neglected so far. However, from a fabrication point of view, this part plays a crucial role. In this paper, the performance of a bar-type hybrid EMR sensor is investigated by means of finite element method and experiments with respect to the hybrid interface geometry. A 3-D model has been developed, which simulates the EMR effect in case of fields in different directions. The semiconductor/metal interface has been investigated in terms of different layer thicknesses and overlaps. The results show that those parameters can cause a change in the output sensitivity of 2%-10%. In order to maintain a high sensitivity and keep the fabrication relatively simple and at low cost, a device with a thin metal shunt having a large overlap on the top of the semiconductor bar would provide the best solution. © 2001-2012 IEEE.

  1. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    Science.gov (United States)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    2017-12-05

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  2. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  3. Electronic Properties of Metallic Nanoclusters on Semiconductor Surfaces: Implications for Nanoelectronic Device Applications

    International Nuclear Information System (INIS)

    Lee, Takhee; Liu Jia; Chen, N.-P.; Andres, R.P.; Janes, D.B.; Reifenberger, R.

    2000-01-01

    We review current research on the electronic properties of nanoscale metallic islands and clusters deposited on semiconductor substrates. Reported results for a number of nanoscale metal-semiconductor systems are summarized in terms of their fabrication and characterization. In addition to the issues faced in large-area metal-semiconductor systems, nano-systems present unique challenges in both the realization of well-controlled interfaces at the nanoscale and the ability to adequately characterize their electrical properties. Imaging by scanning tunneling microscopy as well as electrical characterization by current-voltage spectroscopy enable the study of the electrical properties of nanoclusters/semiconductor systems at the nanoscale. As an example of the low-resistance interfaces that can be realized, low-resistance nanocontacts consisting of metal nanoclusters deposited on specially designed ohmic contact structures are described. To illustrate a possible path to employing metal/semiconductor nanostructures in nanoelectronic applications, we also describe the fabrication and performance of uniform 2-D arrays of such metallic clusters on semiconductor substrates. Using self-assembly techniques involving conjugated organic tether molecules, arrays of nanoclusters have been formed in both unpatterned and patterned regions on semiconductor surfaces. Imaging and electrical characterization via scanning tunneling microscopy/spectroscopy indicate that high quality local ordering has been achieved within the arrays and that the clusters are electronically coupled to the semiconductor substrate via the low-resistance metal/semiconductor interface

  4. Printing technologies in fabrication of drug delivery systems

    DEFF Research Database (Denmark)

    Kolakovic, Ruzica; Viitala, Tapani; Ihalainen, Petri

    2013-01-01

    INTRODUCTION: There has been increased activity in the field recently regarding the development and research on various printing techniques in fabrication of dosage forms and drug delivery systems. These technologies may offer benefits and flexibility in manufacturing, potentially paving the way...... for personalized dosing and tailor-made dosage forms.\

  5. Microwaves integrated circuits: hybrids and monolithics - fabrication technology

    International Nuclear Information System (INIS)

    Cunha Pinto, J.K. da

    1983-01-01

    Several types of microwave integrated circuits are presented together with comments about technologies and fabrication processes; advantages and disadvantages in their utilization are analysed. Basic structures, propagation modes, materials used and major steps in the construction of hybrid thin film and monolithic microwave integrated circuits are described. Important technological applications are revised and main activities of the microelectronics lab. of the University of Sao Paulo (Brazil) in the field of hybrid and monolithic microwave integrated circuits are summarized. (C.L.B.) [pt

  6. Status of Research on Pebble Bed HTR Fuel Fabrication Technology in Indonesia

    International Nuclear Information System (INIS)

    Rachmawati, M.; Sarjono; Ridwan; Langenati, R.

    2014-01-01

    Research on pebble bed HTR fuel fabrication is conducted in Indonesia. One of the aims is to build a knowledge base on pebble bed HTR fuel element fabrication technology for fuel procurement. The steps of research strategies are firstly to understand the basic design research of TRISO fuel, properties, and requirements, and secondly to understand the TRISO fuel manufacturing technology, which comprises fabrication and quality control, including its facility. Both steps are adopted from research and experiences of the countries with HTR fuel element fabrication technology. From the knowledge gained in the research, an experimental design of the process and a set of prototype process equipment for fabrication are developed, namely kernels production using external gelation process, TRISO coating of the kernel, and pebble compacting. Experiments using the prototypes have been conducted. Characterization of the kernel product, i.e. diameter, sphericity, density and O/U ratio, shows that the kernel product is still not in compliance with the specification requirements. These are deemed to be caused mainly by the selected vibrating system and the viscosity adjustment. Another major cause is the selected NH3 and air feeding method for both NH3 and air layer in the preparation for spherical droplets of liquid. The FB-CVD TRISO coating of the kernel has been experimented but unsuccessful by using an FB-CVD once‐through continuous coating process. For the pebble compacting, the process is still in the early stage of setting-up compaction equipment. This paper summarizes the current status of research on HTR fuel fabrication technology in Indonesia, the proposed process and its equipment setting-up for improvement of the kernel production. The knowledge and lessons learned gained from the research is useful and can be an assistance in planning for fuel development laboratory facilities procurement, formulating User Requirement Document and Bid Invitation Specification for

  7. Photolithography and Micro-Fabrication/ Packaging Laboratories

    Data.gov (United States)

    Federal Laboratory Consortium — The Photolithography and Micro-Fabrication/Packaging laboratories provide research level semiconductor processing equipment and facilities that do not require a full...

  8. Fabrication of Superconducting Detectors for Studying the Universe

    Science.gov (United States)

    Brown, Ari-David

    2012-01-01

    Superconducting detectors offer unparalleled means of making astronomical/cosmological observations. Fabrication of these detectors is somewhat unconventional; however, a lot of novel condensed matter physics/materials scientific discoveries and semiconductor fabrication processes can be generated in making these devices.

  9. Method of Promoting Single Crystal Growth During Melt Growth of Semiconductors

    Science.gov (United States)

    Su, Ching-Hua (Inventor)

    2013-01-01

    The method of the invention promotes single crystal growth during fabrication of melt growth semiconductors. A growth ampoule and its tip have a semiconductor source material placed therein. The growth ampoule is placed in a first thermal environment that raises the temperature of the semiconductor source material to its liquidus temperature. The growth ampoule is then transitioned to a second thermal environment that causes the semiconductor source material in the growth ampoule's tip to attain a temperature that is below the semiconductor source material's solidus temperature. The growth ampoule so-transitioned is then mechanically perturbed to induce single crystal growth at the growth ampoule's tip.

  10. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  11. Dissolvable microneedle fabrication using piezoelectric dispensing technology.

    Science.gov (United States)

    Allen, Evin A; O'Mahony, Conor; Cronin, Michael; O'Mahony, Thomas; Moore, Anne C; Crean, Abina M

    2016-03-16

    Dissolvable microneedle (DMN) patches are novel dosage forms for the percutaneous delivery of vaccines. DMN are routinely fabricated by dispensing liquid formulations into microneedle-shaped moulds. The liquid formulation within the mould is then dried to create dissolvable vaccine-loaded microneedles. The precision of the dispensing process is critical to the control of formulation volume loaded into each dissolvable microneedle structure. The dispensing process employed must maintain vaccine integrity. Wetting of mould surfaces by the dispensed formulation is also an important consideration for the fabrication of sharp-tipped DMN. Sharp-tipped DMN are essential for ease of percutaneous administration. In this paper, we demonstrate the ability of a piezoelectric dispensing system to dispense picolitre formulation volumes into PDMS moulds enabling the fabrication of bilayer DMN. The influence of formulation components (trehalose and polyvinyl alcohol (PVA) content) and piezoelectric actuation parameters (voltage, frequency and back pressure) on drop formation is described. The biological integrity of a seasonal influenza vaccine following dispensing was investigated and maintained voltage settings of 30 V but undermined at higher settings, 50 and 80 V. The results demonstrate the capability of piezoelectric dispensing technology to precisely fabricate bilayer DMN. They also highlight the importance of identifying formulation and actuation parameters to ensure controlled droplet formulation and vaccine stabilisation. Copyright © 2015 Elsevier B.V. All rights reserved.

  12. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  13. OPENING ADDRESS: Heterostructures in Semiconductors

    Science.gov (United States)

    Grimmeiss, Hermann G.

    1996-01-01

    Good morning, Gentlemen! On behalf of the Nobel Foundation, I should like to welcome you to the Nobel Symposium on "Heterostructures in Semiconductors". It gives me great pleasure to see so many colleagues and old friends from all over the world in the audience and, in particular, to bid welcome to our Nobel laureates, Prof. Esaki and Prof. von Klitzing. In front of a different audience I would now commend the scientific and technological importance of heterostructures in semiconductors and emphatically emphasise that heterostructures, as an important contribution to microelectronics and, hence, information technology, have changed societies all over the world. I would also mention that information technology is one of the most important global key industries which covers a wide field of important areas each of which bears its own character. Ever since the invention of the transistor, we have witnessed a fantastic growth in semiconductor technology, leading to more complex functions and higher densities of devices. This development would hardly be possible without an increasing understanding of semiconductor materials and new concepts in material growth techniques which allow the fabrication of previously unknown semiconductor structures. But here and today I will not do it because it would mean to carry coals to Newcastle. I will therefore not remind you that heterostructures were already suggested and discussed in detail a long time before proper technologies were available for the fabrication of such structures. Now, heterostructures are a foundation in science and part of our everyday life. Though this is certainly true, it is nevertheless fair to say that not all properties of heterostructures are yet understood and that further technologies have to be developed before a still better understanding is obtained. The organisers therefore hope that this symposium will contribute not only to improving our understanding of heterostructures but also to opening new

  14. Single frequency semiconductor lasers

    CERN Document Server

    Fang, Zujie; Chen, Gaoting; Qu, Ronghui

    2017-01-01

    This book systematically introduces the single frequency semiconductor laser, which is widely used in many vital advanced technologies, such as the laser cooling of atoms and atomic clock, high-precision measurements and spectroscopy, coherent optical communications, and advanced optical sensors. It presents both the fundamentals and characteristics of semiconductor lasers, including basic F-P structure and monolithic integrated structures; interprets laser noises and their measurements; and explains mechanisms and technologies relating to the main aspects of single frequency lasers, including external cavity lasers, frequency stabilization technologies, frequency sweeping, optical phase locked loops, and so on. It paints a clear, physical picture of related technologies and reviews new developments in the field as well. It will be a useful reference to graduate students, researchers, and engineers in the field.

  15. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  16. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  17. The simulation of air recirculation and fire/explosion phenomena within a semiconductor factory

    International Nuclear Information System (INIS)

    I, Yet-Pole; Chiu, Y.-L.; Wu, S.-J.

    2009-01-01

    The semiconductor industry is the collection of capital-intensive firms that employ a variety of hazardous chemicals and engage in the design and fabrication of semiconductor devices. Owing to its processing characteristics, the fully confined structure of the fabrication area (fab) and the vertical airflow ventilation design restrict the applications of traditional consequence analysis techniques that are commonly used in other industries. The adverse situation also limits the advancement of a fire/explosion prevention design for the industry. In this research, a realistic model of a semiconductor factory with a fab, sub-fabrication area, supply air plenum, and return air plenum structures was constructed and the computational fluid dynamics algorithm was employed to simulate the possible fire/explosion range and its severity. The semiconductor factory has fan module units with high efficiency particulate air filters that can keep the airflow uniform within the cleanroom. This condition was modeled by 25 fans, three layers of porous ceiling, and one layer of porous floor. The obtained results predicted very well the real airflow pattern in the semiconductor factory. Different released gases, leak locations, and leak rates were applied to investigate their influence on the hazard range and severity. Common mitigation measures such as a water spray system and a pressure relief panel were also provided to study their potential effectiveness to relieve thermal radiation and overpressure hazards within a fab. The semiconductor industry can use this simulation procedure as a reference on how to implement a consequence analysis for a flammable gas release accident within an air recirculation cleanroom

  18. Application of vacuum technology during nuclear fuel fabrication, inspection and characterization

    International Nuclear Information System (INIS)

    Majumdar, S.

    2003-01-01

    Full text: Vacuum technology plays very important role during various stages of fabrication, inspection and characterization of U, Pu based nuclear fuels. Controlled vacuum is needed for melting and casting of U, Pu based alloys, picture framing of the fuel meat for plate type fuel fabrication, carbothermic reduction for synthesis of (U-Pu) mixed carbide powder, dewaxing of green ceramic fuel pellets, degassing of sintered pellets and encapsulation of fuel pellets inside clad tube. Application of vacuum technology is also important during inspection and characterization of fuel materials and fuel pins by way of XRF and XRD analysis, Mass spectrometer Helium leak detection etc. A novel method of low temperature sintering of UO 2 developed at BARC using controlled vacuum as sintering atmosphere has undergone successful irradiation testing in Cirus. The paper will describe various fuel fabrication flow sheets highlighting the stages where vacuum applications are needed

  19. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  20. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  1. Inkjet printing for biosensor fabrication: combining chemistry and technology for advanced manufacturing.

    Science.gov (United States)

    Li, Jia; Rossignol, Fabrice; Macdonald, Joanne

    2015-06-21

    Inkjet printing is emerging at the forefront of biosensor fabrication technologies. Parallel advances in both ink chemistry and printers have led to a biosensor manufacturing approach that is simple, rapid, flexible, high resolution, low cost, efficient for mass production, and extends the capabilities of devices beyond other manufacturing technologies. Here we review for the first time the factors behind successful inkjet biosensor fabrication, including printers, inks, patterning methods, and matrix types. We discuss technical considerations that are important when moving beyond theoretical knowledge to practical implementation. We also highlight significant advances in biosensor functionality that have been realised through inkjet printing. Finally, we consider future possibilities for biosensors enabled by this novel combination of chemistry and technology.

  2. Fabrication of a Lateral Polarity GaN MESFET: An Exploratory Study

    National Research Council Canada - National Science Library

    Sitar, Zlatko; Collazo, Ramon

    2007-01-01

    This report describes exploratory studies in the fabrication of the GaN LPH structures and their application in the fabrication of a depletion-mode metal semiconductor field effect transistors (MESFETs...

  3. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  4. 2,6-Bis(benzo[b]thiophen-2-yl-3,7-dipentadecyltetrathienoacene (DBT-TTAR2 as an Alternative of Highly Soluble p-type Organic Semiconductor for Organic Thin Film Transistor (OTFT Application

    Directory of Open Access Journals (Sweden)

    Mery B. Supriadi

    2013-03-01

    Full Text Available A new compound of organic semiconductor based on tetrathienoacene (TTA derivatives, DBT-TTAR2 was synthesized and characterized. The corporation of dibenzo[b,d]thiophene (DBT group and alkyl substituent in both ends of TTA core have a significant effect on their π-π molecular conjugation length, energy gaps value and solubility properties. DBT-TTAR2 is fabricated as p-type organic semiconductor of organic thin film transistor (OTFT by solution process at Industrial Technology Research Institute, Taiwan. A good optical, electrochemical, and thermal properties of DBT-TTAR2 showed that its exhibits a better performance as highly soluble p-type organic semiconductor.

  5. Performance evaluation of a hand-held, semiconductor (CdZnTe)-based gamma camera

    CERN Document Server

    Abe, A; Lee, J; Oka, T; Shizukuishi, K; Kikuchi, T; Inoue, T; Jimbo, M; Ryuo, H; Bickel, C

    2003-01-01

    We have designed and developed a small field of view gamma camera, the eZ SCOPE, based on use of a CdZnTe semiconductor. This device utilises proprietary signal processing technology and an interface to a computer-based imaging system. The purpose of this study was to evaluate the performance of the eZ scope in comparison with currently employed gamma camera technology. The detector is a single wafer of 5-mm-thick CdZnTe that is divided into a 16 x 16 array (256 pixels). The sensitive area of the detector is a square of dimension 3.2 cm. Two parallel-hole collimators are provided with the system and have a matching (256 hole) pattern to the CdZnTe detector array: a low-energy, high-resolution parallel-hole (LEHR) collimator fabricated of lead and a low-energy, high-sensitivity parallel-hole (LEHS) collimator fabricated of tungsten. Performance measurements and the data analysis were done according to the procedures of the NEMA standard. We also studied the long-term stability of the system with continuous use...

  6. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  7. Technology development of fabrication NbTi and Nb3 Sn superconducting wires

    International Nuclear Information System (INIS)

    Rodrigues Junior, D.; Bormio, C.; Baldan, C.A.; Ramos, M.J.; Pinatti, D.G.

    1988-01-01

    The technology development of NbTi and Nb 3 Sn superconducting wires are studied, mentioning the use of fluxes capture theory in the sizing of wires fabrication. The fabrication process, the thermal treatment and the experimental datas of critical temperature and current of Nb 3 Sn wires are described. (C.G.C.) [pt

  8. Technology of combined chemical-mechanical fabrication of durable coatings

    Science.gov (United States)

    Smolentsev, V. P.; Ivanov, V. V.; Portnykh, A. I.

    2018-03-01

    The article presents the scientific fundamentals of methodology for calculating the modes and structuring the technological processes of combined chemical-mechanical fabrication of durable coatings. It is shown that they are based on classical patterns, describing the processes of simultaneous chemical and mechanical impact. The paper demonstrates the possibility of structuring a technological process, taking into account the systematic approach to impact management and strengthening the reciprocal positive influence of each impact upon the combined process. The combined processes have been planned for fabricating the model types of chemical-mechanical coatings of durable products in machine construction. The planning methodology is underpinned by a scientific hypothesis of a single source of impact management through energy potential of process components themselves, or by means of external energy supply through mechanical impact. The control of it is fairly thoroughly studied in the case of pulsed external strikes of hard pellets, similar to processes of vibroimpact hardening, thoroughly studied and mastered in many scientific schools of Russia.

  9. Research on fabrication technology for thin film solar cells for practical use. Technological development for qualitative improvement (development of fabrication technology of thin film polycrystalline Si solar cell); Usumaku taiyo denchi seizo gijutsu no jitsuyoka kenkyu. Kohinshitsuka gijutsu (usumaku takessho silicon kei taiyo denchi seizo no gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on the fabrication technology of thin film polycrystalline Si solar cells in fiscal 1994. (1) On the fabrication technology of high-quality Si thin films, the new equipment was studied which allows uniform stable melting recrystallization over a large area. The new equipment adopted a heating method based on RTP system, and is now under adjustment. (2) On the fabrication technology of light/carrier confinement structure, degradation of hydrogen-treated thin film Si solar cells by light irradiation was examined. As a result, since any characteristic degradation was not found even by long time light irradiation, the high quality of the cells was confirmed regardless of hydrogen-treatment. Fabrication of stable reproducible fine texture structure became possible by using fabrication technology of light confinement structure by texture treatment of cell surfaces. (3) On low-cost process technology, design by VEST process, estimation of cell characteristics by simulation, and characteristics of prototype cells were reported. 33 figs., 1 tab.

  10. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    Science.gov (United States)

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  11. Advanced Processing and Characterization Technologies. Fabrication and Characterization of Semiconductor Optoelectronic Devices and Integrated Circuits Held in Clearwater, Florida on 8-10 May 1991. American Vacuum Society Series 10

    Science.gov (United States)

    1992-07-01

    ichi Gonda, Osaka University, Co-Chair Yasuhiko Arakawa, University of Tokyo Hiroyoshi Matsumura, Hitachi Alan Miller, University of Central Florida...M.H.Meynadier, et al. Phys. Rev. Lett. 29(12), (1984), 7042. 84 Mesoscopic Size Fabrication Technology Yasuhiko Arakawa Research Center for Advanced

  12. Fabrication issues and technology development for HELEOS

    International Nuclear Information System (INIS)

    Susoeff, A.R.; Hawke, R.S.; Balk, J.K.; Hall, C.A.; McDonald, M.J.

    1989-01-01

    Starfire is a joint railgun of Lawrence Livermore National Laboratory and Sandia National Laboratory-Albuquerque. The goal of Starfire is to develop a Hypervelocity Electromagnetic Launcher for Equation of State (HELEOS) experiments. A two-stage light-gas gun is used as a pre-injector. Each round-bore HELEOS railgun module is 12.7 mm in diameter and 2.4 m long. The muzzle end of the railgun is connected to a vacuum tank. Common materials and fabrication technology are used in the manufacture of all components, and modular design allows for extending the length of the railgun as progress dictates. The launcher uses a ''vee block'' geometry, which is designed to: (1) provide compressive preload, (2) operate with a 300-MPa (3-kbar) internal bore pressure, and (3) easily accommodate interchangeable materials in the bore support structure and rail. The authors have performed full-scale material testing of the railgun and have developed a precision round-bore fabrication process. Air-gage inspection is used to determine bore diameter and straightness. They have also developed a surface mapping system to document the surface topography of the bore before and after an experiment. This paper presents fabrication details, results of tests conducted, and areas for potential improvement

  13. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  14. Investigation of piezoresistive effect in p-channel metal–oxide–semiconductor field-effect transistors fabricated on circular silicon-on-insulator diaphragms using cost-effective minimal-fab process

    Science.gov (United States)

    Liu, Yongxun; Tanaka, Hiroyuki; Umeyama, Norio; Koga, Kazuhiro; Khumpuang, Sommawan; Nagao, Masayoshi; Matsukawa, Takashi; Hara, Shiro

    2018-06-01

    P-channel metal–oxide–semiconductor field-effect transistors (PMOSFETs) with the 〈110〉 or 〈100〉 channel direction have been successfully fabricated on circular silicon-on-insulator (SOI) diaphragms using a cost-effective minimal-fab process, and their electrical characteristics have been systematically investigated before and after the SOI diaphragm formation. It was found that almost the same subthreshold slope (S-slope) and threshold voltage (V t) are observed in the fabricated PMOSFETs before and after the SOI diaphragm formation, and they are independent of the channel direction. On the other hand, significant variations in drain current were observed in the fabricated PMOSFETs with the 〈110〉 channel direction after the SOI diaphragm formation owing to the residual mechanical stress-induced piezoresistive effect. It was also confirmed that electrical characteristics of the fabricated PMOSFETs with the 〈100〉 channel direction are almost the same before and after the SOI diaphragm formation, i.e., not sensitive to the mechanical stress. Moreover, the drain current variations at different directions of mechanical stress and current flow were systematically investigated and discussed.

  15. CDZNTE ROOM-TEMPERATURE SEMICONDUCTOR GAMMA-RAY DETECTOR FOR NATIONAL-SECURITY APPLICATIONS.

    Energy Technology Data Exchange (ETDEWEB)

    CAMARDA,G.S.; BOLOTNIKOV, A.E.; CUI, Y.; HOSSAIN, A.; KOHMAN, K.T.; JAMES, R.B.

    2007-05-04

    One important mission of the Department of Energy's National Nuclear Security Administration is to develop reliable gamma-ray detectors to meet the widespread needs of users for effective techniques to detect and identify special nuclear- and radioactive-materials. Accordingly, the Nonproliferation and National Security Department at Brookhaven National Laboratory was tasked to evaluate existing technology and to develop improved room-temperature detectors based on semiconductors, such as CdZnTe (CZT). Our research covers two important areas: Improving the quality of CZT material, and exploring new CZT-based gamma-ray detectors. In this paper, we report on our recent findings from the material characterization and tests of actual CZT devices fabricated in our laboratory and from materials/detectors supplied by different commercial vendors. In particular, we emphasize the critical role of secondary phases in the current CZT material and issues in fabricating the CZT detectors, both of which affect their performance.

  16. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  17. High Gain Hybrid Graphene-Organic Semiconductor Phototransistors

    NARCIS (Netherlands)

    Huisman, Everardus H.; Shulga, Artem G.; Zomer, Paul J.; Tombros, Nikolaos; Bartesaghi, Davide; Bisri, Satria Zulkarnaen; Loi, Maria A.; Koster, L. Jan Anton; van Wees, Bart J.

    2015-01-01

    Hybrid phototransistors of graphene and the organic semiconductor poly(3-hexylthiophene-2,5-diyl) (P3HT) are presented. Two types of phototransistors are demonstrated with a charge carrier transit time that differs by more than 6 orders of magnitude. High transit time devices are fabricated using a

  18. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  19. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  20. Fabrication and characterization of 6,13-bis(triisopropylsilylethynyl)-pentacene active semiconductor thin films prepared by flow-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Mohamad, Khairul Anuar; Rusnan, Fara Naila; Seria, Dzulfahmi Mohd Husin; Saad, Ismail; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia); Katsuhiro, Uesugi; Hisashi, Fukuda [Division of Engineering for Composite Functions, Muroran Institute of Technology 27-1 Mizumoto, Muroran 050-8585 Hokkaido (Japan)

    2015-08-28

    Investigation on the physical characterization and comparison of organic thin film based on a soluble 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene is reported. Oriented thin-films of pentacene have been successfully deposited by flow-coating method, in which the chloroform solution is sandwiched between a transparent substrate and a slide glass, followed by slow-drawing of the substrate with respect to the slide glass. Molecular orientation of flow-coated TIPS-pentacene is comparable to that of the thermal-evaporated pentacene thin film by the X-ray diffraction (XRD) results. XRD results showed that the morphology of flow-coated soluble pentacene is similar to that of the thermal-evaporated pentacene thin films in series of (00l) diffraction peaks where the (001) diffraction peaks are strongest in the nominally out-of-plane intensity and interplanar spacing located at approximately 2θ = 5.33° (d-spacing, d{sub 001} = 16 Å). Following that, ITO/p-TIPS-pentacene/n-ZnO/Au vertical diode was fabricated. The diode exhibited almost linear characteristics at low voltage with nonlinear characteristics at higher voltage which similar to a pn junction behavior. The results indicated that the TIPS-pentacene semiconductor active thin films can be used as a hole injection layer for fabrication of a vertical organic transistor.

  1. Semiconductor Mode-Locked Lasers for Optical Communication Systems

    DEFF Research Database (Denmark)

    Yvind, Kresten

    2003-01-01

    The thesis deals with the design and fabrication of semiconductor mode-locked lasers for use in optical communication systems. The properties of pulse sources and characterization methods are described as well as requirements for application in communication systems. Especially, the importance of...

  2. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  3. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  4. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  5. Three-dimensional minority carrier lifetime mapping of thin film semiconductors for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Hardin, Brian [PLANT PV, Inc., Belmont, CA (United States); Peters, Craig [PLANT PV, Inc., Belmont, CA (United States); Barnard, Edward [PLANT PV, Inc., Belmont, CA (United States)

    2015-09-30

    This project addresses the difficulty of accurately measuring charge carrier dynamics in novel semiconductor materials for thin film photovoltaic cells. We have developed a two- photon lifetime tomography technique to separate bulk minority carrier lifetime from surface recombination effects and effects of recombination at sub-surface defects. This technique also enables us to characterize how local defects such as grain boundaries– buried below the surface of a sample–affect carrier lifetimes in the active layer, dynamics that have been previously inaccessible. We have applied this newly developed technique to illuminate how CdCl2 treatment improves CdTe PV efficiency. From striking 3D lifetime tomography maps, a clear, sub- surface understanding emerges of the photophysical changes that occur in CdTe active medium following exposure to CdCl2, a standard step in the fabrication of high-efficiency CdTe-based solar cells. This work demonstrates a well-defined method to quantify grain-boundary, interface, and bulk recombination in CdTe and other optically-active polycrystalline semiconductor materials; information that can provide critical information to the development of next- generation photovoltaics and many other semiconductor technologies.

  6. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  7. Report on surveys in fiscal 2000 on the workshop on semiconductor technology for 21st century; 2000 nendo 21 seiki wo hiraku handotai gijutsu workshop chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-08-01

    A workshop was held in order to realize the high-level information society, and bring about the common recognition on the assignments in the directionality to go in the next five years and on the problems to be worked on with emphasis in semiconductor technologies to form the foundation thereof, standing on the viewpoint of about ten years ahead. The matters discussed in the major semiconductor technology field included promotion of development of functions and technologies sought in the next generation system LSI, CAD system technologies, assurance of design engineers, development of new devices corresponding to electric power saving requirement, promotion of high integration elementary technologies, innovation in memory elementary technologies and structuring of new devices, new materials and processes for SoC, establishment of the membrane technology to insulate low-k layers, necessity of coordination from the basics to the applied fields, development of lithography and measuring technologies of sub-100 nm, and post photo-lithography technologies, promotion of micro measurement and macro measurement technologies, requirements in high-profit type semiconductor production technologies, and structuring of production factories with high QTAT and flexibility. (NEDO)

  8. Nano-slit electrospray emitters fabricated by a micro- to nanofluidic via technology

    NARCIS (Netherlands)

    Dijkstra, Marcel; Berenschot, Johan W.; de Boer, Meint J.; van der Linden, H.J.; Hankemeier, T.; Lammerink, Theodorus S.J.; Wiegerink, Remco J.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2012-01-01

    This article presents nano-slit electrospray emitters fabricated by a micro- to nanofluidic via technology. The main advantage of the technology is the ability to position freely suspended nanochannels anywhere on a microfluidic chip, where leak-tight delivery of fluid from a fluid reservoir can be

  9. Perspectives and advantages of the use of excimer laser annealing for MOS technology

    International Nuclear Information System (INIS)

    Privitera, V.; Alippi, P.; Camalleri, M.

    2006-01-01

    The integration of excimer laser annealing (ELA) into the MOS device technology has been studied and evaluated within the frame of the IST project FLASH (Fundamentals and applications of laser processing for highly innovative MOS technology), funded by the European Commission. The final aim of the project was to demonstrate that ELA can be applied as a reliable, effective and advantageous process in the context of semiconductor device fabrication. Some of the results of this activity are summarised, relative to the experimental characterization and theoretical modelling. The electrical characterization of the transistor fabricated by ELA is also presented, showing a device yield of 90% on wafer

  10. From Lunar Regolith to Fabricated Parts: Technology Developments and the Utilization of Moon Dirt

    Science.gov (United States)

    McLemore, C. A.; Fikes, J. C.; McCarley, K. S.; Good, J. E.; Gilley, S. D.; Kennedy, J. P.

    2008-01-01

    The U.S. Space Exploration Policy has as a cornerstone the establishment of an outpost on the moon. This lunar outpost wil1 eventually provide the necessary planning, technology development, testbed, and training for manned missions in the future beyond the Moon. As part of the overall activity, the National Aeronautics and Space Administration (NASA) is investigating how the in situ resources can be utilized to improve mission success by reducing up-mass, improving safety, reducing risk, and bringing down costs for the overall mission. Marshall Space Flight Center (MSFC), along with other NASA centers, is supporting this endeavor by exploring how lunar regolith can be mined for uses such as construction, life support, propulsion, power, and fabrication. An infrastructure capable of fabrication and nondestructive evaluation will be needed to support habitat structure development and maintenance, tools and mechanical parts fabrication, as well as repair and replacement of space-mission hardware such as life-support items, vehicle components, and crew systems, This infrastructure will utilize the technologies being developed under the In Situ Fabrication and Repair (ISFR) element, which is working in conjunction with the technologies being developed under the In Situ Resources Utilization (ISRU) element, to live off the land. The ISFR Element supports the Space Exploration Initiative by reducing downtime due to failed components; decreasing risk to crew by recovering quickly from degraded operation of equipment; improving system functionality with advanced geometry capabilities; and enhancing mission safety by reducing assembly part counts of original designs where possible. This paper addresses the need and plan for understanding the properties of the lunar regolith to determine the applicability of using this material in a fabrication process. This effort includes the development of high fidelity simulants that will be used in fabrication processes on the ground to

  11. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  12. Development of semiconductor ΔE-E detector chip using standard bipolar IC technology

    International Nuclear Information System (INIS)

    Mishra, Vijay; Kataria, S.K.

    2005-01-01

    A proposal has been made for developing silicon based AE-E detector chip which can be used as particle identifiers in nuclear physics experiments and also in several applications in nuclear industry scenario. The proposed development work employs standard bipolar IC fabrication technology of Bharat Electronics Ltd. and the deliverable products that emerge out will be very cost effective. The present paper discusses the concept, feasibility studies and systematic plan for fabrication, characterization and packaging of the proposed detectors. (author)

  13. Semiconductor quantum dot-sensitized solar cells.

    Science.gov (United States)

    Tian, Jianjun; Cao, Guozhong

    2013-10-31

    Semiconductor quantum dots (QDs) have been drawing great attention recently as a material for solar energy conversion due to their versatile optical and electrical properties. The QD-sensitized solar cell (QDSC) is one of the burgeoning semiconductor QD solar cells that shows promising developments for the next generation of solar cells. This article focuses on recent developments in QDSCs, including 1) the effect of quantum confinement on QDSCs, 2) the multiple exciton generation (MEG) of QDs, 3) fabrication methods of QDs, and 4) nanocrystalline photoelectrodes for solar cells. We also make suggestions for future research on QDSCs. Although the efficiency of QDSCs is still low, we think there will be major breakthroughs in developing QDSCs in the future.

  14. Design and Fabrication of Vertically-Integrated CMOS Image Sensors

    Science.gov (United States)

    Skorka, Orit; Joseph, Dileepan

    2011-01-01

    Technologies to fabricate integrated circuits (IC) with 3D structures are an emerging trend in IC design. They are based on vertical stacking of active components to form heterogeneous microsystems. Electronic image sensors will benefit from these technologies because they allow increased pixel-level data processing and device optimization. This paper covers general principles in the design of vertically-integrated (VI) CMOS image sensors that are fabricated by flip-chip bonding. These sensors are composed of a CMOS die and a photodetector die. As a specific example, the paper presents a VI-CMOS image sensor that was designed at the University of Alberta, and fabricated with the help of CMC Microsystems and Micralyne Inc. To realize prototypes, CMOS dies with logarithmic active pixels were prepared in a commercial process, and photodetector dies with metal-semiconductor-metal devices were prepared in a custom process using hydrogenated amorphous silicon. The paper also describes a digital camera that was developed to test the prototype. In this camera, scenes captured by the image sensor are read using an FPGA board, and sent in real time to a PC over USB for data processing and display. Experimental results show that the VI-CMOS prototype has a higher dynamic range and a lower dark limit than conventional electronic image sensors. PMID:22163860

  15. Micro-fabricated packed gas chromatography column based on laser etching technology.

    Science.gov (United States)

    Sun, J H; Guan, F Y; Zhu, X F; Ning, Z W; Ma, T J; Liu, J H; Deng, T

    2016-01-15

    In this work, a micro packed gas chromatograph column integrated with a micro heater was fabricated by using laser etching technology (LET) for analyzing environmental gases. LET is a powerful tool to etch deep well-shaped channels on the glass wafer, and it is the most effective way to increase depth of channels. The fabricated packed GC column with a length of over 1.6m, to our best knowledge, which is the longest so far. In addition, the fabricated column with a rectangular cross section of 1.2mm (depth) × 0.6mm (width) has a large aspect ratio of 2:1. The results show that the fabricated packed column had a large sample capacity, achieved a separation efficiency of about 5800 plates/m and eluted highly symmetrical Gaussian peaks. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. A study on fabrication technology of ceramic overpack

    International Nuclear Information System (INIS)

    Teshima, T.; Ishikawa, H.; Sasaki, N.; Karita, Y.; Katsumoto, K.

    1990-03-01

    The conceptual design and fabrication test of a full-scale ceramic overpack were performed from the viewpoint of structural barriers as a part of program to evaluate their potential use as overpack under conditions of deep geological disposal. Materials investigated were porcelain (used for insulators) and Al 2 O 3 with high purity of 99.7 %. The selected design consisted of a cylindrical body with hemispherical heads at each end. The design thickness of overpack is the sum of the structural thickness and corrosion allowance. The thickness required to resist the lithostatic pressure was estimated by the basic cylinder buckling formulas and finite element stress analyses in both case of uniform and non-uniform external pressure conditions. These analyses showed that structural thickness of 119 mm was necessary for overpack of porcelain and 40 mm for Al 2 O 3 under the predicted maximum uniform pressure. In addition, fracture probability of delayed failure, one of significant degradation mode, was estimated for overpack of porcelain. A full-scale overpack of porcelain, of dimensions 800 mm outer diameter x 2200 mm length x 150 mm wall thickness, was fabricated under the ordinary level of fabrication technology. (author)

  17. Innovative forming and fabrication technologies : new opportunities.

    Energy Technology Data Exchange (ETDEWEB)

    Davis, B.; Hryn, J.; Energy Systems; Kingston Process Metallurgy, Inc.

    2008-01-31

    The advent of light metal alloys and advanced materials (polymer, composites, etc.) have brought the possibility of achieving important energy reductions into the full life cycle of these materials, especially in transportation applications. 1 These materials have gained acceptance in the aerospace industry but use of light metal alloys needs to gain wider acceptance in other commercial transportation areas. Among the main reasons for the relatively low use of these materials are the lack of manufacturability, insufficient mechanical properties, and increased material costs due to processing inefficiencies. Considering the enormous potential energy savings associated with the use of light metal alloys and advanced materials in transportation, there is a need to identify R&D opportunities in the fields of materials fabrication and forming aimed at developing materials with high specific mechanical properties combined with energy efficient processes and good manufacturability. This report presents a literature review of the most recent developments in the areas of fabrication and metal forming focusing principally on aluminum alloys. In the first section of the document, the different sheet manufacturing technologies including direct chill (DC) casting and rolling, spray forming, spray rolling, thin slab, and strip casting are reviewed. The second section of the document presents recent research on advanced forming processes. The various forming processes reviewed are: superplastic forming, electromagnetic forming, age forming, warm forming, hydroforming, and incremental forming. Optimization of conventional forming processes is also discussed. Potentially interesting light metal alloys for high structural efficiency including aluminum-scandium, aluminum-lithium, magnesium, titanium, and amorphous metal alloys are also reviewed. This section concludes with a discussion on alloy development for manufacturability. The third section of the document reviews the latest

  18. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  19. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  20. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  1. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  2. Plastic use in technology of scintillation detector fabrication

    International Nuclear Information System (INIS)

    Mlika, V.

    1977-01-01

    The technique of plastic mandrel fabrication for scintillation detectors is developed. ''Forsan 548'' (thermopolimer of ABS type) and ''Krasten 127'' (polystyrene) are used. The mandrel is fabricated by the casting method under pressure with a subsequent parts adhesion. An adhesive substance is applied on the basis of polymerizing monomer of acrylate rotors and organic polysis cyanates. The developed construction consists totally of 5 components, only one of them being machined (lightquide). Testing under trying conditions (during 300 hours at the temperature from -30 deg to +50 deg C under the silicon oil layer or at the humidity up to 95% have shown high reliability of the construction. It is supposed, that the suggested technology will economize 3, 4 hours of turning lathe work for one mandrel and will reduce for 1-3 hours the scintillation mandrel frlming process

  3. A Solder Based Self Assembly Project in an Introductory IC Fabrication Course

    Science.gov (United States)

    Rao, Madhav; Lusth, John C.; Burkett, Susan L.

    2015-01-01

    Integrated circuit (IC) fabrication principles is an elective course in a senior undergraduate and early graduate student's curriculum. Over the years, the semiconductor industry relies heavily on students with developed expertise in the area of fabrication techniques, learned in an IC fabrication theory and laboratory course. The theory course…

  4. Organic semiconductor heterojunctions and its application in organic light-emitting diodes

    CERN Document Server

    Ma, Dongge

    2017-01-01

    This book systematically introduces the most important aspects of organic semiconductor heterojunctions, including the basic concepts and electrical properties. It comprehensively discusses the application of organic semiconductor heterojunctions as charge injectors and charge generation layers in organic light-emitting diodes (OLEDs). Semiconductor heterojunctions are the basis for constructing high-performance optoelectronic devices. In recent decades, organic semiconductors have been increasingly used to fabricate heterojunction devices, especially in OLEDs, and the subject has attracted a great deal of attention and evoked many new phenomena and interpretations in the field. This important application is based on the low dielectric constant of organic semiconductors and the weak non-covalent electronic interactions between them, which means that they easily form accumulation heterojunctions. As we know, the accumulation-type space charge region is highly conductive, which is an important property for high...

  5. Design consideration for dc SQUIDs fabricated in deep sub-micron technology

    International Nuclear Information System (INIS)

    Ketchen, M.B.

    1991-01-01

    Design rules for scaling dc SQUID junctions to optimize SQUID performance have been well known for over a decade, and verified down to the sub-micron regime. Practical SQUIDs having well coupled input coils of usable inductance have generally been fabricated at the 2-5 μm level of lithography. Other technologies, silicon in particular, are now routinely practiced at the 0.5 μm level of lithography with impressive demonstrations at the 0.1-0.25 μm level not uncommon. In this paper the implications of applying such fabrication capability to advance dc SQUID technology are explored. In particular the issues of scaling practical dc SQUIDs down to the 0.1-0.25 μm regime are examined, using as a prototype design the basic washer SQUID with a spiral input coil

  6. Benefits of on-wafer calibration standards fabricated in membrane technology

    Science.gov (United States)

    Rohland, M.; Arz, U.; Büttgenbach, S.

    2011-07-01

    In this work we compare on-wafer calibration standards fabricated in membrane technology with standards built in conventional thin-film technology. We perform this comparison by investigating the propagation of uncertainties in the geometry and material properties to the broadband electrical properties of the standards. For coplanar waveguides used as line standards the analysis based on Monte Carlo simulations demonstrates an up to tenfold reduction in uncertainty depending on the electromagnetic waveguide property we look at.

  7. Fabrication of high aspect ratio nanocell lattices by ion beam irradiation

    International Nuclear Information System (INIS)

    Ishikawa, Osamu; Nitta, Noriko; Taniwaki, Masafumi

    2016-01-01

    Highlights: • Nanocell lattice with a high aspect ratio on InSb semiconductor surface was fabricated by ion beam irradiation. • The fabrication technique consisting of top-down and bottom-up processes was performed in FIB. • High aspect ratio of 2 was achieved in nanocell lattice with a 100 nm interval. • The intermediate-flux irradiation is favorable for fabrication of nanocell with a high aspect ratio. - Abstract: A high aspect ratio nanocell lattice was fabricated on the InSb semiconductor surface using the migration of point defects induced by ion beam irradiation. The fabrication technique consisting of the top-down (formation of voids and holes) and bottom-up (growth of voids and holes into nanocells) processes was performed using a focused ion beam (FIB) system. A cell aspect ratio of 2 (cell height/cell diameter) was achieved for the nanocell lattice with a 100 nm dot interval The intermediate-flux ion irradiation during the bottom-up process was found to be optimal for the fabrication of a high aspect ratio nanocell.

  8. Design and fabrication of a high-damage threshold infrared Smattt interferometer

    International Nuclear Information System (INIS)

    Hammond, R.B.; Gibbs, A.J.

    1981-01-01

    It has been shown that a Smartt interferometer may be used as a very precise alignment tool for infrared lasers. This interferometer may also be used effectively to investigate the phase front of a laser pulse. To use this tool for applications to high-power, fast-pulse laser systems such as Helios and Antares; however, it has been necessary to fabricate a structure with the unique optical characteristics of the Smartt interferometer combined with a very high optical-damage threshold. We have been successful in this effort by utilizing the high technology, process control, and unique properties of semiconductor-grade, single-crystal Si

  9. Optoelectronic integrated circuits utilising vertical-cavity surface-emitting semiconductor lasers

    International Nuclear Information System (INIS)

    Zakharov, S D; Fyodorov, V B; Tsvetkov, V V

    1999-01-01

    Optoelectronic integrated circuits with additional optical inputs/outputs, in which vertical-cavity surface-emitting (VCSE) lasers perform the data transfer functions, are considered. The mutual relationship and the 'affinity' between optical means for data transfer and processing, on the one hand, and the traditional electronic component base, on the other, are demonstrated in the case of implementation of three-dimensional interconnects with a high transmission capacity. Attention is drawn to the problems encountered when semiconductor injection lasers are used in communication lines. It is shown what role can be played by VCSE lasers in solving these problems. A detailed analysis is made of the topics relating to possible structural and technological solutions in the fabrication of single lasers and of their arrays, and also of the problems hindering integrating of lasers into emitter arrays. Considerable attention is given to integrated circuits with optoelectronic smart pixels. Various technological methods for vertical integration of GaAs VCSE lasers with the silicon substrate of a microcircuit (chip) are discussed. (review)

  10. The European ITER Test Blanket Modules: Current status of fabrication technologies development and a way forward

    Energy Technology Data Exchange (ETDEWEB)

    Zmitko, Milan, E-mail: milan.zmitko@f4e.europa.eu [Fusion for Energy (F4E), Josep Pla 2, Barcelona (Spain); Galabert, Jose [Fusion for Energy (F4E), Josep Pla 2, Barcelona (Spain); Thomas, Noël [ATMOSTAT, F-94815 Villejuif (France); Forest, Laurent [CEA-Saclay, DEN, DM2S, SEMT, F-91191 Gif-sur-Yvette (France); Bucci, Philippe; Cogneau, Laurence [CEA-DRT, 38000 Grenoble (France); Rey, Jörg; Neuberger, Heiko [Karlsruhe Institute of Technology (KIT), Postfach 3640, Karlsruhe (Germany); Poitevin, Yves [Fusion for Energy (F4E), Josep Pla 2, Barcelona (Spain)

    2015-10-15

    Highlights: • Significant progress on development of welding procedures for European TBM achieved. • Fabrication processes feasibility based on diffusion and fusion welding demonstrated. • TBM box assembly welding scenarios investigated and welding scenarios identified. • Future qualification of pF/WPS proposed through realization of a number of QMUs. - Abstract: The paper reviews fabrication technologies and procedures applied for manufacturing of the TBM sub-components, like, HCLL and HCPB cooling plates, HCLL/HCPB stiffening plates, and HCLL/HCPB first wall and side caps. The used technologies are based on fusion and diffusion welding techniques taking into account specificities of the EUROFER-97 steel. Development of a standardized procedure complying with professional codes and standards (RCC-MRx), a preliminary fabrication/welding procedure specification (pF/WPS), is described as well as a fabrication and characterization of feasibility mock-ups (FMU) aimed at assessing the suitability of a fabrication process for fulfilling the design and fabrication specifications. Also, fabrication procedures for the TBM box assembly are presently under development through collaboration between European Fusion Laboratories and Industry for the establishment of an optimized assembly sequence/scenario and development of standardized welding procedure specifications. Selection of optimized assembly scenario takes into accounts not only the design requirements and fabrication possibilities/constraints but also maximum accessibility to the welds for sound non-destructive examination in compliance with welds classification. A future approach towards qualification of the developed fabrication technologies and procedures, through a number of medium to full-size qualification mock-ups according to European standards, is outlined before construction of the first TBMs.

  11. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  12. Emerging technologies for high performance infrared detectors

    Science.gov (United States)

    Tan, Chee Leong; Mohseni, Hooman

    2018-01-01

    Infrared photodetectors (IRPDs) have become important devices in various applications such as night vision, military missile tracking, medical imaging, industry defect imaging, environmental sensing, and exoplanet exploration. Mature semiconductor technologies such as mercury cadmium telluride and III-V material-based photodetectors have been dominating the industry. However, in the last few decades, significant funding and research has been focused to improve the performance of IRPDs such as lowering the fabrication cost, simplifying the fabrication processes, increasing the production yield, and increasing the operating temperature by making use of advances in nanofabrication and nanotechnology. We will first review the nanomaterial with suitable electronic and mechanical properties, such as two-dimensional material, graphene, transition metal dichalcogenides, and metal oxides. We compare these with more traditional low-dimensional material such as quantum well, quantum dot, quantum dot in well, semiconductor superlattice, nanowires, nanotube, and colloid quantum dot. We will also review the nanostructures used for enhanced light-matter interaction to boost the IRPD sensitivity. These include nanostructured antireflection coatings, optical antennas, plasmonic, and metamaterials.

  13. Emerging technologies for high performance infrared detectors

    Directory of Open Access Journals (Sweden)

    Tan Chee Leong

    2018-01-01

    Full Text Available Infrared photodetectors (IRPDs have become important devices in various applications such as night vision, military missile tracking, medical imaging, industry defect imaging, environmental sensing, and exoplanet exploration. Mature semiconductor technologies such as mercury cadmium telluride and III–V material-based photodetectors have been dominating the industry. However, in the last few decades, significant funding and research has been focused to improve the performance of IRPDs such as lowering the fabrication cost, simplifying the fabrication processes, increasing the production yield, and increasing the operating temperature by making use of advances in nanofabrication and nanotechnology. We will first review the nanomaterial with suitable electronic and mechanical properties, such as two-dimensional material, graphene, transition metal dichalcogenides, and metal oxides. We compare these with more traditional low-dimensional material such as quantum well, quantum dot, quantum dot in well, semiconductor superlattice, nanowires, nanotube, and colloid quantum dot. We will also review the nanostructures used for enhanced light-matter interaction to boost the IRPD sensitivity. These include nanostructured antireflection coatings, optical antennas, plasmonic, and metamaterials.

  14. Electronic technology

    International Nuclear Information System (INIS)

    Kim, Jin Su

    2010-07-01

    This book is composed of five chapters, which introduces electronic technology about understanding of electronic, electronic component, radio, electronic application, communication technology, semiconductor on its basic, free electron and hole, intrinsic semiconductor and semiconductor element, Diode such as PN junction diode, characteristic of junction diode, rectifier circuit and smoothing circuit, transistor on structure of transistor, characteristic of transistor and common emitter circuit, electronic application about electronic equipment, communication technology and education, robot technology and high electronic technology.

  15. Vertically contacting ultrathin semiconductor nanomembranes by rolled-up metallic contacts incorporating selective etching techniques

    Energy Technology Data Exchange (ETDEWEB)

    Thurmer, Dominic J.; Bof Bufon, Carlos Cesar; Deneke, Christoph [IFW Dresden, Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, Dresden (Germany); TU Chemnitz, Chemnitz (Germany)

    2011-07-01

    Merging modern self-assembly techniques with well established top-down processing methods is paving the way for more sophisticated device generations in the future. Nanomembranes, composed of many different material classes, have already been shown to provide the necessary framework for a diverse range of structures and devices incorporating wrinkling, buckling, folding and rolling of thin films. In the past decade, an elegant symbiosis of bottom-up and top-down methods has emerged to fabricate hybrid layer systems incorporating the controlled release and rearrangement of inherently strained layers. Using selective III-V etchants in combination with inherently strained layers we are able to fabricate structures which allow us to contact through single and multi-material semiconductor nanomembrane creating many devices in parallel and on the original semiconductor substrate. We demonstrate this technique by creating hybrid superconducting junctions created by sandwiching the semiconductor nanomembrane between two superconducting contacts. Using solely optical lithography techniques we are able to form junctions with lateral dimensions of a few micrometers and a semiconductor barrier thickness of down to 5 nm.

  16. Development of technology on natural flaw fabrication and precise diagnosis for the major components in NPPs

    International Nuclear Information System (INIS)

    Han, Jung Ho; Choi, Myung Sik; Lee, Doek Hyun; Hur, Do Haeng

    2002-01-01

    The objective of this research is to develop a fabrication technology of natural flaw specimen of major components in NPPs and a technology of precise diagnosis for failure and degradation of components using natural flaw specimen. 1) Successful development of the natural flaw fabrication technology of SG tube 2) Evaluation of ECT signal and development of precise diagnosis using natural flaws. - Determination of length, depth, width, and multiplicity of fabricated natural flaws. - Informations about detectability and accuracy of ECT evaluation on various kinds of defects are collected when the combination of probe and frequency is changed. - An advanced technology for precise ECT evaluation is established. 3) Application of precise ECT diagnosis to failure analysis of SG tube in operation. - Fretting wear of KSNP SG. - ODSCC at tube expanded region of KSNP SG. - Determination of through/non-through wall of axial crack

  17. Optoelectronic device physics and technology of nitride semiconductors from the UV to the terahertz

    Science.gov (United States)

    Moustakas, Theodore D.; Paiella, Roberto

    2017-10-01

    This paper reviews the device physics and technology of optoelectronic devices based on semiconductors of the GaN family, operating in the spectral regions from deep UV to Terahertz. Such devices include LEDs, lasers, detectors, electroabsorption modulators and devices based on intersubband transitions in AlGaN quantum wells (QWs). After a brief history of the development of the field, we describe how the unique crystal structure, chemical bonding, and resulting spontaneous and piezoelectric polarizations in heterostructures affect the design, fabrication and performance of devices based on these materials. The heteroepitaxial growth and the formation and role of extended defects are addressed. The role of the chemical bonding in the formation of metallic contacts to this class of materials is also addressed. A detailed discussion is then presented on potential origins of the high performance of blue LEDs and poorer performance of green LEDs (green gap), as well as of the efficiency reduction of both blue and green LEDs at high injection current (efficiency droop). The relatively poor performance of deep-UV LEDs based on AlGaN alloys and methods to address the materials issues responsible are similarly addressed. Other devices whose state-of-the-art performance and materials-related issues are reviewed include violet-blue lasers, ‘visible blind’ and ‘solar blind’ detectors based on photoconductive and photovoltaic designs, and electroabsorption modulators based on bulk GaN or GaN/AlGaN QWs. Finally, we describe the basic physics of intersubband transitions in AlGaN QWs, and their applications to near-infrared and terahertz devices.

  18. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  19. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  20. Point Defects in Two-Dimensional Layered Semiconductors: Physics and Its Applications

    Science.gov (United States)

    Suh, Joonki

    thermoelectric materials are thoroughly investigated. Point defects can potentially beat the undesired coupling, often term "thermoelectric Bermuda triangle", among electrical conductivity, thermal conductivity and thermopower. The maximum thermoelectric performance is demonstrated with an intermediate density of defects when they beneficially and multi-functionally act as electron donors, as well as strongly energy-dependent electron and phonon scatterers. Therefore, this is a good example of how fundamental defect physics can be applied for practical devices toward renewable energy technology. Another interesting field of layered nanomaterials is on transition-metal dichalcogenides (TMDs), sensational candidates for 2D semiconductor physics and applications. At the reduced dimensionality of 2D where a far stronger correlation between point defects and charge carriers is expected, it is studied how chalcogen vacancies alter optical properties of monolayer TMDs. A new, sub-bandgap broad emission lines as well as increase in the overall photoluminescence intensity at low temperatures are reported as a result of high quantum efficiency of excitons, i.e., bound electron-hole pairs, localized at defect sites. On electrical transport, both n- and p-type materials are needed to form junctions and support bipolar carrier conduction while typically only one type of doping is stable for a particular TMD. For example, MoS2 is natively n-type, thus the lack of p-type doping hampers the development of charge-splitting p-n junctions of MoS2. To address this issue, we demonstrate stable p-type conduction in MoS2 by substitutional Nb doping up to the degenerate level. Proof-of-concept, van der Waals p-n homo-junctions based on vertically stacked MoS2 layers are also fabricated which enable gate-tuneable current rectification. Various electronic devices fabricated are stable in ambient air even without additional treatment such as capping layer protection, thanks to the substitutionality nature

  1. Fiscal 1999 achievement report. Development of ultralow-loss power device technology; 1999 nendo choteisonshitsu denryoku soshi gijutsu kaihtsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The aim is to establish basic technologies for upgrading wide-gap semiconductor devices, fabricated mainly of SiC, in terms of their low-loss, high-speed, and high-power features. In the research and development of ultralow-loss power device technology, progress of the effort is reviewed, problems in the process of research and development are extracted, and technological trends are surveyed. In the development of basic technologies, an SiC crystal growing device is experimentally built and the process of crystal growth is assessed and analyzed, and tasks to discharge for higher quality and larger diameter are extracted. Basic technologies are developed relative to the epitaxial growth, interface control, and conductivity control of SiC etc. In the development of technologies for developing SiC into devices, technological development is carried out for the junction-type FET (field effect transistor), which involves termination structure optimization, high-voltage capability enhancement, and gate-off gain improvement. As for MOSFET (metal oxide semiconductor FET), MOS channel formation technology is developed and device-constructing technology is also developed. As for MESFET (metal-semiconductor FET), micro-processing is established for a success in experimentally building a 0.5{mu}m-long gate. (NEDO)

  2. Ultrawide band gap amorphous oxide semiconductor, Ga–Zn–O

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Junghwan, E-mail: JH.KIM@lucid.msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Miyokawa, Norihiko; Sekiya, Takumi; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Toda, Yoshitake [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan)

    2016-09-01

    We fabricated amorphous oxide semiconductor films, a-(Ga{sub 1–x}Zn{sub x})O{sub y}, at room temperature on glass, which have widely tunable band gaps (E{sub g}) ranging from 3.47–4.12 eV. The highest electron Hall mobility ~ 7 cm{sup 2} V{sup −1} s{sup −1} was obtained for E{sub g} = ~ 3.8 eV. Ultraviolet photoemission spectroscopy revealed that the increase in E{sub g} with increasing the Ga content comes mostly from the deepening of the valence band maximum level while the conduction band minimum level remains almost unchanged. These characteristics are explained by their electronic structures. As these films can be fabricated at room temperature on plastic, this achievement extends the applications of flexible electronics to opto-electronic integrated circuits associated with deep ultraviolet region. - Highlights: • Incorporation of H/H{sub 2}O stabilizes the amorphous phase. • Ultrawide band gap (~ 3.8 eV) amorphous oxide semiconductor was fabricated. • The increase in band gap comes mostly from the deepening of the valence band maximum level. • Donor level is more likely aligned to the valence band maximum level.

  3. Semiconductor measurement technology: reliability technology for cardiac pacemakers 2: a workshop report, 1976

    International Nuclear Information System (INIS)

    Schafft, H.A.

    1977-01-01

    Summaries are presented of 12 invited talks on the following topics: the procurement and assurance of high reliability electronic parts, leak rate and moisture measurements, pacemaker batteries, and pacemaker leads. The workshop, second in a series, was held in response to strong interest expressed by the pacemaker community to address technical questions relevant to the enhancement and assurance of cardiac pacemaker reliability. Discussed at the workshop were a process validation wafer concept for assuring process uniformity in device chips; screen tests for assuring reliable electronic parts; reliability prediction; reliability comparison of semiconductor technologies; mechanisms of short-circuiting dendritic growths; details of helium and radioisotope leak test methods; a study to correlate package leak rates, as measured with test gasses, and actual moisture infusion; battery life prediction; microcalorimetric measurements to nondestructively evaluate batteries for pacemakers; and an engineer's and a physician's view of the present status of pacemaker leads. References are included with most of the reports

  4. Schottky barrier MOSFET systems and fabrication thereof

    Science.gov (United States)

    Welch, J.D.

    1997-09-02

    (MOS) device systems-utilizing Schottky barrier source and drain to channel region junctions are disclosed. Experimentally derived results which demonstrate operation of fabricated N-channel and P-channel Schottky barrier (MOSFET) devices, and of fabricated single devices with operational characteristics similar to (CMOS) and to a non-latching (SRC) are reported. Use of essentially non-rectifying Schottky barriers in (MOS) structures involving highly doped and the like and intrinsic semiconductor to allow non-rectifying interconnection of, and electrical accessing of device regions is also disclosed. Insulator effected low leakage current device geometries and fabrication procedures therefore are taught. Selective electrical interconnection of drain to drain, source to drain, or source to source, of N-channel and/or P-channel Schottky barrier (MOSFET) devices formed on P-type, N-type and Intrinsic semiconductor allows realization of Schottky Barrier (CMOS), (MOSFET) with (MOSFET) load, balanced differential (MOSFET) device systems and inverting and non-inverting single devices with operating characteristics similar to (CMOS), which devices can be utilized in modulation, as well as in voltage controlled switching and effecting a direction of rectification. 89 figs.

  5. Methods of forming semiconductor devices and devices formed using such methods

    Science.gov (United States)

    Fox, Robert V; Rodriguez, Rene G; Pak, Joshua

    2013-05-21

    Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.

  6. NASA funding opportunities for optical fabrication and testing technology development

    Science.gov (United States)

    Stahl, H. Philip

    2013-09-01

    NASA requires technologies to fabricate and test optical components to accomplish its highest priority science missions. The NRC ASTRO2010 Decadal Survey states that an advanced large-aperture UVOIR telescope is required to enable the next generation of compelling astrophysics and exo-planet science; and, that present technology is not mature enough to affordably build and launch any potential UVOIR mission concept. The NRC 2012 NASA Space Technology Roadmaps and Priorities Report states that the highest priority technology in which NASA should invest to `Expand our understanding of Earth and the universe' is next generation X-ray and UVOIR telescopes. Each of the Astrophysics division Program Office Annual Technology Reports (PATR) identifies specific technology needs. NASA has a variety of programs to fund enabling technology development: SBIR (Small Business Innovative Research); the ROSES APRA and SAT programs (Research Opportunities in Space and Earth Science; Astrophysics Research and Analysis program; Strategic Astrophysics Technology program); and several Office of the Chief Technologist (OCT) programs.

  7. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil.

    Science.gov (United States)

    Knobelspies, Stefan; Bierer, Benedikt; Daus, Alwin; Takabayashi, Alain; Salvatore, Giovanni Antonio; Cantarella, Giuseppe; Ortiz Perez, Alvaro; Wöllenstein, Jürgen; Palzer, Stefan; Tröster, Gerhard

    2018-01-26

    We present a gas sensitive thin-film transistor (TFT) based on an amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO₂ gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits.

  8. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil

    Directory of Open Access Journals (Sweden)

    Stefan Knobelspies

    2018-01-01

    Full Text Available We present a gas sensitive thin-film transistor (TFT based on an amorphous Indium–Gallium–Zinc–Oxide (a-IGZO semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO2 gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits.

  9. Low-voltage organic electronics based on a gate-tunable injection barrier in vertical graphene-organic semiconductor heterostructures.

    Science.gov (United States)

    Hlaing, Htay; Kim, Chang-Hyun; Carta, Fabio; Nam, Chang-Yong; Barton, Rob A; Petrone, Nicholas; Hone, James; Kymissis, Ioannis

    2015-01-14

    The vertical integration of graphene with inorganic semiconductors, oxide semiconductors, and newly emerging layered materials has recently been demonstrated as a promising route toward novel electronic and optoelectronic devices. Here, we report organic thin film transistors based on vertical heterojunctions of graphene and organic semiconductors. In these thin heterostructure devices, current modulation is accomplished by tuning of the injection barriers at the semiconductor/graphene interface with the application of a gate voltage. N-channel devices fabricated with a thin layer of C60 show a room temperature on/off ratio >10(4) and current density of up to 44 mAcm(-2). Because of the ultrashort channel intrinsic to the vertical structure, the device is fully operational at a driving voltage of 200 mV. A complementary p-channel device is also investigated, and a logic inverter based on two complementary transistors is demonstrated. The vertical integration of graphene with organic semiconductors via simple, scalable, and low-temperature fabrication processes opens up new opportunities to realize flexible, transparent organic electronic, and optoelectronic devices.

  10. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  11. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  12. Fabrication and Characterisation of Low-noise Monolithic Mode-locked Lasers

    DEFF Research Database (Denmark)

    Larsson, David

    2007-01-01

    This thesis deals with the fabrication and characterisation of monolithic semiconductor mode-locked lasers for use in optical communication systems. Other foreseeable applications may be as sources in microwave photonics and optical sampling. The thesis also deals with the design and fabrication...... of intracavity monolithically integrated filters. The common dnominator among the diffrent parts of the thesis is how to achieve and measure the lowest possible noise. Achieving low noise has been pinpointed as one of the most important and difficult challenges for semiconductor mode-locked lasers. The main...... result of this thesis are a fabrication process of a monolithic and deeply etched distributed Bragg reflector and a characterisation system for measurement of quantum limitid timing noise at high repetition rates. The Bragg reflector is a key component in achieving transform limited pulses with low noise...

  13. Acceptors in II-IV Semiconductors - Incorporation and Complex Formation

    CERN Multimedia

    2002-01-01

    A strong effort is currently devoted to the investigation of defects and the electrical activation of dopant atoms in II-VI semiconductors. In particular, the knowledge about the behaviour of acceptors, prerequisite for the fabrication of p-type semiconductors, is rather limited. The perturbed $\\,{\\gamma\\gamma}$ -angular correlation technique (PAC) and the photoluminescence spectroscopy (PL) using the radioactive isotopes $^{77}\\!$Br and $^{111}\\!$Ag will be applied for investigating the behaviour of acceptor dopant atoms and their interactions with defects in II-VI semiconductors. The main topic will be the identification of the technical conditions for the incorporation of electrically active acceptors in the II-VI semiconductors ~ZnS, ZnSe, ZnTe, CdS, CdSe, and CdTe with particular emphasis on the compounds~ CdTe, ZnSe, and ZnTe. The investigations will be supplemented by first exploratory PL experiments with the group V acceptors $^{71}\\!$As and $^{121}\\!$Sb. With help of the probe $^{111}\\!$Ag, the pos...

  14. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  15. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  16. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  17. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Characterization of semiconductor and frontier materials by nuclear microprobe technology

    International Nuclear Information System (INIS)

    Zhu Jieqing; Li Xiaolin; Yang Changyi; Lu Rongrong; Wang Jiqing; Guo Panlin

    2002-01-01

    The nuclear microprobe technology is used to characterize the properties of semiconductor and other frontier materials at the stages of their synthesis, modification, integration and application. On the basis of the beam current being used, the analytical nuclear microprobe techniques being used in this project can be divided into two categories: high beam current (PIXE, RBS, PEB) or low beam current (IBIC, STIM) techniques. The material properties measured are the thickness and composition of a composite surface on a SiC ceramic, the sputtering-induced surface segregation and depth profile change in a Ag-Cu binary alloy, the irradiation effects on the CCE of CVD diamond, the CCE profile at a polycrystalline CVD diamond film and a GaAs diode at different voltage biases and finally, the characterization of individual sample on an integrated material chip. (author)

  19. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  20. Chiral Plasmonic Nanostructures Fabricated by Circularly Polarized Light.

    Science.gov (United States)

    Saito, Koichiro; Tatsuma, Tetsu

    2018-05-09

    The chirality of materials results in a wide variety of advanced technologies including image display, data storage, light management including negative refraction, and enantioselective catalysis and sensing. Here, we introduce chirality to plasmonic nanostructures by using circularly polarized light as the sole chiral source for the first time. Gold nanocuboids as precursors on a semiconductor were irradiated with circularly polarized light to localize electric fields at specific corners of the cuboids depending on the handedness of light and deposited dielectric moieties as electron oscillation boosters by the localized electric field. Thus, plasmonic nanostructures with high chirality were developed. The present bottom-up method would allow the large-scale and cost-effective fabrication of chiral materials and further applications to functional materials and devices.

  1. Multiterminal semiconductor/ferromagnet probes for spin-filter scanning tunneling microscopy

    NARCIS (Netherlands)

    Vera Marun, I.J.; Jansen, R.

    2009-01-01

    We describe the fabrication of multiterminal semiconductor/ferromagnet probes for a new technique to study magnetic nanostructures: spin-filter scanning tunneling microscopy. We describe the principle of the technique, which is based on spin-polarized tunneling and subsequent analysis of the spin

  2. Modeling and fabrication of 4H-SiC Schottky junction

    Science.gov (United States)

    Martychowiec, A.; Pedryc, A.; Kociubiński, A.

    2017-08-01

    The rapidly growing demand for electronic devices requires using of alternative semiconductor materials, which could replace conventional silicon. Silicon carbide has been proposed for these harsh environment applications (high temperature, high voltage, high power conditions) because of its wide bandgap, its high temperature operation ability, its excellent thermal and chemical stability, and its high breakdown electric field strength. The Schottky barrier diode (SBD) is known as one of the best refined SiC devices. This paper presents prepared model, simulations and description of technology of 4H-SiC Schottky junction as well as characterization of fabricated structures. The future aim of the application of the structures is an optical detection of an ultraviolet radiation. The model section contains a comparison of two different solutions of SBD's construction. Simulations - as a crucial process of designing electronic devices - have been performed using the ATLAS device of Silvaco TCAD software. As a final result the paper shows I-V characteristics of fabricated diodes.

  3. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  4. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    Science.gov (United States)

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  6. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  7. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  8. Fabrication Technologies of the High Gradient Accelerator Structures at 100MV/m Range

    CERN Document Server

    Wang, Juwen; Van Pelt, John; Yoneda, Charles; Gudkov, D; Riddone, Germana; Higo, Toshiyasu; Takatomi, Toshikazu

    2010-01-01

    A CERN-SLAC-KEK collaboration on high gradient X-band structure research has been established in order to demonstrate the feasibility of the CLIC baseline design for the main linac stably operating at more than 100 MV/m loaded accelerating gradient. Several prototype CLIC structures were successfully fabricated and high power tested. They operated at 105 MV/m with a breakdown rate that meets the CLIC linear collider specifications of <5×10-7/pulse/m. This paper summarizes the fabrication technologies including the mechanical design, precision machining, chemical cleaning, diffusion bonding as well as vacuum baking and all related assembly technologies. Also, the tolerances control, tuning and RF characterization will be discussed

  9. Semiconductor laser technology for remote sensing experiments

    Science.gov (United States)

    Katz, Joseph

    1988-01-01

    Semiconductor injection lasers are required for implementing virtually all spaceborne remote sensing systems. Their main advantages are high reliability and efficiency, and their main roles are envisioned in pumping and injection locking of solid state lasers. In some shorter range applications they may even be utilized directly as the sources.

  10. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  11. A review on the development of the advanced fuel fabrication technology

    International Nuclear Information System (INIS)

    Lee, Jung Won; Lee, Yung Woo; Sohn, Dong Sung; Yang, Myung Seung; Bae, Kee Kwang; Nah, Sang Hoh; Kim, Han Soo; Kim, Bong Koo; Song, Keun Woo; Kim, See Hyung

    1995-07-01

    In this state-of art report, the development status of the advanced nuclear fuel was investigated. The current fabrication technology for coated particle fuel and non-oxide fuel such as sol-gel technology, coating technology, and carbothermic reduction reaction has also been examined. In the view point of inherent safety and efficiency in the operation of power plant, the coated particle fuel will keep going on its reputation as nuclear fuel for a high temperature gas cooled reactor, and the nitride fuel is very prospective for the next liquid metal fast breeder reactor. 43 figs., 17 tabs., 96 refs. (Author)

  12. A review on the development of the advanced fuel fabrication technology

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung Won; Lee, Yung Woo; Sohn, Dong Sung; Yang, Myung Seung; Bae, Kee Kwang; Nah, Sang Hoh; Kim, Han Soo; Kim, Bong Koo; Song, Keun Woo; Kim, See Hyung [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1995-07-01

    In this state-of art report, the development status of the advanced nuclear fuel was investigated. The current fabrication technology for coated particle fuel and non-oxide fuel such as sol-gel technology, coating technology, and carbothermic reduction reaction has also been examined. In the view point of inherent safety and efficiency in the operation of power plant, the coated particle fuel will keep going on its reputation as nuclear fuel for a high temperature gas cooled reactor, and the nitride fuel is very prospective for the next liquid metal fast breeder reactor. 43 figs., 17 tabs., 96 refs. (Author).

  13. Design and fabrication of zeolite macro- and micromembranes

    Science.gov (United States)

    Chau, Lik Hang Joseph

    2001-07-01

    The chemical nature of the support surface influences zeolite nucleation, crystal growth and elm adhesion. It had been demonstrated that chemical modification of support surface can significantly alter the zeolite film and has a good potential for large-scale applications for zeolite membrane production. The incorporation of titanium and vanadium metal ions into the structural framework of MFI zeolite imparts the material with catalytic properties. The effects of silica and metal (i.e., Ti and V) content, template concentration and temperature on the zeolite membrane growth and morphology were investigated. Single-gas permeation experiments were conducted for noble gases (He and Ar), inorganic gases (H2, N2, SF6) and hydrocarbons (methane, n-C4, i-C4) to determine the separation performance of these membranes. Using a new fabrication method based on microelectronic fabrication and zeolite thin film technologies, complex microchannel geometry and network (supported zeolite films. The zeolite micropatterns were stable even after repeated thermal cycling between 303 K and 873 K for prolonged periods of time. This work also demonstrates that zeolites (i.e., Sil-1, ZSM-5 and TS-1) can be employed as catalyst, membrane or structural materials in miniature chemical devices. Traditional semiconductor fabrication technology was employed in micromachining the device architecture. Four strategies for the manufacture of zeolite catalytic microreactors were discussed: zeolite powder coating, uniform zeolite film growth, localized zeolite growth, and etching of zeolite-silicon composite film growth inhibitors. Silicalite-1 was also prepared as free-standing membrane for zeolite membrane microseparators.

  14. The European ITER test blanket modules: Progress in development of fabrication technologies towards standardization

    Energy Technology Data Exchange (ETDEWEB)

    Zmitko, Milan, E-mail: milan.zmitko@f4e.europa.eu [Fusion for Energy (F4E), Josep Pla 2, Barcelona (Spain); Thomas, Noël [ATMOSTAT, F-94815 Villejuif (France); LiPuma, Antonella; Forest, Laurent [CEA-Saclay, DEN, DM2S, SEMT, F-91191 Gif-sur-Yvette (France); Cogneau, Laurence [CEA-DRT, 38000 Grenoble (France); Rey, Jörg; Neuberger, Heiko [Karlsruhe Institute of Technology (KIT), Postfach 3640, Karlsruhe (Germany); Poitevin, Yves [Fusion for Energy (F4E), Josep Pla 2, Barcelona (Spain)

    2016-11-01

    Highlights: • Significant progress on the development of welding procedures for European TBM achieved. • Fabrication processes feasibility based on diffusion and fusion welding demonstrated. • An optimized welding scenario/sequence for TBM box assembly identified. • Future qualification of pF/WPS proposed through realization of a number of QMUs. - Abstract: The paper reviews progress achieved in development of fabrication technologies and procedures applied for manufacturing of the TBM sub-components, like, HCLL and HCPB cooling plates, HCLL/HCPB stiffening plates, and HCLL/HCPB first wall and side caps. The used technologies are based on fusion and diffusion welding techniques taking into account specificities of the EUROFER97 steel. Development of a standardized procedure complying with professional codes and standards (RCC-MRx), a preliminary fabrication/welding procedure specification (pF/WPS), is described based on fabrication and non-destructive and destructive characterization of feasibility mock-ups (FMU) aimed at assessing the suitability of a fabrication process for fulfilling the design and fabrication specifications. The main FMUs characterization results are reported (e.g. pressure resistance and helium leak tightness tests, mechanical properties and microstructure at the weld joints, geometrical characteristics of the sub-components and internal cooling channels) and the key pF/WPS steps and parameters are outlined. Also, fabrication procedures for the TBM box assembly are presently under development for the establishment of an optimized assembly sequence/scenario and development of standardized welding procedure specifications. In conclusions, further steps towards the pF/WPS qualification are briefly discussed.

  15. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  16. Graphene photodetectors with a bandwidth  >76 GHz fabricated in a 6″ wafer process line

    Science.gov (United States)

    Schall, Daniel; Porschatis, Caroline; Otto, Martin; Neumaier, Daniel

    2017-03-01

    In recent years, the data traffic has grown exponentially and the forecasts indicate a huge market that could be addressed by communication infrastructure and service providers. However, the processing capacity, space, and energy consumption of the available technology is a serious bottleneck for the exploitation of these markets. Chip-integrated optical communication systems hold the promise of significantly improving these issues related to the current technology. At the moment, the answer to the question which material is best suited for ultrafast chip integrated communication systems is still open. In this manuscript we report on ultrafast graphene photodetectors with a bandwidth of more than 76 GHz well suitable for communication links faster than 100 GBit s-1 per channel. We extract an upper value of 7.2 ps for the timescale in which the bolometric photoresponse in graphene is generated. The photodetectors were fabricated on 6″ silicon-on-insulator wafers in a semiconductor pilot line, demonstrating the scalable fabrication of high-performance graphene based devices.

  17. Design and fabrication of a micro parallel mechanism system using MEMS technologies

    Science.gov (United States)

    Chin, Chi-Te

    A parallel mechanism is seen as an attractive method of fabricating a multi-degree of freedom micro-stage on a chip. The research team at Arizona State University has experience with several potential parallel mechanisms that would be scaled down to micron dimensions and fabricated by using the silicon process. The researcher developed a micro parallel mechanism that allows for planar motion having two translational motions and one rotational motion (e.g., x, y, theta). The mask design shown in Appendix B is an example of a planar parallel mechanism, however, this design would only have a few discrete positions given the nature of the fully extended or fully retracted electrostatic motor. The researcher proposes using a rotary motor (comb-drive actuator with gear chain system) coupled to a rack and pinion for finer increments of linear motion. The rotary motor can behave as a stepper motor by counting drive pulses, which is the basis for a simple open loop control system. This system was manufactured at the Central Regional MEMS Research Center (CMEMS), National Tsing-Hua University, and supported by the National Science Council, Taiwan. After the microstructures had been generated, the proceeding devices were released and an experiment study was performed to demonstrate the feasibility of the proposed micro-stage devices. In this dissertation, the micro electromechanical system (MEMS) fabrication technologies were introduced. The development of this parallel mechanism system will initially focus on development of a planar micro-stage. The design of the micro-stage will build on the parallel mechanism technology, which has been developed for manufacturing, assembly, and flight simulator applications. Parallel mechanism will give the maximum operating envelope with a minimum number of silicon levels. The ideally proposed mechanism should comprise of a user interface, a micro-stage and a non-silicon tool, which is difficult to accomplish by current MEMS technology

  18. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.

    1992-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with the good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high-efficiency, room temperature gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, the authors have procured and tested a commercial device with operating characteristics similar to those of a single layer of the composite device. They have modeled the radiation transport in a multi-layered device, to verify the initial calculations of layer thickness and composition. They have modeled the electrostatic field in different device designs to locate and remove high-field regions that can cause device breakdown. They have fabricated 14 single layer prototypes

  19. On-chip multi-wavelength laser sources fabricated using generic photonic integration technology

    NARCIS (Netherlands)

    Latkowski, S.; Williams, K.A.; Bente, E.A.J.M.

    Generic photonic integration technology platforms allow for design and fabrication of large complexity application specific photonic integrated circuits. Monolithic active-passive integration on indium phosphide substrate naturally enables a reliable co-integration of optical gain elements and

  20. Study of transport properties of copper/zinc-oxide-nanorods-based Schottky diode fabricated on textile fabric

    International Nuclear Information System (INIS)

    Khan, Azam; Hussain, Mushtaque; Abbasi, Mazhar Ali; Ibupoto, Zafar Hussain; Nur, Omer; Willander, Magnus

    2013-01-01

    In this work, a copper/zinc-oxide (ZnO)-nanorods-based Schottky diode was fabricated on the textile fabric substrate. ZnO nanorods were grown on a silver-coated textile fabric substrate by using the hydrothermal route. Scanning electron microscopy and x-ray diffraction techniques were used for the structural study. The electrical characterization of copper/ZnO-nanorods-based Schottky diodes was investigated by using a semiconductor parameter analyzer and an impedance spectrometer. The current density–voltage (J–V) and capacitance–voltage (C–V) measurements were used to estimate the electrical parameters. The threshold voltage (V th ), ideality factor (η), barrier height (ϕ b ), reverse saturation current density (J s ), carrier concentration (N D ) and built-in potential (V bi ) were determined by using experimental data and (simulated) curve fitting. This study describes the possible fabrication of electronic and optoelectronic devices on textile fabric substrate with an acceptable performance. (paper)

  1. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  2. An Overview of Scaffold Design and Fabrication Technology for Engineered Knee Meniscus

    Directory of Open Access Journals (Sweden)

    Jie Sun

    2017-01-01

    Full Text Available Current surgical treatments for meniscal tears suffer from subsequent degeneration of knee joints, limited donor organs and inconsistent post-treatment results. Three clinical scaffolds (Menaflex CMI, Actifit® scaffold and NUsurface® Meniscus Implant are available on the market, but additional data are needed to properly evaluate their safety and effectiveness. Thus, many scaffold-based research activities have been done to develop new materials, structures and fabrication technologies to mimic native meniscus for cell attachment and subsequent tissue development, and restore functionalities of injured meniscus for long-term effects. This study begins with a synopsis of relevant structural features of meniscus and goes on to describe the critical considerations. Promising advances made in the field of meniscal scaffolding technology, in terms of biocompatible materials, fabrication methods, structure design and their impact on mechanical and biological properties are discussed in detail. Among all the scaffolding technologies, additive manufacturing (AM is very promising because of its ability to precisely control fiber diameter, orientation, and pore network micro-architecture to mimic the native meniscus microenvironment.

  3. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  4. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  5. Bioactive treatment promotes osteoblast differentiation on titanium materials fabricated by selective laser melting technology.

    Science.gov (United States)

    Tsukanaka, Masako; Fujibayashi, Shunsuke; Takemoto, Mitsuru; Matsushita, Tomiharu; Kokubo, Tadashi; Nakamura, Takashi; Sasaki, Kiyoyuki; Matsuda, Shuichi

    2016-01-01

    Selective laser melting (SLM) technology is useful for the fabrication of porous titanium implants with complex shapes and structures. The materials fabricated by SLM characteristically have a very rough surface (average surface roughness, Ra=24.58 µm). In this study, we evaluated morphologically and biochemically the specific effects of this very rough surface and the additional effects of a bioactive treatment on osteoblast proliferation and differentiation. Flat-rolled titanium materials (Ra=1.02 µm) were used as the controls. On the treated materials fabricated by SLM, we observed enhanced osteoblast differentiation compared with the flat-rolled materials and the untreated materials fabricated by SLM. No significant differences were observed between the flat-rolled materials and the untreated materials fabricated by SLM in their effects on osteoblast differentiation. We concluded that the very rough surface fabricated by SLM had to undergo a bioactive treatment to obtain a positive effect on osteoblast differentiation.

  6. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D. [Department of Material Science and Engineering, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Ankonina, G. [Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Photovoltaic Laboratory, Technion, Haifa 3200 (Israel)

    2015-10-07

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology.

  7. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    International Nuclear Information System (INIS)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G.; Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J.; Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D.; Ankonina, G.

    2015-01-01

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology

  8. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  9. Fabrication and utilization of semiconductor radiation detectors

    International Nuclear Information System (INIS)

    Lemos Junior, Orlando Ferreira

    1969-01-01

    This paper describes the assembly of the equipment for the fabrication of Ge-Li drifted detectors and the technique used in the preparation of a Planar detector of 7 cm 2 x 0,5 cm for the Laboratory of the Linear Accelerator at the University of Sao Paulo, as well as the utilization of a 22 cm 3 coaxial detector for the analysis of fission product gamma rays at the Instituto de Engenharia Nuclear, Rio de Janeiro, R J, Brazil. (author)

  10. Large core plastic planar optical splitter fabricated by 3D printing technology

    Science.gov (United States)

    Prajzler, Václav; Kulha, Pavel; Knietel, Marian; Enser, Herbert

    2017-10-01

    We report on the design, fabrication and optical properties of large core multimode optical polymer splitter fabricated using fill up core polymer in substrate that was made by 3D printing technology. The splitter was designed by the beam propagation method intended for assembling large core waveguide fibers with 735 μm diameter. Waveguide core layers were made of optically clear liquid adhesive, and Veroclear polymer was used as substrate and cover layers. Measurement of optical losses proved that the insertion optical loss was lower than 6.8 dB in the visible spectrum.

  11. Digital fabrication as an instructional technology for supporting upper elementary and middle school science and mathematics education

    Science.gov (United States)

    Tillman, Daniel

    The purpose of this three-paper manuscript dissertation was to study digital fabrication as an instructional technology for supporting elementary and middle school science and mathematics education. Article one analyzed the effects of digital fabrication activities that were designed to contextualize mathematics education at a summer mathematics enrichment program for upper elementary and middle school students. The primary dependent variables studied were the participants' knowledge of mathematics and science content, attitudes towards STEM (science, technology, engineering, and mathematics) and STEM-related careers. Based upon the data collected, three results were presented as having justifiable supporting empirical evidence: (1) The digital fabrication activities, combined with the other mathematics activities at the enrichment program, resulted in non-significant overall gains in students' mathematics test scores and attitudes towards STEM. (2) The digital fabrication activities, combined with the other mathematics activities at the enrichment program, resulted in noteworthy gains on the "Probability & Statistics" questions. (3) Some students who did poorly on the scored paper test on mathematics and science content were nonetheless nominated by their teachers as demonstrating meritorious distinction during the digital fabrication activities (termed "Great Thinkers" by the 5th-grade teachers). Article two focused on how an instructional technology course featuring digital fabrication activities impacted (1) preservice elementary teachers' efficacy beliefs about teaching science, and (2) their attitudes and understanding of how to include instructional technology and digital fabrication activities into teaching science. The research design compared two sections of a teaching with technology course featuring digital fabrication activities to another section of the same course that utilized a media cycle framework (Bull & Bell, 2005) that did not feature digital

  12. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  13. Materials technology for InSb MISFET applications

    International Nuclear Information System (INIS)

    Barth, W.; Chen, C.W.; Lile, D.L.; Junga, F.

    1989-01-01

    The narrow and direct bandgap of indium antimonide is frequently used to good advantage in detection of light in the infra-red region; however, to data little use has been made of the high mobilities associated with this material. Although its high intrinsic carrier concentration generally necessitates operation at cooled temperatures, higher speeds and the advantage of integrating other devices on-chip with the infrared detectors encourages the development of an active device technology on this semiconductor. Considering its small bandgap, the problems associated with good p-n junctions may favor the MISFET in this application. Surprisingly, little has been done toward this goal, though structures such as charge-coupled-devices, focal array detectors, and a few insulated gate FETs have been fabricated. In this paper the authors present the results of recent work toward the development of a fabrication technology for InSb MISFETs. Specifically, they have conducted a study of etchants, metal contacts, and dielectrics for application to mesa-structure, insulated gate field transistors

  14. Extending Moore’s Law for Silicon CMOS using More-Moore and More-than-Moore Technologies

    KAUST Repository

    Hussain, Aftab M.

    2016-12-01

    With the advancement of silicon electronics under threat from physical limits to dimensional scaling, the International Technology Roadmap for Semiconductors (ITRS) released a white paper in 2008, detailing the ways in which the semiconductor industry can keep itself continually growing in the twenty-first century. Two distinct paths were proposed: More-Moore and More-than-Moore. While More-Moore approach focuses on the continued use of state-of-the-art, complementary metal oxide semiconductor (CMOS) technology for next generation electronics, More-than-Moore approach calls for a disruptive change in the system architecture and integration strategies. In this doctoral thesis, we investigate both the approaches to obtain performance improvement in the state-of-the-art, CMOS electronics. We present a novel channel material, SiSn, for fabrication of CMOS circuits. This investigation is in line with the More-Moore approach because we are relying on the established CMOS industry infrastructure to obtain an incremental change in the integrated circuit (IC) performance by replacing silicon channel with SiSn. We report a simple, low-cost and CMOS compatible process for obtaining single crystal SiSn wafers. Tin (Sn) is deposited on silicon wafers in the form of a metallic thin film and annealed to facilitate diffusion into the silicon lattice. This diffusion provides for sufficient SiSn layer at the top surface for fabrication of CMOS devices. We report a lowering of band gap and enhanced mobility for SiSn channel MOSFETs compared to silicon control devices. We also present a process for fabrication of vertically integrated flexible silicon to form 3D integrated circuits. This disruptive change in the state-of-the-art, in line with the More-than-Moore approach, promises to increase the performance per area of a silicon chip. We report a process for stacking and bonding these pieces with polymeric bonding and interconnecting them using copper through silicon vias (TSVs). We

  15. Nitride semiconductor devices fundamentals and applications

    CERN Document Server

    Morkoç, Hadis

    2013-01-01

    This book gives a clear presentation of the necessary basics of semiconductor and device physics and engineering. It introduces readers to fundamental issues that will enable them to follow the latest technological research. It also covers important applications, including LED and lighting, semiconductor lasers, high power switching devices, and detectors. This balanced and up-to-date treatment makes the text an essential educational tool for both advanced students and professionals in the electronics industry.

  16. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  17. UV laser drilling of SiC for semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, Olaf; Schoene, Gerd; Wernicke, Tim; John, Wilfred; Wuerfl, Joachim; Traenkle, Guenther [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2007-04-15

    Pulsed UV laser processing is used to drill micro holes in silicon carbide (SiC) wafers supporting AlGaN/GaN transistor structures. Direct laser ablation using nanosecond pulses has been proven to provide an efficient way to create through and blind holes in 400 {mu}m thick SiC. When drilling through, openings in the front pads are formed, while blind holes stop {approx}40 {mu}m before the backside and were advanced to the electrical contact pad by subsequent plasma etching without an additional mask. Low induction connections (vias) between the transistor's source pads and the ground on the backside were formed by metallization of the holes. Micro vias having aspect ratios of 5-6 have been processed in 400 {mu}m SiC. The process flow from wafer layout to laser drilling is available including an automated beam alignment that allows a positioning accuracy of {+-}1 {mu}m with respect to existing patterns on the wafer. As proven by electrical dc and rf measurements the laser-assisted via technologies have successfully been implemented into fabrication of AlGaN/GaN high-power transistors.

  18. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  19. Characterization of Hydrogen Complex Formation in III-V Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Michael D

    2006-09-28

    Atomic hydrogen has been found to react with some impurity species in semiconductors. Hydrogenation is a methodology for the introduction of atomic hydrogen into the semiconductor for the express purpose of forming complexes within the material. Efforts to develop hydrogenation as an isolation technique for AlGaAs and Si based devices failed to demonstrate its commercial viability. This was due in large measure to the low activation energies of the formed complexes. Recent studies of dopant passivation in long wavelength (0.98 - 1.55m) materials suggested that for the appropriate choice of dopants much higher activation energies can be obtained. This effort studied the formation of these complexes in InP, This material is extensively used in optoelectronics, i.e., lasers, modulators and detectors. The experimental techniques were general to the extent that the results can be applied to other areas such as sensor technology, photovoltaics and to other material systems. The activation energies for the complexes have been determined and are reported in the scientific literature. The hydrogenation process has been shown by us to have a profound effect on the electronic structure of the materials and was thoroughly investigated. The information obtained will be useful in assessing the long term reliability of device structures fabricated using this phenomenon and in determining new device functionalities.

  20. Fabrication of the similar porous alumina silicon template for soft UV nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tangyou [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Xu, Zhimou, E-mail: xuzhimou@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhao, Wenning; Wu, Xinghui; Liu, Sisi; Zhang, Zheng; Wang, Shuangbao; Liu, Wen [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Peng, Jing [College of Sciences, Wuhan University of Science and Technology, Wuhan 430081 (China)

    2013-07-01

    High density honeycombed nanostructures of porous alumina template (PAT) have been widely used to the fabrication of various electronic, optoelectronic, magnetic, and energy storage devices. However, patterning structures at sub-100 nm feature size with large area and low cost is of great importance and hardness on which semiconductor manufacture technology depends. In this paper, soft UV nanoimprint lithography (SUNIL) by using PAT as the initial mold is studied in detail. The results reveal a significant incompatibility between these two candidates. The native nonflatness of the PAT surface is about 100 nm in the range of 2–5 μm. Resist detaches from the substrate because of the mold deformation in the nonflat SUNIL. A two-inch similar porous alumina silicon (Si) template with nanopore size of 50–100 nm is fabricated. I–t curve conducted anodization and subsequent inductive coupled plasma (ICP) dry etching are applied to ensure the uniformity of the fabricated template. The surface flatness of the similar porous alumina Si template is the same as the polished Si wafer, which perfectly matches NIL.

  1. Development of Hi-Tech ceramics fabrication technologies - Development of advanced nuclear materials

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Thae Kap; Park, Ji Youn; Kim, Sun Jae; Kim, Kyong Ho; Jung, Choong Hwan; Oh, Seok Jin [Korea Atomic Energy Res. Inst., Taejon (Korea, Republic of)

    1994-07-15

    The objective of the present work is to prepare the foundation of hi-tech ceramics fabrication technologies through developing important processes i.e., tape casting, sol-gel, single crystal growing, compacting and sintering, and grinding and machining processes. Tape casting process is essential to manufacture hard and functional thin plates and structural elements for some composite materials. For the fabrication of spherical mono-sized micropowders of oxides, sol-gel process has widely been used. Piezoelectric elements that are the core parts of the sensors of LPMS (loose part monitoring system) and ALMS (acoustic leakage monitoring system) are used in single crystal forms. Compacting and sintering processes are general methods for fabricating structural parts using powders. Grinding and machining processes are important to achieve the final dimensions and surface properties of the parts. (Author).

  2. Proton and γ-ray Induced Radiation Effects on 1 Gbit LPDDR SDRAM Fabricated on Epitaxial Wafer for Space Applications

    Directory of Open Access Journals (Sweden)

    Mi Young Park

    2016-09-01

    Full Text Available We present proton-induced single event effects (SEEs and γ-ray-induced total ionizing dose (TID data for 1 Gbit lowpower double data rate synchronous dynamic random access memory (LPDDR SDRAM fabricated on a 5 μm epitaxial layer (54 nm complementary metal-oxide-semiconductor (CMOS technology. We compare our radiation tolerance data for LPDDR SDRAM with those of general DDR SDRAM. The data confirms that our devices under test (DUTs are potential candidates for space flight applications.

  3. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  4. The physics and technology of Si and Ge detectors

    International Nuclear Information System (INIS)

    Stab, Lucien

    Semiconductor physics fundamentals are recalled (energy levels in crystalline solids, level population, charge carrier transport) as an introduction to studying NP junction at thermal equilibrium, or reversly biased. The fabrication of semiconductor detectors including surface barrier detectors, implanted junctions, and lithium-drifted semiconductors is discussed [fr

  5. Hydrophobic and superhydrophobic surfaces fabricated using atmospheric pressure cold plasma technology: A review.

    Science.gov (United States)

    Dimitrakellis, Panagiotis; Gogolides, Evangelos

    2018-04-01

    Hydrophobic surfaces are often used to reduce wetting of surfaces by water. In particular, superhydrophobic surfaces are highly desired for several applications due to their exceptional properties such as self-cleaning, anti-icing, anti-friction and others. Such surfaces can be prepared via numerous methods including plasma technology, a dry technique with low environmental impact. Atmospheric pressure plasma (APP) has recently attracted significant attention as lower-cost alternative to low-pressure plasmas, and as a candidate for continuous rather than batch processing. Although there are many reviews on water-repellent surfaces, and a few reviews on APP technology, there are hardly any review works on APP processing for hydrophobic and superhydrohobic surface fabrication, a topic of high importance in nanotechnology and interface science. Herein, we critically review the advances on hydrophobic and superhydrophobic surface fabrication using APP technology, trying also to give some perspectives in the field. After a short introduction to superhydrophobicity of nanostructured surfaces and to APPs we focus this review on three different aspects: (1) The atmospheric plasma reactor technology used for fabrication of (super)hydrophobic surfaces. (2) The APP process for hydrophobic surface preparation. The hydrophobic surface preparation processes are categorized methodologically as: a) activation, b) grafting, c) polymerization, d) roughening and hydrophobization. Each category includes subcategories related to different precursors used. (3) One of the most important sections of this review concerns superhydrophobic surfaces fabricated using APP. These are methodologically characterized as follows: a) single step processes where micro-nano textured topography and low surface energy coating are created at the same time, or b) multiple step processes, where these steps occur sequentially in or out of the plasma. We end the review with some perspectives in the field. We

  6. Crystal Growth Technology

    Science.gov (United States)

    Scheel, Hans J.; Fukuda, Tsuguo

    2004-06-01

    This volume deals with the technologies of crystal fabrication, of crystal machining, and of epilayer production and is the first book on industrial and scientific aspects of crystal and layer production. The major industrial crystals are treated: Si, GaAs, GaP, InP, CdTe, sapphire, oxide and halide scintillator crystals, crystals for optical, piezoelectric and microwave applications and more. Contains 29 contributions from leading crystal technologists covering the following topics: General aspects of crystal growth technology Silicon Compound semiconductors Oxides and halides Crystal machining Epitaxy and layer deposition Scientific and technological problems of production and machining of industrial crystals are discussed by top experts, most of them from the major growth industries and crystal growth centers. In addition, it will be useful for the users of crystals, for teachers and graduate students in materials sciences, in electronic and other functional materials, chemical and metallurgical engineering, micro-and optoelectronics including nanotechnology, mechanical engineering and precision-machining, microtechnology, and in solid-state sciences.

  7. Dense Plasma Focus-Based Nanofabrication of III-V Semiconductors: Unique Features and Recent Advances.

    Science.gov (United States)

    Mangla, Onkar; Roy, Savita; Ostrikov, Kostya Ken

    2015-12-29

    The hot and dense plasma formed in modified dense plasma focus (DPF) device has been used worldwide for the nanofabrication of several materials. In this paper, we summarize the fabrication of III-V semiconductor nanostructures using the high fluence material ions produced by hot, dense and extremely non-equilibrium plasma generated in a modified DPF device. In addition, we present the recent results on the fabrication of porous nano-gallium arsenide (GaAs). The details of morphological, structural and optical properties of the fabricated nano-GaAs are provided. The effect of rapid thermal annealing on the above properties of porous nano-GaAs is studied. The study reveals that it is possible to tailor the size of pores with annealing temperature. The optical properties of these porous nano-GaAs also confirm the possibility to tailor the pore sizes upon annealing. Possible applications of the fabricated and subsequently annealed porous nano-GaAs in transmission-type photo-cathodes and visible optoelectronic devices are discussed. These results suggest that the modified DPF is an effective tool for nanofabrication of continuous and porous III-V semiconductor nanomaterials. Further opportunities for using the modified DPF device for the fabrication of novel nanostructures are discussed as well.

  8. Electronic Properties of a 1D Intrinsic/p-Doped Heterojunction in a 2D Transition Metal Dichalcogenide Semiconductor

    KAUST Repository

    Song, Zhibo; Schultz, Thorsten; Ding, Zijing; Lei, Bo; Han, Cheng; Amsalem, Patrick; Lin, Tingting; Chi, Dongzhi; Wong, Swee Liang; Zheng, Yu Jie; Li, Ming-yang; Li, Lain-Jong; Chen, Wei; Koch, Norbert; Huang, Yu Li; Wee, Andrew Thye Shen

    2017-01-01

    Two-dimensional (2D) semiconductors offer a convenient platform to study 2D physics, for example, to understand doping in an atomically thin semiconductor. Here, we demonstrate the fabrication and unravel the electronic properties of a lateral doped/intrinsic heterojunction in a single-layer (SL) tungsten diselenide (WSe2), a prototype semiconducting transition metal dichalcogenide (TMD), partially covered with a molecular acceptor layer, on a graphite substrate. With combined experiments and theoretical modeling, we reveal the fundamental acceptor-induced p-doping mechanism for SL-WSe2. At the 1D border between the doped and undoped SL-WSe2 regions, we observe band bending and explain it by Thomas-Fermi screening. Using atomically resolved scanning tunneling microscopy and spectroscopy, the screening length is determined to be in the few nanometer range, and we assess the carrier density of intrinsic SL-WSe2. These findings are of fundamental and technological importance for understanding and employing surface doping, for example, in designing lateral organic TMD heterostructures for future devices.

  9. Electronic Properties of a 1D Intrinsic/p-Doped Heterojunction in a 2D Transition Metal Dichalcogenide Semiconductor

    KAUST Repository

    Song, Zhibo

    2017-07-28

    Two-dimensional (2D) semiconductors offer a convenient platform to study 2D physics, for example, to understand doping in an atomically thin semiconductor. Here, we demonstrate the fabrication and unravel the electronic properties of a lateral doped/intrinsic heterojunction in a single-layer (SL) tungsten diselenide (WSe2), a prototype semiconducting transition metal dichalcogenide (TMD), partially covered with a molecular acceptor layer, on a graphite substrate. With combined experiments and theoretical modeling, we reveal the fundamental acceptor-induced p-doping mechanism for SL-WSe2. At the 1D border between the doped and undoped SL-WSe2 regions, we observe band bending and explain it by Thomas-Fermi screening. Using atomically resolved scanning tunneling microscopy and spectroscopy, the screening length is determined to be in the few nanometer range, and we assess the carrier density of intrinsic SL-WSe2. These findings are of fundamental and technological importance for understanding and employing surface doping, for example, in designing lateral organic TMD heterostructures for future devices.

  10. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  11. Application of plasma deposition technology for nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Jung, I. H.; Moon, J. S.; Park, H. S.; Song, K. C.; Lee, C. Y.; Kang, K. H.; Ryu, H. J.; Kim, H. S.; Yang, M. S.

    2001-01-01

    Yttria-stabilized-zirconia (m.p. 2670.deg. C), was deposited by induction plasma spraying system with a view to develop a new nuclear fuel fabrication technology. To fabricate the dense pellets, the spraying condition was optimized through the process parameters such as, chamber pressure, plasma plate power, powder spraying distance, sheath gas composition, probe position particle size and its morphology. The results with a 5mm thick deposit on rectangular planar graphite substrates showed 97.11% theoretical density, when the sheath gas flow rate was Ar/H 2 120/20 L/min, probe position 8cm, particle size-75 μm and spraying distance 22cm. The microstructure of YSZ deposit by ICP was lamellae and columnar perpendicular to the spraying direction. In the bottom part near the substrate, small equiaxed grains bounded in a layer. In the middle part, relatively regular size of columnar grains with excellent bonding each other were distinctive

  12. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  13. Giant Geometrically Amplified Piezoresistance in Metal-Semiconductor Hybrid Resistors

    DEFF Research Database (Denmark)

    Hansen, Ole; Reck, Kasper; Thomsen, Erik Vilain

    2008-01-01

    We show that very high geometrically amplified piezoresistance can indeed be obtained in microstructured metal-semiconductor hybrid devices, even significantly higher amplification factors than the factor of approximately 8 demonstrated recently by Rowe and co-workers may be achieved. However, we...... than the sensitivity of conventional piezoresistors fabricated in the same piezoresistive material. ©2008 American Institute of Physics...

  14. 2nd International Conference on Ion Implantation in Semiconductors, Physics and Technology, Fundamental and Applied Aspects

    CERN Document Server

    Graul, Jürgen

    1971-01-01

    In recent years great progress has been made in the field of ion implantation, particularly with respect to applications in semiconductors. It would be impos­ sible not to note the growing interest in this field, both by research groups and those directly concerned with production of devices. Furthermore, as several papers have pointed out, ion implantation and its associated technologies promise exciting advances in the development of new kinds of devices and provide power­ ful new tools for materials investigations. It was, therefore, appropriate to arrange the II. International Conference on Ion Implantation in Semiconductors within the rather short time of one year since the first conference was held in 1970 in Thousand Oaks, California. Although ori­ ginally planned on a small scale with a very limited number of participants, more than two hundred scientists from 15 countries participated in the Conference which was held May 24 - 28, 1971 at the Congress Center in Garmisch-Partenkirchen. This volume c...

  15. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  16. High-performance green semiconductor devices: materials, designs, and fabrication

    Science.gov (United States)

    Jung, Yei Hwan; Zhang, Huilong; Gong, Shaoqin; Ma, Zhenqiang

    2017-06-01

    From large industrial computers to non-portable home appliances and finally to light-weight portable gadgets, the rapid evolution of electronics has facilitated our daily pursuits and increased our life comforts. However, these rapid advances have led to a significant decrease in the lifetime of consumer electronics. The serious environmental threat that comes from electronic waste not only involves materials like plastics and heavy metals, but also includes toxic materials like mercury, cadmium, arsenic, and lead, which can leak into the ground and contaminate the water we drink, the food we eat, and the animals that live around us. Furthermore, most electronics are comprised of non-renewable, non-biodegradable, and potentially toxic materials. Difficulties in recycling the increasing amount of electronic waste could eventually lead to permanent environmental pollution. As such, discarded electronics that can naturally degrade over time would reduce recycling challenges and minimize their threat to the environment. This review provides a snapshot of the current developments and challenges of green electronics at the semiconductor device level. It looks at the developments that have been made in an effort to help reduce the accumulation of electronic waste by utilizing unconventional, biodegradable materials as components. While many semiconductors are classified as non-biodegradable, a few biodegradable semiconducting materials exist and are used as electrical components. This review begins with a discussion of biodegradable materials for electronics, followed by designs and processes for the manufacturing of green electronics using different techniques and designs. In the later sections of the review, various examples of biodegradable electrical components, such as sensors, circuits, and batteries, that together can form a functional electronic device, are discussed and new applications using green electronics are reviewed.

  17. Are we There Yet? ... Developing In-Situ Fabrication and Repair (ISFR) Technologies to Explore and Live on the Moon and Mars

    Science.gov (United States)

    Bassler, Julie A.; Bodiford, Melanie P.; Fiske, Michael R.; Strong, Janet D.

    2005-01-01

    NASA's human exploration initiative poses great opportunity and great risk for manned missions to the Moon and Mars. Engineers and Scientists at the Marshall Space Flight Center are evaluating current technologies for in situ exploration habitat and fabrication and repair applications. Several technologies to be addressed in this paper have technology readiness levels (TRLs) that are currently mature enough to pursue for exploration purposes. However, many technologies offer promising applications but these must be pulled along by the demands and applications of this great initiative. The In Situ Fabrication and Repair (ISFR) program will supply and push state of the art technologies for applications such as habitat structure development, in situ resource utilization for tool and part fabrication, and repair and replacement of common life support elements. This paper will look at the current and future habitat technology applications such as the implementation of in situ environmental elements such as caves, rilles and lavatubes, the development of lunar regolith concrete and structure design and development, thin film and inflatable technologies. We will address current rapid prototyping technologies, their ISFR applications and near term advancements. We will discuss the anticipated need to utilize in situ resources to produce replacement parts and fabricate repairs to vehicles, habitats, life support and quality of life elements. All ISFR technology developments will incorporate automated deployment and robotic construction and fabrication techniques. The current state of the art for these applications is fascinating, but the future is out of this world.

  18. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  19. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  20. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  1. Fabrication and characterization of spiral interdigitated electrodes based biosensor for salivary glucose detection

    Science.gov (United States)

    Adelyn, P. Y. P.; Hashim, U.; Arshad, M. K. Md; Voon, C. H.; Liu, Wei-Wen; Kahar, S. M.; Huda, A. R. N.; Lee, H. Cheun

    2017-03-01

    This work introduces the non-invasive glucose monitoring technique by using the Complementary Metal Oxide Semiconductor (CMOS) technologically fabricated spiral Interdigitated Electrodes (IDE) based biosensor. Scanning Electron Microscopy (SEM) image explores the morphology of spiral IDE while Energy Dispersive X-Ray (EDX) determines the elements induced in spiral IDE. Oral saliva of two patients are collected and tested on the spiral IDE sensor with electrical characterization as glucose detection results. However, both patients exhibit their glucose level characteristics inconsistently. Therefore, this work could be extended and enhanced by adding Glutaraldehyde in between 3-Aminoproply)triethoxysilane (APTES) modified and glucose oxidase (GOD) enzyme immobilized layer with FTIR validation for bonding attachment.

  2. Will Future Measurement Needs of the Semiconductor Industry Be Met?

    Science.gov (United States)

    Bennett, Herbert S

    2007-01-01

    We discuss the ability of the nation's measurement system to meet future metrology needs of the semiconductor industry. Lacking an acceptable metric for assessing the health of metrology for the semiconductor industry, we identify a limited set of unmet measurement needs. Assuming that this set of needs may serve as proxy for the galaxy of semiconductor measurement needs, we examine it from the perspective of what will be required to continue the semiconductor industry's powerful impact in the world's macro-economy and maintain its exceptional record of numerous technological innovations. This paper concludes with suggestions about ways to strengthen the measurement system for the semiconductor industry.

  3. Superconducting detectors for semiconductor quantum photonics

    International Nuclear Information System (INIS)

    Reithmaier, Guenther M.

    2015-01-01

    In this thesis we present the first successful on-chip detection of quantum light, thereby demonstrating the monolithic integration of superconducting single photon detectors with individually addressable semiconductor quantum dots in a prototypical quantum photonic circuit. Therefore, we optimized both the deposition of high quality superconducting NbN thin films on GaAs substrates and the fabrication of superconducting detectors and successfully integrated these novel devices with GaAs/AlGaAs ridge waveguides loaded with self-assembled InGaAs quantum dots.

  4. Semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2011-03-15

    Novel articles and methods to fabricate the same resulting in flexible, large-area, triaxially textured, single-crystal or single-crystal-like, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  5. III-V semiconductor materials and devices

    CERN Document Server

    Malik, R J

    1989-01-01

    The main emphasis of this volume is on III-V semiconductor epitaxial and bulk crystal growth techniques. Chapters are also included on material characterization and ion implantation. In order to put these growth techniques into perspective a thorough review of the physics and technology of III-V devices is presented. This is the first book of its kind to discuss the theory of the various crystal growth techniques in relation to their advantages and limitations for use in III-V semiconductor devices.

  6. Development of fabrication technology for low activation vanadium alloys as fusion blanket structural materials

    International Nuclear Information System (INIS)

    Nagasaka, T.; Muroga, T.; Fukumoto, K.; Watanabe, H.; Grossbeck, M.L.; Chen, J.M.

    2005-01-01

    High purity vanadium alloy products, such as plates, wires and tubes, were fabricated from reference high-purity V-4Cr-4Ti ingots designated as NIFS-HEAT, by using technologies applicable to industrial scale fabrication. Impurity behavior during breakdown, and its effect on mechanical properties were investigated. It was revealed that mechanical properties of the products were significantly improved by the control of Ti-C, N, O precipitation induced during the processes. (author)

  7. FY 2000 report on the results of the R and D of fundamental technologies of superconductivity applications. Development of technology to process low consumption power ultra high speed signals; 2000 nendo chodendo oyo kiban gijutsu kenkyu kaihatsu seika hokokusho. Teishohi denryoku chokosoku shingo shori gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-05-01

    In relation to the project on the R and D of fundamental technologies of superconductivity applications, the FY 2000 results of the design/fabrication of superconducting circuits were summarized. As to the development of technology to design superconducting circuits, an increase in circuit scale was tried targeting AD converter use modulator and decimation filter. As a result, operation was confirmed in element circuits of flux quantum multiplier circuit, feed back driver, DC isolator, etc. Concerning the development of technology for standard junction and integration, RHEED observations on the thin film surface before/after etching and YBa{sub 2}Cu{sub 3}O{sub 7-x} re-deposition were tried to be made, and the potentiality as monitoring technology was indicated. With respect to the fabrication of small scale circuits for demonstration, the design/trial fabrication were made of the basic pattern of SFQ circuit elements such as DC-SFQ, T-FF and SQUID for inductance rating. In regard to the development of technology to measure characteristics of superconducting circuits, a system was fabricated for processing and measuring output signals from {sigma}-{delta} modulators by semiconductor circuits, and it made the evaluation of AD converter performance possible. (NEDO)

  8. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  9. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  10. Nuclear Fabrication Consortium

    Energy Technology Data Exchange (ETDEWEB)

    Levesque, Stephen [EWI, Columbus, OH (United States)

    2013-04-05

    This report summarizes the activities undertaken by EWI while under contract from the Department of Energy (DOE) Office of Nuclear Energy (NE) for the management and operation of the Nuclear Fabrication Consortium (NFC). The NFC was established by EWI to independently develop, evaluate, and deploy fabrication approaches and data that support the re-establishment of the U.S. nuclear industry: ensuring that the supply chain will be competitive on a global stage, enabling more cost-effective and reliable nuclear power in a carbon constrained environment. The NFC provided a forum for member original equipment manufactures (OEM), fabricators, manufacturers, and materials suppliers to effectively engage with each other and rebuild the capacity of this supply chain by : Identifying and removing impediments to the implementation of new construction and fabrication techniques and approaches for nuclear equipment, including system components and nuclear plants. Providing and facilitating detailed scientific-based studies on new approaches and technologies that will have positive impacts on the cost of building of nuclear plants. Analyzing and disseminating information about future nuclear fabrication technologies and how they could impact the North American and the International Nuclear Marketplace. Facilitating dialog and initiate alignment among fabricators, owners, trade associations, and government agencies. Supporting industry in helping to create a larger qualified nuclear supplier network. Acting as an unbiased technology resource to evaluate, develop, and demonstrate new manufacturing technologies. Creating welder and inspector training programs to help enable the necessary workforce for the upcoming construction work. Serving as a focal point for technology, policy, and politically interested parties to share ideas and concepts associated with fabrication across the nuclear industry. The report the objectives and summaries of the Nuclear Fabrication Consortium

  11. Developments in MOX fuel pellet fabrication technology: Indian experience

    International Nuclear Information System (INIS)

    Kamath, H.S.; Majumdar, S.; Purusthotham, D.S.C.

    1998-01-01

    India is interested in mixed oxide (MOX) fuel technology for better utilisation of its nuclear fuel resources. In view of this, a programme involving MOX fuel design, fabrication and irradiation in research and power reactors has been taken up. A number of experimental irradiations in research reactors have been carried out and a few MOX assemblies of ''All Pu'' type have been loaded in our commercial BWRs at Tarapur. An island type of MOX fuel design is under study for use in PHWRs which can increase the burn-up of the fuel by more than 30% compared to natural UO 2 fuel. The MOX fuel pellet fabrication technology for the above purpose and R and D efforts in progress for achieving better fuel performance are described in the paper. The standard MOX fuel fabrication route involves mechanical mixing and milling of UO 2 and PuO 2 powders. After detailed investigations with several types of mixing and milling equipments, dry attritor milling has been found to be the most suitable for this operation. Neutron Coincident Counting (NCC) technique was found to be the most convenient and appropriate technique for quick analysis of Pu content in milled MOX powder and to know Pu mixing is homogenous or not. Both mechanical and hydraulic presses have been used for powder compaction for green pellet production although the latter has been preferred for better reproducibility. Low residue admixed lubricants have been used to facilitate easy compaction. The normal sintering temperature used in Nitrogen-Hydrogen atmosphere is between 1600 deg. C to 1700 deg. C. Low temperature sintering (LTS) using oxidative atmospheres such as carbon dioxide, Nitrogen and coarse vacuum have also been investigated on UO 2 and MOX on experimental scale and irradiation behaviour of such MOX pellets is under study. Ceramic fibre lined batch furnaces have been found to be the most suitable for MOX pellet production as they offer very good flexibility in sintering cycle, and ease of maintainability

  12. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  13. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  14. 4. Ukrainian Scientific Conference on Semiconductor Physics (USCPS - 4). Part 2. Abstracts

    International Nuclear Information System (INIS)

    Machulin, V.F.

    2009-01-01

    The materials reflect the content of the conference papers, in which the novel results, state and perspectives of research in the field of semiconductor physics, electronic or phonon phenomena on the surface and in bulk semiconductors, nano- and quantum dimensional structures, physics of modern solid state devices, semiconductor materials and technologies are presented.

  15. Amplified spontaneous emission spectrum and gain characteristic of a two-electrode semiconductor optical amplifier

    International Nuclear Information System (INIS)

    Wang Hanchao; Huang Lirong; Shi Zhongwei

    2011-01-01

    A two-electrode multi-quantum-well semiconductor optical amplifier is designed and fabricated. The amplified spontaneous emission (ASE) spectrum and gain were measured and analyzed. It is shown that the ASE spectrum and gain characteristic are greatly influencedby the distribution of the injection current density. By changing the injection current density of two electrodes, the full width at half maximum, peak wavelength, peak power of the ASE spectrum and the gain characteristic can be easily controlled. (semiconductor devices)

  16. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  17. Graphene photodetectors with a bandwidth  >76 GHz fabricated in a 6″ wafer process line

    International Nuclear Information System (INIS)

    Schall, Daniel; Porschatis, Caroline; Otto, Martin; Neumaier, Daniel

    2017-01-01

    In recent years, the data traffic has grown exponentially and the forecasts indicate a huge market that could be addressed by communication infrastructure and service providers. However, the processing capacity, space, and energy consumption of the available technology is a serious bottleneck for the exploitation of these markets. Chip-integrated optical communication systems hold the promise of significantly improving these issues related to the current technology. At the moment, the answer to the question which material is best suited for ultrafast chip integrated communication systems is still open. In this manuscript we report on ultrafast graphene photodetectors with a bandwidth of more than 76 GHz well suitable for communication links faster than 100 GBit s −1 per channel. We extract an upper value of 7.2 ps for the timescale in which the bolometric photoresponse in graphene is generated. The photodetectors were fabricated on 6″ silicon-on-insulator wafers in a semiconductor pilot line, demonstrating the scalable fabrication of high-performance graphene based devices. (paper)

  18. Engineering of Semiconductor Nanocrystals for Light Emitting Applications

    Directory of Open Access Journals (Sweden)

    Francesco Todescato

    2016-08-01

    Full Text Available Semiconductor nanocrystals are rapidly spreading into the display and lighting markets. Compared with liquid crystal and organic LED displays, nanocrystalline quantum dots (QDs provide highly saturated colors, wide color gamut, resolution, rapid response time, optical efficiency, durability and low cost. This remarkable progress has been made possible by the rapid advances in the synthesis of colloidal QDs and by the progress in understanding the intriguing new physics exhibited by these nanoparticles. In this review, we provide support to the idea that suitably engineered core/graded-shell QDs exhibit exceptionally favorable optical properties, photoluminescence and optical gain, while keeping the synthesis facile and producing QDs well suited for light emitting applications. Solid-state laser emitters can greatly profit from QDs as efficient gain materials. Progress towards fabricating low threshold, solution processed DFB lasers that are optically pumped using one- and two-photon absorption is reviewed. In the field of display technologies, the exploitation of the exceptional photoluminescence properties of QDs for LCD backlighting has already advanced to commercial levels. The next big challenge is to develop the electroluminescence properties of QD to a similar state. We present an overview of QLED devices and of the great perspectives for next generation display and lighting technologies.

  19. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  20. Ion beam synthesis and characterization of metastable group-IV alloy semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, Naoto; Hasegawa, Masataka; Hayashi, Nobuyuki; Makita, Yunosuke; Shibata, Hajime [Electrotechnical Lab., Tsukuba, Ibaraki (Japan); Katsumata, Hiroshi; Uekusa, Shin-ichiro

    1997-03-01

    New Group-IV metastable alloy semiconductors and their heterostructures based on combinations of C-Si-Ge-Sn are recently attracting interest because of feasible new electronic and optoelectronic application in Si-technology and here research works on synthesis and characterization of the epitaxial heterostructures of Si-C, Si-Sn on Si fabricated by ion implantation together either with ion-beam-induced epitaxial crystallization (IBIEC) or solid phase epitaxial growth (SPEG) have been investigated. Formations of layers of Si{sub 1-y}C{sub y} (y=0.014 at peak concentration) on Si(100) have been performed by high-dose implantation of 17 keV C ions and successive IBIEC with 400 keV Ar or Ge ion bombardments at 300-400degC or SPEG up to 750degC. Crystalline growth by IBIEC has shown a lower growth rate in Si{sub 1-y}C{sub y}/Si than in intrinsic Si due mainly to the strain existence, which was observed by the X-ray diffraction (XRD) measurements. Photoluminescence(PL) measurements have revealed I{sub 1} or G line emissions that are relevant to small vacancy clusters or C pair formation, respectively. The crystalline growth of Si{sub 1-z}Sn{sub z} layers by 110 keV {sup 120}Sn ion implantation (z=0.029 and z=0.058 at peak concentration) into Si(100) followed either by IBIEC or by SPEG has been also investigated. PL emission from both IBIEC-grown and SPEG-grown samples with the lower Sn concentration has shown similar peaks to those by ion-implanted and annealed Si samples with intense I{sub 1} or I{sub 1}-related (Ar) peaks. Present results suggest that IBIEC has a feature for the non-thermal equilibrium fabrication of Si-C and Si-Sn alloy semiconductors. (J.P.N.)

  1. Progress in Group III nitride semiconductor electronic devices

    International Nuclear Information System (INIS)

    Hao Yue; Zhang Jinfeng; Shen Bo; Liu Xinyu

    2012-01-01

    Recently there has been a rapid domestic development in group III nitride semiconductor electronic materials and devices. This paper reviews the important progress in GaN-based wide bandgap microelectronic materials and devices in the Key Program of the National Natural Science Foundation of China, which focuses on the research of the fundamental physical mechanisms of group III nitride semiconductor electronic materials and devices with the aim to enhance the crystal quality and electric performance of GaN-based electronic materials, develop new GaN heterostructures, and eventually achieve high performance GaN microwave power devices. Some remarkable progresses achieved in the program will be introduced, including those in GaN high electron mobility transistors (HEMTs) and metal—oxide—semiconductor high electron mobility transistors (MOSHEMTs) with novel high-k gate insulators, and material growth, defect analysis and material properties of InAlN/GaN heterostructures and HEMT fabrication, and quantum transport and spintronic properties of GaN-based heterostructures, and high-electric-field electron transport properties of GaN material and GaN Gunn devices used in terahertz sources. (invited papers)

  2. Low-temperature deposition manufacturing: A novel and promising rapid prototyping technology for the fabrication of tissue-engineered scaffold.

    Science.gov (United States)

    Liu, Wei; Wang, Daming; Huang, Jianghong; Wei, You; Xiong, Jianyi; Zhu, Weimin; Duan, Li; Chen, Jielin; Sun, Rong; Wang, Daping

    2017-01-01

    Developed in recent years, low-temperature deposition manufacturing (LDM) represents one of the most promising rapid prototyping technologies. It is not only based on rapid deposition manufacturing process but also combined with phase separation process. Besides the controlled macropore size, tissue-engineered scaffold fabricated by LDM has inter-connected micropores in the deposited lines. More importantly, it is a green manufacturing process that involves non-heating liquefying of materials. It has been employed to fabricate tissue-engineered scaffolds for bone, cartilage, blood vessel and nerve tissue regenerations. It is a promising technology in the fabrication of tissue-engineered scaffold similar to ideal scaffold and the design of complex organs. In the current paper, this novel LDM technology is introduced, and its control parameters, biomedical applications and challenges are included and discussed as well. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  4. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  5. A Designed Room Temperature Multilayered Magnetic Semiconductor

    Science.gov (United States)

    Bouma, Dinah Simone; Charilaou, Michalis; Bordel, Catherine; Duchin, Ryan; Barriga, Alexander; Farmer, Adam; Hellman, Frances; Materials Science Division, Lawrence Berkeley National Lab Team

    2015-03-01

    A room temperature magnetic semiconductor has been designed and fabricated by using an epitaxial antiferromagnet (NiO) grown in the (111) orientation, which gives surface uncompensated magnetism for an odd number of planes, layered with the lightly doped semiconductor Al-doped ZnO (AZO). Magnetization and Hall effect measurements of multilayers of NiO and AZO are presented for varying thickness of each. The magnetic properties vary as a function of the number of Ni planes in each NiO layer; an odd number of Ni planes yields on each NiO layer an uncompensated moment which is RKKY-coupled to the moments on adjacent NiO layers via the carriers in the AZO. This RKKY coupling oscillates with the AZO layer thickness, and it disappears entirely in samples where the AZO is replaced with undoped ZnO. The anomalous Hall effect data indicate that the carriers in the AZO are spin-polarized according to the direction of the applied field at both low temperature and room temperature. NiO/AZO multilayers are therefore a promising candidate for spintronic applications demanding a room-temperature semiconductor.

  6. High-power microwave LDMOS transistors for wireless data transmission technologies (Review)

    International Nuclear Information System (INIS)

    Kuznetsov, E. V.; Shemyakin, A. V.

    2010-01-01

    The fields of the application, structure, fabrication, and packaging technology of high-power microwave LDMOS transistors and the main advantages of these devices were analyzed. Basic physical parameters and some technology factors were matched for optimum device operation. Solid-state microwave electronics has been actively developed for the last 10-15 years. Simultaneously with improvement of old devices, new devices and structures are actively being adopted and developed and new semiconductor materials are being commercialized. Microwave LDMOS technology is in demand in such fields as avionics, civil and military radars, repeaters, base stations of cellular communication systems, television and broadcasting transmitters, and transceivers for high-speed wireless computer networks (promising Wi-Fi and Wi-Max standards).

  7. Nitrogen plasma-treated multilayer graphene-based field effect transistor fabrication and electronic characteristics

    Science.gov (United States)

    Su, Wei-Jhih; Chang, Hsuan-Chen; Honda, Shin-ichi; Lin, Pao-Hung; Huang, Ying-Sheng; Lee, Kuei-Yi

    2017-08-01

    Chemical doping with hetero-atoms is an effective method used to change the characteristics of materials. Nitrogen doping technology plays a critical role in regulating the electronic properties of graphene. Nitrogen plasma treatment was used in this work to dope nitrogen atoms to modulate multilayer graphene electrical properties. The measured I-V multilayer graphene-base field-effect transistor characteristics (GFETs) showed a V-shaped transfer curve with the hole and electron region separated from the measured current-voltage (I-V) minimum. GFETs fabricated with multilayer graphene from chemical vapor deposition (CVD) exhibited p-type behavior because of oxygen adsorption. After using different nitrogen plasma treatment times, the minimum in I-V characteristic shifted into the negative gate voltage region with increased nitrogen concentration and the GFET channel became an n-type semiconductor. GFETs could be easily fabricated using this method with potential for various applications. The GFET transfer characteristics could be tuned precisely by adjusting the nitrogen plasma treatment time.

  8. Simulation studies of current transport in metal-insulator-semiconductor Schottky barrier diodes

    International Nuclear Information System (INIS)

    Chand, Subhash; Bala, Saroj

    2007-01-01

    The current-voltage characteristics of Schottky diodes with an interfacial insulator layer are analysed by numerical simulation. The current-voltage data of the metal-insulator-semiconductor Schottky diode are simulated using thermionic emission diffusion (TED) equation taking into account an interfacial layer parameter. The calculated current-voltage data are fitted into ideal TED equation to see the apparent effect of interfacial layer parameters on current transport. Results obtained from the simulation studies shows that with mere presence of an interfacial layer at the metal-semiconductor interface the Schottky contact behave as an ideal diode of apparently high barrier height (BH), but with same ideality factor and series resistance as considered for a pure Schottky contact without an interfacial layer. This apparent BH decreases linearly with decreasing temperature. The effects giving rise to high ideality factor in metal-insulator-semiconductor diode are analysed. Reasons for observed temperature dependence of ideality factor in experimentally fabricated metal-insulator-semiconductor diodes are analysed and possible mechanisms are discussed

  9. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  10. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Development of technology to rationalize energy usage); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. This paper summarizes the achievements in fiscal 2000 in the demonstrative research and development theme of the present project, centering on the following five areas: 1) discussions on application of the Cat-CVD method to the mass production process for gallium arsenide integrated circuits, 2) studies on the possibility to apply the Cat-CVD method to the process to fabricate nitrided silicon protective film for ferroelectric memory devices, 3) formation of nitrided silicon films for silicon integrated circuits by means of the Cat-CVD method, and development of a chamber cleaning technology, 4) fabrication of high-mobility poly-crystalline silicon thin film transistors formed by using the Cat-CVD method and large particle size poly-crystalline silicon films by using the catalytic chemical sputtering process, and 5) discussions on properties of amorphous silicon thin film transistors formed by using the Cat-CVD method and formation of large area films by using a catalyst integrated shower head. (NEDO)

  11. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  12. Electric-Field-Driven Dual Vacancies Evolution in Ultrathin Nanosheets Realizing Reversible Semiconductor to Half-Metal Transition.

    Science.gov (United States)

    Lyu, Mengjie; Liu, Youwen; Zhi, Yuduo; Xiao, Chong; Gu, Bingchuan; Hua, Xuemin; Fan, Shaojuan; Lin, Yue; Bai, Wei; Tong, Wei; Zou, Youming; Pan, Bicai; Ye, Bangjiao; Xie, Yi

    2015-12-02

    Fabricating a flexible room-temperature ferromagnetic resistive-switching random access memory (RRAM) device is of fundamental importance to integrate nonvolatile memory and spintronics both in theory and practice for modern information technology and has the potential to bring about revolutionary new foldable information-storage devices. Here, we show that a relatively low operating voltage (+1.4 V/-1.5 V, the corresponding electric field is around 20,000 V/cm) drives the dual vacancies evolution in ultrathin SnO2 nanosheets at room temperature, which causes the reversible transition between semiconductor and half-metal, accompanyied by an abrupt conductivity change up to 10(3) times, exhibiting room-temperature ferromagnetism in two resistance states. Positron annihilation spectroscopy and electron spin resonance results show that the Sn/O dual vacancies in the ultrathin SnO2 nanosheets evolve to isolated Sn vacancy under electric field, accounting for the switching behavior of SnO2 ultrathin nanosheets; on the other hand, the different defect types correspond to different conduction natures, realizing the transition between semiconductor and half-metal. Our result represents a crucial step to create new a information-storage device realizing the reversible transition between semiconductor and half-metal with flexibility and room-temperature ferromagnetism at low energy consumption. The as-obtained half-metal in the low-resistance state broadens the application of the device in spintronics and the semiconductor to half-metal transition on the basis of defects evolution and also opens up a new avenue for exploring random access memory mechanisms and finding new half-metals for spintronics.

  13. Wire Array Solar Cells: Fabrication and Photoelectrochemical Studies

    Science.gov (United States)

    Spurgeon, Joshua Michael

    Despite demand for clean energy to reduce our addiction to fossil fuels, the price of these technologies relative to oil and coal has prevented their widespread implementation. Solar energy has enormous potential as a carbon-free resource but is several times the cost of coal-produced electricity, largely because photovoltaics of practical efficiency require high-quality, pure semiconductor materials. To produce current in a planar junction solar cell, an electron or hole generated deep within the material must travel all the way to the junction without recombining. Radial junction, wire array solar cells, however, have the potential to decouple the directions of light absorption and charge-carrier collection so that a semiconductor with a minority-carrier diffusion length shorter than its absorption depth (i.e., a lower quality, potentially cheaper material) can effectively produce current. The axial dimension of the wires is long enough for sufficient optical absorption while the charge-carriers are collected along the shorter radial dimension in a massively parallel array. This thesis explores the wire array solar cell design by developing potentially low-cost fabrication methods and investigating the energy-conversion properties of the arrays in photoelectrochemical cells. The concept was initially investigated with Cd(Se, Te) rod arrays; however, Si was the primary focus of wire array research because its semiconductor properties make low-quality Si an ideal candidate for improvement in a radial geometry. Fabrication routes for Si wire arrays were explored, including the vapor-liquid-solid growth of wires using SiCl4. Uniform, vertically aligned Si wires were demonstrated in a process that permits control of the wire radius, length, and spacing. A technique was developed to transfer these wire arrays into a low-cost, flexible polymer film, and grow multiple subsequent arrays using a single Si(111) substrate. Photoelectrochemical measurements on Si wire array

  14. Ohmic metallization technology for wide band-gap semiconductors

    International Nuclear Information System (INIS)

    Iliadis, A.A.; Vispute, R.D.; Venkatesan, T.; Jones, K.A.

    2002-01-01

    Ohmic contact metallizations on p-type 6H-SiC and n-type ZnO using a novel approach of focused ion beam (FIB) surface-modification and direct-write metal deposition will be reviewed, and the properties of such focused ion beam assisted non-annealed contacts will be reported. The process uses a Ga focused ion beam to modify the surface of the semiconductor with different doses, and then introduces an organometallic compound in the Ga ion beam, to effect the direct-write deposition of a metal on the modified surface. Contact resistance measurements by the transmission line method produced values in the low 10 -4 Ω cm 2 range for surface-modified and direct-write Pt and W non-annealed contacts, and mid 10 -5 Ω cm 2 range for surface-modified and pulse laser deposited TiN contacts. An optimum Ga surface-modification dosage window is determined, within which the current transport mechanism of these contacts was found to proceed mainly by tunneling through the metal-modified-semiconductor interface layer

  15. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  16. Current developments of fuel fabrication technologies at the plutonium fuel production facility, PFPF

    International Nuclear Information System (INIS)

    Asakura, K.; Aono, S.; Yamaguchi, T.; Deguchi, M.

    2000-01-01

    The Japan Nuclear Cycle Development Institute, JNC, designed, constructed and has operated the Plutonium Fuel Production Facility, PFPF, at the JNC Tokai Works to supply MOX fuels to the proto-type Fast Breeder Reactor, FBR, 'MONJU' and the experimental FBR 'JOYO' with 5 tonMOX/year of fabrication capability. Reduction of personal radiation exposure to a large amount of plutonium is one of the most important subjects in the development of MOX fabrication facility on a large scale. As the solution of this issue, the PFPF has introduced automated and/or remote controlled equipment in conjunction with computer controlled operation scheme. The PFPF started its operation in 1988 with JOYO reload fuel fabrication and has demonstrated MOX fuel fabrication on a large scale through JOYO and MONJU fuel fabrication for this decade. Through these operations, it has become obvious that several numbers of equipment initially installed in the PFPF need improvements in their performance and maintenance for commercial utilization of plutonium in the future. Furthermore, fuel fabrication of low density MOX pellets adopted in the MONJU fuel required a complete inspection because of difficulties in pellet fabrication compared with high density pellet for JOYO. This paper describes new pressing equipment with a powder recovery system, and pellet finishing and inspection equipment which has multiple functions, such as grinding measurements of outer diameter and density, and inspection of appearance to improve efficiency in the pellet finishing and inspection steps. Another development of technology concerning an annular pellet and an innovative process for MOX fuel fabrication are also described in this paper. (author)

  17. The FinFET Breakthrough and Networks of Innovation in the Semiconductor Industry, 1980-2005: Applying Digital Tools to the History of Technology.

    Science.gov (United States)

    O'Reagan, Douglas; Fleming, Lee

    2018-01-01

    The "FinFET" design for transistors, developed at the University of California, Berkeley, in the 1990s, represented a major leap forward in the semiconductor industry. Understanding its origins and importance requires deep knowledge of local factors, such as the relationships among the lab's principal investigators, students, staff, and the institution. It also requires understanding this lab within the broader network of relationships that comprise the semiconductor industry-a much more difficult task using traditional historical methods, due to the paucity of sources on industrial research. This article is simultaneously 1) a history of an impactful technology and its social context, 2) an experiment in using data tools and visualizations as a complement to archival and oral history sources, to clarify and explore these "big picture" dimensions, and 3) an introduction to specific data visualization tools that we hope will be useful to historians of technology more generally.

  18. Wafer-scale laser pantography: Fabrication of n-metal-oxide-semiconductor transistors and small-scale integrated circuits by direct-write laser-induced pyrolytic reactions

    International Nuclear Information System (INIS)

    McWilliams, B.M.; Herman, I.P.; Mitlitsky, F.; Hyde, R.A.; Wood, L.L.

    1983-01-01

    A complete set of processes sufficient for manufacture of n-metal-oxide-semiconductor (n-MOS) transistors by a laser-induced direct-write process has been demonstrated separately, and integrated to yield functional transistors. Gates and interconnects were fabricated of various combinations of n-doped and intrinsic polysilicon, tungsten, and tungsten silicide compounds. Both 0.1-μm and 1-μm-thick gate oxides were micromachined with and without etchant gas, and the exposed p-Si [100] substrate was cleaned and, at times, etched. Diffusion regions were doped by laser-induced pyrolytic decomposition of phosphine followed by laser annealing. Along with the successful manufacture of working n-MOS transistors and a set of elementary digital logic gates, this letter reports the successful use of several laser-induced surface reactions that have not been reported previously

  19. Superconductor-semiconductor-superconductor planar junctions of aluminium on DELTA-doped gallium arsenide

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Kutchinsky, jonatan

    1997-01-01

    We have fabricated and characterized planar superconductor-semiconductor-superconductor (S-Sm-S) junctions with a high quality (i.e. low barrier) interface between an n++ modulation doped conduction layer in MBE grown GaAs and in situ deposited Al electrodes. The Schottky barrier at the S...

  20. Remote mixed oxide fabrication facility development. Volume 2. State-of-the-art review of remote maintenance system technology

    International Nuclear Information System (INIS)

    Horgos, R.M.; Masch, M.L.

    1979-06-01

    This report provides a state-of-the-art review of remote systems technology, which includes manipulators, process connectors, vision systems and specialized process systems. A proposed mixed oxide fuel fabrication facility was reviewed and evaluated for identification of major remote maintenance and repair tasks. The technological areas were evaluated on the basis of their suitability or applicability for remote maintenance and repair of a proposed fully remote operating mixed oxide fuel fabrication facility. A technological base exists from which the design criteria for a reliable, remote operating facility can be established. Commercially available systems and components, along with those remote technologies now in development, will require modifications to adapt them to specific plant designs and requirements

  1. A Review on the Fabrication of Hierarchical ZnO Nanostructures for Photocatalysis Application

    Directory of Open Access Journals (Sweden)

    Yi Xia

    2016-11-01

    Full Text Available Semiconductor photocatalysis provides potential solutions for many energy and environmental-related issues. Recently, various semiconductors with hierarchical nanostructures have been fabricated to achieve efficient photocatalysts owing to their multiple advantages, such as high surface area, porous structures, as well as enhanced light harvesting. ZnO has been widely investigated and considered as the most promising alternative photocatalyst to TiO2. Herein, we present a review on the fabrication methods, growth mechanisms and photocatalytic applications of hierarchical ZnO nanostructures. Various synthetic strategies and growth mechanisms, including multistep sequential growth routes, template-based synthesis, template-free self-organization and precursor or self-templating strategies, are highlighted. In addition, the fabrication of multicomponent ZnO-based nanocomposites with hierarchical structures is also included. Finally, the application of hierarchical ZnO nanostructures and nanocomposites in typical photocatalytic reactions, such as pollutant degradation and H2 evolution, is reviewed.

  2. Modelling the metal–semiconductor band structure in implanted ohmic contacts to GaN and SiC

    International Nuclear Information System (INIS)

    Pérez-Tomás, A; Fontserè, A; Placidi, M; Jennings, M R; Gammon, P M

    2013-01-01

    Here we present a method to model the metal–semiconductor (M–S) band structure to an implanted ohmic contact to a wide band gap semiconductor (WBG) such as GaN and SiC. The performance and understanding of the M–S contact to a WBG semiconductor is of great importance as it influences the overall performance of a semiconductor device. In this work we explore in a numerical fashion the ohmic contact properties to a WBG semiconductor taking into account the partial ionization of impurities and analysing its dependence on the temperature, the barrier height, the impurity level band energy and carrier concentration. The effect of the M–S Schottky barrier lowering and the Schottky barrier inhomogeneities are discussed. The model is applied to a fabricated ohmic contact to GaN where the M–S band structure can be completely determined. (paper)

  3. Technical issues of fabrication technologies of reduced activation ferritic/martensitic steels

    International Nuclear Information System (INIS)

    Tanigawa, Hiroyasu; Sakasegawa, Hideo; Hirose, Takanori

    2013-01-01

    Highlights: • The key technical issues of RAFM steel fabrication are the control of Ta, and deoxidation of the steel with a limited amount of Al addition. • Addition of Ta with poor deoxidation might results in the agglomeration of inclusions at 1/2t position. • ESR was proved to be effective removing Ta oxide inclusions and avoiding agglomeration of inclusions at 1/2t position, and achieving low oxygen concentration. -- Abstract: The key issue for DEMO application is that Reduced activation ferritic/martensitic (RAFM) steels fabrication technologies has to be highly assured, especially with respect to high availability, reliability and reduced activation capability on the DEMO level fabrication, which requires not a few tons but thousand tons RAFM fabrication. One of the key technical issues of RAFM fabrication is the control of Ta, and deoxidation of the steel with a limited amount of Al addition. The series of F82H (Fe–8Cr–2W–V, Ta) melting revealed that Ta have tendency to form oxide on melting process, and this will have large impact on reliability of the steels. Al is also the key elements, as it is commonly used for deoxidation of steels, and achieving lower oxygen level is essential to obtain good mechanical properties, but the maximum concentration of Al is limited in view of reduced activation capability. These tendency and limitation resulted in the Ta oxide agglomeration in the middle of plate, but the remelting process, ESR (electro slag remelting), was found to be successful on removing those Ta oxides

  4. Fiscal 1999 research report on long-term energy technology strategy. Basic research on industrial technology strategy (Individual technology strategy). Machine industry technology field (Semiconductor equipment); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku) kikai sangyo gijutsu bun'ya (handotai seizo sochi bun'ya)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 basic research result on industrial technology strategy of a semiconductor equipment field, viewing until 5-10 years after. For the future semiconductor industry, the favorable cycling of creation of new demands through performance improvement, and further technology innovation through market expansion is essential absolutely. Since technology development is followed by investment, not only the performance of each equipment but also the higher productivity and cost balance of the whole factory are essential. Self-intelligent function and networking are thus necessary for the equipment. As measures for environment preservation and energy saving, such innovative technologies are required as recycling, reuse, reaction process improvement and alternative technology. Because of diverse final products and a short life time of products, a large-scale collective investment is becoming difficult. A mini-line sequential investment production system according to demand scales is under investigation. Some issues such as micro-technology, realization of 300mm wafer, modularization, CIM, reliability and standardization are also described. (NEDO)

  5. Memory characteristics of an MOS capacitor structure with double-layer semiconductor and metal heterogeneous nanocrystals

    International Nuclear Information System (INIS)

    Ni Henan; Wu Liangcai; Song Zhitang; Hui Chun

    2009-01-01

    An MOS (metal oxide semiconductor) capacitor structure with double-layer heterogeneous nanocrystals consisting of semiconductor and metal embedded in a gate oxide for nonvolatile memory applications has been fabricated and characterized. By combining vacuum electron-beam co-evaporated Si nanocrystals and self-assembled Ni nanocrystals in a SiO 2 matrix, an MOS capacitor with double-layer heterogeneous nanocrystals can have larger charge storage capacity and improved retention characteristics compared to one with single-layer nanocrystals. The upper metal nanocrystals as an additional charge trap layer enable the direct tunneling mechanism to enhance the flat voltage shift and prolong the retention time. (semiconductor devices)

  6. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  7. Integrated optical serializer designed and fabricated in a generic InP based technology

    NARCIS (Netherlands)

    Stopinski, S.T.; Malinowski, M.; Piramidowicz, R.; Smit, M.K.; Leijtens, X.J.M.

    2012-01-01

    This work presents design and characterization results of an optical pulse serializer, realized as an Application Specific Photonic Integrated Circuit (ASPIC) in a novel, generic InPbased technology and fabricated in a multi-project wafer run. The measurement results show high-speed (32 Gbit/s)

  8. Peculiarities of neutron interaction with boron containing semiconductors

    International Nuclear Information System (INIS)

    Didyk, A.Yu.; ); Hofman, A.; Institute of Atomic Energy, Otwock/Swierk; Vlasukova, L.A.

    2009-01-01

    The results of point defect creation calculation in B 4 C, BN and BP semiconductor single crystals irradiated in the fast neutron reactor IBR-2 are presented. It has been shown that during the thermal neutron interaction with light isotope boron atoms ( 10 B) the damage creation by means of fission nuclear reaction fragments (alpha particles and 7 Li recoil nuclei) exceeds the damage created by fast neutrons (E n > 0.1 MeV) by more than two orders of value. It has been concluded that such irradiation can create a well developed radiation defect structure in boron-containing crystals with nearly homogeneous vacancy depth distribution. This may be used in technological applications for more effective diffusion of impurities implanted at low energies or deposited onto the semiconductor surface. The developed homogeneous vacancy structure is very suitable for the radiation enhanced diffusion of electrically charged or neutral impurities from the surface into the technological depth of semiconductor devices under post irradiation treatment. (authors)

  9. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  10. Microelectronics used for Semiconductor Imaging Detectors

    CERN Document Server

    Heijne, Erik H M

    2010-01-01

    Semiconductor crystal technology, microelectronics developments and nuclear particle detection have been in a relation of symbiosis, all the way from the beginning. The increase of complexity in electronics chips can now be applied to obtain much more information on the incident nuclear radiation. Some basic technologies are described, in order to acquire insight in possibilities and limitations for the most recent detectors.

  11. Large-scale nanofabrication of periodic nanostructures using nanosphere-related techniques for green technology applications (Conference Presentation)

    Science.gov (United States)

    Yen, Chen-Chung; Wu, Jyun-De; Chien, Yi-Hsin; Wang, Chang-Han; Liu, Chi-Ching; Ku, Chen-Ta; Chen, Yen-Jon; Chou, Meng-Cheng; Chang, Yun-Chorng

    2016-09-01

    Nanotechnology has been developed for decades and many interesting optical properties have been demonstrated. However, the major hurdle for the further development of nanotechnology depends on finding economic ways to fabricate such nanostructures in large-scale. Here, we demonstrate how to achieve low-cost fabrication using nanosphere-related techniques, such as Nanosphere Lithography (NSL) and Nanospherical-Lens Lithography (NLL). NSL is a low-cost nano-fabrication technique that has the ability to fabricate nano-triangle arrays that cover a very large area. NLL is a very similar technique that uses polystyrene nanospheres to focus the incoming ultraviolet light and exposure the underlying photoresist (PR) layer. PR hole arrays form after developing. Metal nanodisk arrays can be fabricated following metal evaporation and lifting-off processes. Nanodisk or nano-ellipse arrays with various sizes and aspect ratios are routinely fabricated in our research group. We also demonstrate we can fabricate more complicated nanostructures, such as nanodisk oligomers, by combining several other key technologies such as angled exposure and deposition, we can modify these methods to obtain various metallic nanostructures. The metallic structures are of high fidelity and in large scale. The metallic nanostructures can be transformed into semiconductor nanostructures and be used in several green technology applications.

  12. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  13. A review on the development of the MOX fuel fabrication technology

    Energy Technology Data Exchange (ETDEWEB)

    Kim, See Hyung; Lee, Yung Woo; Sohn, Dong Sung; Yang, Myung Seung; Bae, Kee Kwang; Nah, Sang Hoh; Kim, Han Soo; Lee, Jung Won; Kim, Bong Koo; Song, Keun Woo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1995-07-01

    Development of the Mixed Oxide(MOX) fuel fabrication technology was reviewed in this study. Firstly, the feasibility of Pu utilization for nuclear fuel was analyzed by comparison of nuclear characteristics between U and Pu. Secondly, the feature and problem of processes developed so far was revealed and analyzed by reviewing each process in terms of technical difficulties and in connection with the pellet characteristics. Also, fabrication facilities currently existing were analyzed to understand particularities and circumstances in view of Pu handling, and finally, in-reactor behaviors of MOX fuel was compared with those of U fuel to understand how the Pu has an effect on fuel was compared with those of U fuel to understand how the Pu has an effect on fuel pellet structure and fuel rod. 73 figs., 15 tabs., 58 refs. (Author).

  14. Positive and negative gain exceeding unity magnitude in silicon quantum well metal-oxide-semiconductor transistors

    Science.gov (United States)

    Hu, Gangyi; Wijesinghe, Udumbara; Naquin, Clint; Maggio, Ken; Edwards, H. L.; Lee, Mark

    2017-10-01

    Intrinsic gain (AV) measurements on Si quantum well (QW) n-channel metal-oxide-semiconductor (NMOS) transistors show that these devices can have |AV| > 1 in quantum transport negative transconductance (NTC) operation at room temperature. QW NMOS devices were fabricated using an industrial 45 nm technology node process incorporating ion implanted potential barriers to define a lateral QW in the conduction channel under the gate. While NTC at room temperature arising from transport through gate-controlled QW bound states has been previously established, it was unknown whether the quantum NTC mechanism could support gain magnitude exceeding unity. Bias conditions were found giving both positive and negative AV with |AV| > 1 at room temperature. This result means that QW NMOS devices could be useful in amplifier and oscillator applications.

  15. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien; Amani, Matin; Desai, Sujay B.; Ahn, Geun Ho; Han, Kevin; He, Jr-Hau; Ager, Joel W.; Wu, Ming C.; Javey, Ali

    2018-01-01

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  16. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien

    2018-04-04

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  17. Leukemia and non-Hodgkin lymphoma in semiconductor industry workers in Korea.

    Science.gov (United States)

    Kim, Inah; Kim, Hyun J; Lim, Sin Y; Kongyoo, Jungok

    2012-01-01

    Reports of leukemia and non-Hodgkin lymphoma (NHL), cancers known to have a similar pathophysiology, among workers in the semiconductor industry have generated much public concern in Korea. This paper describes cases reported to the NGO Supporters for the Health and Rights of People in the Semiconductor Industry (SHARPs). We identified demographic characteristics, occupational, and disease history, for 17 leukemia and NHL cases from the Giheung Samsung semiconductor plant, diagnosed from November 2007 to January 2011. Patients were relatively young (mean = 28·5 years, SD = 6·5) at the time of diagnosis and the mean latency period was 104·3 months (SD = 65·8). Majority of the cases were fabrication operators (11 workers among 17) and 12 were hired before 2000. Six cases worked in the etching or diffusion process. The evidence to confirm the causal relationship between exposures in the semiconductor industry and leukemia or NHL remains insufficient and a more formal, independent study of the exposure-disease relationship in this occupation is needed. However, workers should be protected from the potential exposures immediately.

  18. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  19. Fabrication and Testing of Thermoelectric CMOS-MEMS Microgenerators with CNCs Film

    Directory of Open Access Journals (Sweden)

    Yu-Wei Chen

    2018-06-01

    Full Text Available Manufacturing and testing of a TMG (thermoelectric microgenerator with CNCs (carbon nanocapsules film fabricated utilizing a CMOS (complementary metal oxide semiconductor technology are investigated. The microgenerator includes a CNCs layer, thermopiles, and thermometers. CNCs, a heat absorbing material, are coated on the microgenerator, so that the TD (temperature difference of HP (hot part and CP (cold part in the thermopiles increases, resulting in an enhancement of the microgenerator OP (output power. Thermometers fabricated in the microgenerator are employed to detect the HP and CP temperature in thermopiles. In order to enhance thermopiles’ TD, the HP in thermopiles was manufactured as suspension structures isolating heat dissipation, and the CP in thermopiles was made on a silicon substrate to increase the heat sink. Experiments showed that the microgenerator OV (output voltage was 3.3 mV and its output power was 125 pW at TD 3 K. Voltage and power factors of TMG were 0.71 mV/K/mm2 and 9.04 pW/K2/mm2, respectively.

  20. The European answer to the integration issues of excimer laser annealing in MOS technology

    International Nuclear Information System (INIS)

    Privitera, V.; La Magna, A.; Fortunato, G.; Camalleri, M.; Magri, A.; Simon, F.; Svensson, B.G.

    2004-01-01

    Excimer laser annealing (ELA) of MOSFET devices is currently studied and evaluated within the frame of the IST project 'Fundamentals and applications of laser processing for highly innovative MOS technology' (FLASH), funded by the European Commission. This European consortium aim to demonstrate that ELA can be industrialized in the context of semiconductor device fabrication. The technical achievement of homogeneous irradiation of entire wafers by industrial line beam system set up has been combined with device design solutions, in order to avoid the detrimental effects of the laser beam on device structures and tackle the integration issues, main obstacles for the use of ELA in the semiconductor industry. The launch of ELA, to open a new market segment in the semiconductor industry, implies also the availability of reliable process simulation tools. Therefore, a simulation program based on the phase-field method was produced, fully working and available

  1. Novel Low Loss Wide-Band Multi-Port Integrated Circuit Technology for RF/Microwave Applications

    Science.gov (United States)

    Simons, Rainee N.; Goverdhanam, Kavita; Katehi, Linda P. B.; Burke, Thomas P. (Technical Monitor)

    2001-01-01

    In this paper, novel low loss, wide-band coplanar stripline technology for radio frequency (RF)/microwave integrated circuits is demonstrated on high resistivity silicon wafer. In particular, the fabrication process for the deposition of spin-on-glass (SOG) as a dielectric layer, the etching of microvias for the vertical interconnects, the design methodology for the multiport circuits and their measured/simulated characteristics are graphically illustrated. The study shows that circuits with very low loss, large bandwidth, and compact size are feasible using this technology. This multilayer planar technology has potential to significantly enhance RF/microwave IC performance when combined with semi-conductor devices and microelectromechanical systems (MEMS).

  2. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    Science.gov (United States)

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  3. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  4. Development of CANFLEX fuel fabrication technology

    Energy Technology Data Exchange (ETDEWEB)

    Kang, M. S.; Choi, C. B.; Park, C. H.; Kwon, W. J.; Kim, C. H.; Kim, B. J.; Koo, C. H.; Cho, D. S.; So, D. Y.; Suh, S. W.; Park, C. J.; Chang, D. H.; Yun, S. H. [KEPCO Nuclear Fuel Company, Taejeon (Korea)

    2000-04-01

    Wolsong Unit 1 as the first heavy water reactor in Korea has been in service for 17 years since 1983. It would be about the time to prepare a plan for the solution of problems due to aging of the reactor. The aging of CANDU reactor could lead especially to the steam generator cruding and pressure tube sagging and creep and then decreases the operation margin to make some problems on reactor operations and safety. The counterplan could be made in two ways. One is to repair or modify reactor itself. The other is to develop new advanced fuel to increase of CANDU operation margin effectively, so as to compensate the reduced operation margin. Therefore, the first objectives in the present R and D is to develop the CANFLEX-NU(CANDU Flexible fuelling-Natural Uranium) fuel as a CANDU advanced fuel. One of the improvements in CANDU fuel fabrication technology, and advanced method of Zr-Be brazing was developed. For the formation of Zr-Be alloy, preheating and main heating temperature in the furnace is 700 deg C, 1200 deg C respectively. In order to find an appropriate material for the brazing joints in the CANDU fuel, the composition of Zr based amorphous metals were designed. And, the effect of hydrogen on the mechanical properties of cladding sheath and feasibility of the eddy current test to evaluate quality of end cap weld were also studied for the fundamental research purpose. As a preliminary study to suggest optimal way for the mass production of CANFLEX-NU fuel at KNFC the existing CANDU fuel facilities and fabrication/inspection processes were reviewed. The best way is that the current CANDU facility shall be modified to produce small diametrial CANFLEX elements and a new facility shall be constructed to produce large diametrial CANFLEX fuel elements. 46 refs., 99 figs., 10 tabs. (Author)

  5. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications - SiC, GaN, Ga2O3, and Diamond.

    Science.gov (United States)

    Wellmann, Peter J

    2017-11-17

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies.

  6. Tunable Injection Barrier in Organic Resistive Switches Based on Phase-Separated Ferroelectric-Semiconductor Blends

    NARCIS (Netherlands)

    Asadi, Kamal; de Boer, Tom G.; Blom, Paul W. M.; de Leeuw, Dago M.

    2009-01-01

    Organic non-volatile resistive bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers are fabricated. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-electrode contact and, hence, the resistance of the comprising

  7. Tunable injection barrier in organic resistive switches based on phase-separated ferroelectric-semiconductor blends

    NARCIS (Netherlands)

    Asadi, K.; Boer, T.G. de; Blom, P.W.M.; Leeuw, D.M. de

    2009-01-01

    Organic non-volatile resistive bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers are fabricated. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-electrode contact and, hence, the resistance of the comprising

  8. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  9. Facile synthesis and enhanced visible-light photocatalysis of graphitic carbon nitride composite semiconductors.

    Science.gov (United States)

    Li, Huiquan; Liu, Yuxing; Gao, Xing; Fu, Cong; Wang, Xinchen

    2015-04-13

    The semiconductor heterojunction has been an effective architecture to enhance photocatalytic activity by promoting photogenerated charge separation. Here, graphitic carbon nitride (CN) and B-modified graphitic carbon nitride (CNB) composite semiconductors were fabricated by a facile calcination process using cheap, sustainable, and easily available sodium tetraphenylboron and urea as precursors. The synthetic CN-CNB-25 semiconductor with a suitable CNB content showed the highest visible-light activity. Its degradation ratio for methyl orange and phenol was more than twice that of CN and CNB and its H2 evolution rate was ∼3.4 and ∼1.8 times higher than that of CN and CNB, respectively. It also displayed excellent stability and reusability. The enhanced activity of CN-CNB-25 was attributed predominantly to the efficient separation of photoinduced electrons and holes. This paper describes a visible-light-responsive CN composite semiconductor with great potential in environmental and energy applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Fiscal 1998 R and D report on femtosecond technology (ultra-short pulse optoelectronics technology); 1998 nendo femuto byo technology no kenkyu kaihatsu (chotan pulse hikari electronics gijutsu kaihatsu) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    This report reports the result of the fiscal 1998 R and D on femtosecond technology supported by NEDO. For creation of industrial basic technologies supporting the advanced information society in the 21st century, ultra-high speed electronics technology including new functions beyond the speed limit of conventional electronics technologies is indispensable. From such viewpoint, this R and D aims at establishment of the basic technology necessary for ultra- high speed electronics technology through R and D of technology controlling conditions of beams and electrons in a femtosecond (10{sup -15}-10{sup -12} seconds) region. In fiscal 1998, this project first succeeded in fabrication of a prototype pulse compressor by using semiconductors, and developed a new pulse compressing method by using fibers to generate ultra-short pulse of 38fs. By developing new materials for intersubband transition where ultra-high speed responses can be expected, optical absorption by intersubband transition was first confirmed at optical communication wavelength. The main result for every theme is reported and explained. (NEDO)

  11. Development of the power control system for semiconductor lasers

    International Nuclear Information System (INIS)

    Kim, Kwang Suk; Kim, Cheol Jung

    1997-12-01

    For the first year plan of this program, we developed the power control system for semiconductor lasers. We applied the high-current switching mode techniques to fabricating a power control system. Then, we investigated the direct side pumping techniques with GaA1As diode laser bars to laser crystal without pumping optics. We obtained 0.5W average output power from this DPSSL. (author). 54 refs., 3 tabs., 18 figs

  12. Image sensor pixel with on-chip high extinction ratio polarizer based on 65-nm standard CMOS technology.

    Science.gov (United States)

    Sasagawa, Kiyotaka; Shishido, Sanshiro; Ando, Keisuke; Matsuoka, Hitoshi; Noda, Toshihiko; Tokuda, Takashi; Kakiuchi, Kiyomi; Ohta, Jun

    2013-05-06

    In this study, we demonstrate a polarization sensitive pixel for a complementary metal-oxide-semiconductor (CMOS) image sensor based on 65-nm standard CMOS technology. Using such a deep-submicron CMOS technology, it is possible to design fine metal patterns smaller than the wavelengths of visible light by using a metal wire layer. We designed and fabricated a metal wire grid polarizer on a 20 × 20 μm(2) pixel for image sensor. An extinction ratio of 19.7 dB was observed at a wavelength 750 nm.

  13. Fabrication of polymer micro-lens array with pneumatically diaphragm-driven drop-on-demand inkjet technology.

    Science.gov (United States)

    Xie, Dan; Zhang, Honghai; Shu, Xiayun; Xiao, Junfeng

    2012-07-02

    The paper reports an effective method to fabricate micro-lens arrays with the ultraviolet-curable polymer, using an original pneumatically diaphragm-driven drop-on-demand inkjet system. An array of plano convex micro-lenses can be formed on the glass substrate due to surface tension and hydrophobic effect. The micro-lens arrays have uniform focusing function, smooth and real planar surface. The fabrication process showed good repeatability as well, fifty micro-lenses randomly selected form 9 × 9 miro-lens array with an average diameter of 333.28μm showed 1.1% variations. Also, the focal length, the surface roughness and optical property of the fabricated micro-lenses are measured, analyzed and proved satisfactory. The technique shows great potential for fabricating polymer micro-lens arrays with high flexibility, simple technological process and low production cost.

  14. Research on fabrication of aspheres at the Center of Optics Technology (University of Applied Science in Aalen); Techical Digest

    Science.gov (United States)

    Boerret, Rainer; Burger, Jochen; Bich, Andreas; Gall, Christoph; Hellmuth, Thomas

    2005-05-01

    The Center of Optics Technology at the University of Applied Science, founded in 2003, is part of the School of Optics and Mechatronics. It completes the existing optical engineering department with a full optical fabrication and metrology chain and serves in parallel as a technology transfer center, to provide area industries with the most up-to-date technology in optical fabrication and engineering. Two examples of research work will be presented. The first example is the optimizing of the grinding process for high precision aspheres, the other is generating and polishing of a freeform optical element which is used as a phase plate.

  15. A Stretchable Electromagnetic Absorber Fabricated Using Screen Printing Technology.

    Science.gov (United States)

    Jeong, Heijun; Lim, Sungjoon

    2017-05-21

    A stretchable electromagnetic absorber fabricated using screen printing technology is proposed in this paper. We used a polydimethylsiloxane (PDMS) substrate to fabricate the stretchable absorber since PDMS exhibits good dielectric properties, flexibility, and restoring capabilities. DuPont PE872 (DuPont, Wilmington, CT, USA), a stretchable silver conductive ink, was used for the screen printing technique. The reflection coefficient of the absorber was measured using a vector network analyzer and a waveguide. The proposed absorber was designed as a rectangular patch unit cell, wherein the top of the unit cell acted as the patch and the bottom formed the ground. The size of the patch was 8 mm × 7 mm. The prototype of the absorber consisted of two unit cells such that it fits into the WR-90 waveguide (dimensions: 22.86 mm × 10.16 mm) for experimental measurement. Before stretching the absorber, the resonant frequency was 11 GHz. When stretched along the x -direction, the resonant frequency shifted by 0.1 GHz, from 11 to 10.9 GHz, demonstrating 99% absorption. Furthermore, when stretched along the y -direction, the resonant frequency shifted by 0.6 GHz, from 11 to 10.4 GHz, demonstrating 99% absorption.

  16. Marginal adaptation and CAD-CAM technology: A systematic review of restorative material and fabrication techniques.

    Science.gov (United States)

    Papadiochou, Sofia; Pissiotis, Argirios L

    2018-04-01

    The comparative assessment of computer-aided design and computer-aided manufacturing (CAD-CAM) technology and other fabrication techniques pertaining to marginal adaptation should be documented. Limited evidence exists on the effect of restorative material on the performance of a CAD-CAM system relative to marginal adaptation. The purpose of this systematic review was to investigate whether the marginal adaptation of CAD-CAM single crowns, fixed dental prostheses, and implant-retained fixed dental prostheses or their infrastructures differs from that obtained by other fabrication techniques using a similar restorative material and whether it depends on the type of restorative material. An electronic search of English-language literature published between January 1, 2000, and June 30, 2016, was conducted of the Medline/PubMed database. Of the 55 included comparative studies, 28 compared CAD-CAM technology with conventional fabrication techniques, 12 contrasted CAD-CAM technology and copy milling, 4 compared CAD-CAM milling with direct metal laser sintering (DMLS), and 22 investigated the performance of a CAD-CAM system regarding marginal adaptation in restorations/infrastructures produced with different restorative materials. Most of the CAD-CAM restorations/infrastructures were within the clinically acceptable marginal discrepancy (MD) range. The performance of a CAD-CAM system relative to marginal adaptation is influenced by the restorative material. Compared with CAD-CAM, most of the heat-pressed lithium disilicate crowns displayed equal or smaller MD values. Slip-casting crowns exhibited similar or better marginal accuracy than those fabricated with CAD-CAM. Cobalt-chromium and titanium implant infrastructures produced using a CAD-CAM system elicited smaller MD values than zirconia. The majority of cobalt-chromium restorations/infrastructures produced by DMLS displayed better marginal accuracy than those fabricated with the casting technique. Compared with copy

  17. Digitally tunable dual wavelength emission from semiconductor ring lasers with filtered optical feedback

    International Nuclear Information System (INIS)

    Khoder, Mulham; Verschaffelt, Guy; Nguimdo, Romain Modeste; Danckaert, Jan; Leijtens, Xaveer; Bolk, Jeroen

    2013-01-01

    We report on a novel integrated approach to obtain dual wavelength emission from a semiconductor laser based on on-chip filtered optical feedback. Using this approach, we show experiments and numerical simulations of dual wavelength emission of a semiconductor ring laser. The filtered optical feedback is realized on-chip by employing two arrayed waveguide gratings to split/recombine light into different wavelength channels. Semiconductor optical amplifiers are placed in the feedback loop in order to control the feedback strength of each wavelength channel independently. By tuning the current injected into each of the amplifiers, we can effectively cancel the gain difference between the wavelength channels due to fabrication and material dichroism, thus resulting in stable dual wavelength emission. We also explore the accuracy needed in the operational parameters to maintain this dual wavelength emission. (letter)

  18. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  19. Single photon sources with single semiconductor quantum dots

    Science.gov (United States)

    Shan, Guang-Cun; Yin, Zhang-Qi; Shek, Chan Hung; Huang, Wei

    2014-04-01

    In this contribution, we briefly recall the basic concepts of quantum optics and properties of semiconductor quantum dot (QD) which are necessary to the understanding of the physics of single-photon generation with single QDs. Firstly, we address the theory of quantum emitter-cavity system, the fluorescence and optical properties of semiconductor QDs, and the photon statistics as well as optical properties of the QDs. We then review the localization of single semiconductor QDs in quantum confined optical microcavity systems to achieve their overall optical properties and performances in terms of strong coupling regime, efficiency, directionality, and polarization control. Furthermore, we will discuss the recent progress on the fabrication of single photon sources, and various approaches for embedding single QDs into microcavities or photonic crystal nanocavities and show how to extend the wavelength range. We focus in particular on new generations of electrically driven QD single photon source leading to high repetition rates, strong coupling regime, and high collection efficiencies at elevated temperature operation. Besides, new developments of room temperature single photon emission in the strong coupling regime are reviewed. The generation of indistinguishable photons and remaining challenges for practical single-photon sources are also discussed.

  20. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  1. Report on the results of the fiscal 1997 R and D under consignment from NEDO of photon measuring/processing technology (development of high-efficiency production process technology); 1997 nendo Shin energy Sangyo Gijutsu Sogo Kaihatsu Kiko itaku photon keisoku kako gijutsu (kokoritsu seisan process gijutsu kaihatsu) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Development is made of high energy-efficiency laser processing technology and high efficiency/high grade photon generation/control technology as laser light source, for the purpose of energy conservation/efficiency heightening of production processes which require a large amount of energy such as welding, joining, surface treatment, and particle fabrication. As to keyhole dynamics of the molten metal generated on the laser weld, construction of simulational mathematical models was started. Equipment to fabricate semiconductor ultrafine particles which become the core of quantum dot functional structures was designed to fabricate particles. In-situ optical measuring technology was studied of element/size of particles of below 30 nm in size by emission spectroscopy associated with the plasma irradiation. As tightly focusing all-solid-state laser technology, studied was the wavelength conversion method in which harmonics are generated four times as much as the fundamental wave due to high efficient generation of high power UV laser. Also studied were high laser strength, low optical losses, conditions for fostering high homogenity crystals. Technology for high accuracy processing of element surface was established. High efficiency photon generation technology was comprehensively investigated. 140 refs., 276 figs., 46 tabs.

  2. Dissociation of Vertical Semiconductor Diatomic Artificial Molecules

    International Nuclear Information System (INIS)

    Pi, M.; Emperador, A.; Barranco, M.; Garcias, F.; Muraki, K.; Tarucha, S.; Austing, D. G.

    2001-01-01

    We investigate the dissociation of few-electron circular vertical semiconductor double quantum dot artificial molecules at 0T as a function of interdot distance. A slight mismatch introduced in the fabrication of the artificial molecules from nominally identical constituent quantum wells induces localization by offsetting the energy levels in the quantum dots by up to 2meV, and this plays a crucial role in the appearance of the addition energy spectra as a function of coupling strength particularly in the weak coupling limit

  3. Design and fabrication of a MEMS chevron-type thermal actuator

    Energy Technology Data Exchange (ETDEWEB)

    Baracu, Angela, E-mail: angela.baracu@imt.ro [Laboratory of Modeling, Simulation and CAD, National Institute for R and D in Microtechnologies - IMT Bucharest, 126A, Erou Iancu Nicolae Street, 077190, Bucharest, Romania and University Politehnica of Bucharest (Romania); Voicu, Rodica; Müller, Raluca; Avram, Andrei [Laboratory of Modeling, Simulation and CAD, National Institute for R and D in Microtechnologies - IMT Bucharest, 126A, Erou Iancu Nicolae Street, 077190, Bucharest (Romania); Pustan, Marius, E-mail: marius.pustan@omt.utcluj.ro; Chiorean, Radu, E-mail: marius.pustan@omt.utcluj.ro; Birleanu, Corina, E-mail: marius.pustan@omt.utcluj.ro; Dudescu, Cristian, E-mail: marius.pustan@omt.utcluj.ro [Laboratory of Micro and Nano Systems, Technical University of Cluj-Napoca, Bd. Muncii, no. 103-105, 400641 Cluj-Napoca (Romania)

    2015-02-17

    This paper presents the design and fabrication of a MEMS chevron-type thermal actuator. The device was designed for fabrication in the standard MEMS technology, where the topography of the upper layers depends on the patterns of structural and sacrificial layers underneath. The proposed actuator presents some advantages over usual thermal vertical chevron actuators by means of low operating voltages, high output force and linear movement without deformation of the shaft. The device simulations were done using COVENTOR software. The movement obtained by simulation was 12 μm, for a voltage of 0.2 V and the current intensity of 257 mA. The design optimizes the in-plane displacement by fixed anchors and beam inclination angle. Heating is provided by Joule dissipation. The material used for manufacture of chevron-based actuator was aluminum due to its thermal and mechanical properties. The release of the movable part was performed using isotropic dry etching by Reactive Ion Etching (RIE). A first inspection was achieved using Scanning Electron Microscope (SEM). In order to obtain the in-plane displacement we carried out electrical measurements. The thermal actuator can be used for a variety of optical and microassembling applications. This kind of thermal actuator could be integrated easily with other micro devices since its fabrication is compatible with the general semiconductor processes.

  4. FY 1999 report on the results of the R and D of femtosecond technology. R and D of femtosecond technology; 1999 nendo femto byo technology no kenkyu kaihatsu seika hokokusho. Femto byo technology no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    This project aims at creating new industrial basement technology which supports the highly information-oriented society in the 21st century, conducts the R and D of technology to control the state of light and electron in the femtosecond time domain (10{sup -15} - 10{sup -12} sec), and establishes the basement technology which exceeds the speed limit of the conventional electronics technology and also includes new functionality. The themes are as follows: 1) R and D of ultra-short pulse optoelectronic technology; 2) R and D of ultra-short optical pulse applied measuring technology. In 1), in addition to the investigational study of the ultra-high speed light source technology, ultra-high speed modulation technology and ultra-high speed switching technology which are needed for the ultra-high speed optical information communication system and the construction, made were the survey and the evaluation of crystal growth technology/process technology of new semiconductor materials which make the fabrication of these high-tech ultra-high speed devices possible. In 2), investigationally studied were the technology for generation/control of ultra-short optical pulse and ultra-short electron beam pulse and the technology for stabilization, and the technology for generation of femtosecond high-intensity X-ray pulse by collision of optical pulse with electron beam pulse. (NEDO)

  5. Gel-sphere-pac fuel for thermal reactors: assessment of fabrication technology and irradiation performance

    Energy Technology Data Exchange (ETDEWEB)

    Beatty, R.L. Norman, R.E.; Notz, K.J. (comps.)

    1979-11-01

    Recent interest in proliferation-resistant fuel cycles for light-water reactors has focused attention on spiked plutonium and /sup 233/U-Th fuels, requiring remote refabrication. The gel-sphere-pac process for fabricating metal-clad fuel elements has drawn special attention because it involves fewer steps. Gel-sphere-pac fabrication technology involves two major areas: the preparation of fuel spheres of high density and loading these spheres into rods in an efficiently packed geometry. Gel sphere preparation involves three major steps: preparation of a sol or of a special solution (broth), gelation of droplets of sol or broth to give semirigid spheres of controlled size, and drying and sintering these spheres to a high density. Gelation may be accomplished by water extraction (suitable only for sols) or ammonia gelation (suitable for both sols and broths but used almost exclusively with broths). Ammonia gelation can be accomplished either externally, via ammonia gas and ammonium hydroxide, or internally via an added ammonia generator such as hexamethylenetetramine. Sphere-pac fuel rod fabrication involves controlled blending and metering of three sizes of spheres into the rod and packing by low- to medium-energy vibration to achieve about 88% smear density; these sizes have diametral ratios of about 40:10:1 and are blended in size fraction amounts of about 60% coarse, 18% medium, and 22% fine. Irradiation test results indicate that sphere-pac fuel performs at least as well as pellet fuel, and may in fact offer an advantage in significantly reducing mechanical and chemical interaction between the fuel and cladding. The normal feed for gel sphere preparation, heavy metal nitrate solution, is the usual product of fuel reprocessing, so that fabrication of gel spheres performs all the functions performed by both conversion and pellet fabrication in the case of pellet technology.

  6. Gel-sphere-pac fuel for thermal reactors: assessment of fabrication technology and irradiation performance

    International Nuclear Information System (INIS)

    Beatty, R.L.; Norman, R.E.; Notz, K.J.

    1979-11-01

    Recent interest in proliferation-resistant fuel cycles for light-water reactors has focused attention on spiked plutonium and 233 U-Th fuels, requiring remote refabrication. The gel-sphere-pac process for fabricating metal-clad fuel elements has drawn special attention because it involves fewer steps. Gel-sphere-pac fabrication technology involves two major areas: the preparation of fuel spheres of high density and loading these spheres into rods in an efficiently packed geometry. Gel sphere preparation involves three major steps: preparation of a sol or of a special solution (broth), gelation of droplets of sol or broth to give semirigid spheres of controlled size, and drying and sintering these spheres to a high density. Gelation may be accomplished by water extraction (suitable only for sols) or ammonia gelation (suitable for both sols and broths but used almost exclusively with broths). Ammonia gelation can be accomplished either externally, via ammonia gas and ammonium hydroxide, or internally via an added ammonia generator such as hexamethylenetetramine. Sphere-pac fuel rod fabrication involves controlled blending and metering of three sizes of spheres into the rod and packing by low- to medium-energy vibration to achieve about 88% smear density; these sizes have diametral ratios of about 40:10:1 and are blended in size fraction amounts of about 60% coarse, 18% medium, and 22% fine. Irradiation test results indicate that sphere-pac fuel performs at least as well as pellet fuel, and may in fact offer an advantage in significantly reducing mechanical and chemical interaction between the fuel and cladding. The normal feed for gel sphere preparation, heavy metal nitrate solution, is the usual product of fuel reprocessing, so that fabrication of gel spheres performs all the functions performed by both conversion and pellet fabrication in the case of pellet technology

  7. Integrated Magnetic MEMS Relays: Status of the Technology

    Directory of Open Access Journals (Sweden)

    Giuseppe Schiavone

    2014-08-01

    Full Text Available The development and application of magnetic technologies employing microfabricated magnetic structures for the production of switching components has generated enormous interest in the scientific and industrial communities over the last decade. Magnetic actuation offers many benefits when compared to other schemes for microelectromechanical systems (MEMS, including the generation of forces that have higher magnitude and longer range. Magnetic actuation can be achieved using different excitation sources, which create challenges related to the integration with other technologies, such as CMOS (Complementary Metal Oxide Semiconductor, and the requirement to reduce power consumption. Novel designs and technologies are therefore sought to enable the use of magnetic switching architectures in integrated MEMS devices, without incurring excessive energy consumption. This article reviews the status of magnetic MEMS technology and presents devices recently developed by various research groups, with key focuses on integrability and effective power management, in addition to the ability to integrate the technology with other microelectronic fabrication processes.

  8. ON THE ARTIFICIAL SEMICONDUCTOR MATERIALS | Adelabu ...

    African Journals Online (AJOL)

    For about the last three decades, semiconductor technology began to make its most apparent impact in Solid State Electronics. The field of photonics, which combines laser physics, electro-optics and nonlinear optics has expanded tremendously. Notably, modern light wave communications exemplify photonic systems.

  9. Impediments for Digital Fabrication in Education

    DEFF Research Database (Denmark)

    Smith, Rachel Charlotte; Iversen, Ole Sejer; Veerasawmy, Rune

    2016-01-01

    with eight primary and lower secondary teachers, the findings point to four central impediments for integrating digital fabrication and design into school environments. The findings extend current perceptions of digital technology in education towards exploratory processes of investigation in which......Digital fabrication technologies are increasingly integrated across subjects in primary and secondary education. Focus on the potentials of these technologies has mainly been on the support to STEM oriented learning goals, while emphasis on teachers' roles with the new learning processes...... of technology and design is largely absent. The paper addresses the experiences and challenges that digital fabrication technology present for teachers in educational environments, and the impediments that are linked to the teachers' roles in design processes of digital fabrication. Based on a research study...

  10. Hot carrier degradation in semiconductor devices

    CERN Document Server

    2015-01-01

    This book provides readers with a variety of tools to address the challenges posed by hot carrier degradation, one of today’s most complicated reliability issues in semiconductor devices.  Coverage includes an explanation of carrier transport within devices and book-keeping of how they acquire energy (“become hot”), interaction of an ensemble of colder and hotter carriers with defect precursors, which eventually leads to the creation of a defect, and a description of how these defects interact with the device, degrading its performance. • Describes the intricacies of hot carrier degradation in modern semiconductor technologies; • Covers the entire hot carrier degradation phenomenon, including topics such as characterization, carrier transport, carrier-defect interaction, technological impact, circuit impact, etc.; • Enables detailed understanding of carrier transport, interaction of the carrier ensemble with the defect precursors, and an accurate assessment of how the newly created defects imp...

  11. Technological development for super-high efficiency solar cells. Survey on the commercialization on analysis; Chokokoritsu taiyo denchi no gijutsu kaihatsu. Jitsuyoka kaiseki ni kansuru chosa kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the survey results on analysis of super-high efficiency solar cells for practical use in fiscal 1994. (1) On the survey on crystalline compound solar cells, it was pointed out that the present study target is III-V compound semiconductor solar cell, and efficiencies of 36-39% are theoretically expected by use of two-junction cells. (2) On structure of super-high efficiency solar cells of 40%, selection of upper and lower cell materials for multi-junction cells, high-efficiency tandem Si solar cells, and the merit and possibility of light collection operation were surveyed, and their issues were discussed. (3) On physical properties of mixed crystalline semiconductors and characteristic evaluation of solar cells, impurities, trap center, minority carrier life, and applicability of supper lattice structure to high-efficiency solar cells were surveyed. (4) On fabrication technology of compound semiconductor solar cells, various problems of and approaches to electrode formation and antireflection film technologies, the meaning and issues of thin film substrate technology and continuous process, trial calculation of costs, safety, and resource problem were surveyed.

  12. Advanced fabrication technology

    International Nuclear Information System (INIS)

    Sheely, W.F.

    1986-01-01

    The Fuel Cycle Plant is a multipurpose nuclear facility located on the Hanford Nuclear Reservation in eastern Washington state. The facility is part of the Hanford Engineering Development Laboratory which is operated by Westinghouse Hanford Company for the Department of Energy. The Fuel Cycle Plant is currently being prepared to support the Liquid Metal Reactors Program with fuel fabrication services for the Fast Flux Test Facility and other LMR programs. This report describes the technical innovations to be utilized in the operation of this plant

  13. [Design and fabrication of the custom-made titanium condyle by selective laser melting technology].

    Science.gov (United States)

    Chen, Jianyu; Luo, Chongdai; Zhang, Chunyu; Zhang, Gong; Qiu, Weiqian; Zhang, Zhiguang

    2014-10-01

    To design and fabricate the custom-made titanium mandibular condyle by the reverse engineering technology combined with selective laser melting (SLM) technology and to explore the mechanical properties of the SLM-processed samples and the application of the custom-made condyle in the temporomandibular joint (TMJ) reconstruction. The three-dimensional model of the mandibular condyle was obtained from a series of CT databases. The custom-made condyle model was designed by the reverse engineering software. The mandibular condyle was made of titanium powder with a particle size of 20-65 µm as the basic material and the processing was carried out in an argon atmosphere by the SLM machine. The yield strength, ultimate strength, bending strength, hardness, surface morphology and roughness were tested and analyzed. The finite element analysis (FEA) was used to analyze the stress distribution. The complex geometry and the surface of the custom-made condyle can be reproduced precisely by the SLM. The mechanical results showed that the yield strength, ultimate strength, bending strength and hardness were (559±14) MPa, (659±32) MPa, (1 067±42) MPa, and (212±4)HV, respectively. The surface roughness was reduced by sandblast treatment. The custom-made titanium condyle can be fabricated by SLM technology which is time-saving and highly digitized. The mechanical properties of the SLM sample can meet the requirements of surgical implant material in the clinic. The possibility of fabricating custom-made titanium mandibular condyle combined with the FEA opens new interesting perspectives for TMJ reconstruction.

  14. Real-time two-dimensional imaging of potassium ion distribution using an ion semiconductor sensor with charged coupled device technology.

    Science.gov (United States)

    Hattori, Toshiaki; Masaki, Yoshitomo; Atsumi, Kazuya; Kato, Ryo; Sawada, Kazuaki

    2010-01-01

    Two-dimensional real-time observation of potassium ion distributions was achieved using an ion imaging device based on charge-coupled device (CCD) and metal-oxide semiconductor technologies, and an ion selective membrane. The CCD potassium ion image sensor was equipped with an array of 32 × 32 pixels (1024 pixels). It could record five frames per second with an area of 4.16 × 4.16 mm(2). Potassium ion images were produced instantly. The leaching of potassium ion from a 3.3 M KCl Ag/AgCl reference electrode was dynamically monitored in aqueous solution. The potassium ion selective membrane on the semiconductor consisted of plasticized poly(vinyl chloride) (PVC) with bis(benzo-15-crown-5). The addition of a polyhedral oligomeric silsesquioxane to the plasticized PVC membrane greatly improved adhesion of the membrane onto Si(3)N(4) of the semiconductor surface, and the potential response was stabilized. The potential response was linear from 10(-2) to 10(-5) M logarithmic concentration of potassium ion. The selectivity coefficients were K(K(+),Li(+))(pot) = 10(-2.85), K(K(+),Na(+))(pot) = 10(-2.30), K(K(+),Rb(+))(pot) =10(-1.16), and K(K(+),Cs(+))(pot) = 10(-2.05).

  15. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  16. Layer-by-layer assembly of multicolored semiconductor quantum dots towards efficient blue, green, red and full color optical films

    International Nuclear Information System (INIS)

    Zhang Jun; Li Qian; Di Xiaowei; Liu Zhiliang; Xu Gang

    2008-01-01

    Multicolored semiconductor quantum dots have shown great promise for construction of miniaturized light-emitting diodes with compact size, low weight and cost, and high luminescent efficiency. The unique size-dependent luminescent property of quantum dots offers the feasibility of constructing single-color or full-color output light-emitting diodes with one type of material. In this paper, we have demonstrated the facile fabrication of blue-, green-, red- and full-color-emitting semiconductor quantum dot optical films via a layer-by-layer assembly technique. The optical films were constructed by alternative deposition of different colored quantum dots with a series of oppositely charged species, in particular, the new use of cationic starch on glass substrates. Semiconductor ZnSe quantum dots exhibiting blue emission were deposited for fabrication of blue-emitting optical films, while semiconductor CdTe quantum dots with green and red emission were utilized for construction of green- and red-emitting optical films. The assembly of integrated blue, green and red semiconductor quantum dots resulted in full-color-emitting optical films. The luminescent optical films showed very bright emitting colors under UV irradiation, and displayed dense, smooth and efficient luminous features, showing brighter luminescence in comparison with their corresponding quantum dot aqueous colloid solutions. The assembled optical films provide the prospect of miniaturized light-emitting-diode applications.

  17. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  18. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  19. Fabrication of a miniaturized cell using microsystem technologies for electrochemical applications

    International Nuclear Information System (INIS)

    Lakard, Boris; Jeannot, Jean-Claude; Spajer, Michel; Herlem, Guillaume; Labachelerie, Michel de; Blind, Pascal; Fahys, Bernard

    2005-01-01

    A new type of electrochemical cell has been developed for use in electrochemical, chemical and biological applications. Using a platinum microelectrode as working electrode, this cell incorporates a silver microelectrode as reference electrode. These microelectrodes, whose area is equal to 1 μm 2 , were fabricated using photolithography, sputtering, and focused ion beam (FIB) technologies since these micro-fabrication techniques allow us to develop miniaturized electrochemical cells useful either for nanoelectrochemistry or biosensors applications. In this study, we show it is possible to coat a surface by chemical or biological compounds by immersing the microelectrodes in a solution, then setting a difference of potential between the two microelectrodes of the cell. For example, we used this miniaturized cell to realize the electrochemical polymerization of aniline into polyaniline to show that this electrochemical cell is efficient to coat a surface with a thin film of polymer

  20. Biomolecular detection using a metal semiconductor field effect transistor

    Science.gov (United States)

    Estephan, Elias; Saab, Marie-Belle; Buzatu, Petre; Aulombard, Roger; Cuisinier, Frédéric J. G.; Gergely, Csilla; Cloitre, Thierry

    2010-04-01

    In this work, our attention was drawn towards developing affinity-based electrical biosensors, using a MESFET (Metal Semiconductor Field Effect Transistor). Semiconductor (SC) surfaces must be prepared before the incubations with biomolecules. The peptides route was adapted to exceed and bypass the limits revealed by other types of surface modification due to the unwanted unspecific interactions. As these peptides reveal specific recognition of materials, then controlled functionalization can be achieved. Peptides were produced by phage display technology using a library of M13 bacteriophage. After several rounds of bio-panning, the phages presenting affinities for GaAs SC were isolated; the DNA of these specific phages were sequenced, and the peptide with the highest affinity was synthesized and biotinylated. To explore the possibility of electrical detection, the MESFET fabricated with the GaAs SC were used to detect the streptavidin via the biotinylated peptide in the presence of the bovine Serum Albumin. After each surface modification step, the IDS (current between the drain and the source) of the transistor was measured and a decrease in the intensity was detected. Furthermore, fluorescent microscopy was used in order to prove the specificity of this peptide and the specific localisation of biomolecules. In conclusion, the feasibility of producing an electrical biosensor using a MESFET has been demonstrated. Controlled placement, specific localization and detection of biomolecules on a MESFET transistor were achieved without covering the drain and the source. This method of functionalization and detection can be of great utility for biosensing application opening a new way for developing bioFETs (Biomolecular Field-Effect Transistor).

  1. Advanced Semiconductor Heterostructures Novel Devices, Potential Device Applications and Basic Properties

    CERN Document Server

    Stroscio, Michael A

    2003-01-01

    This volume provides valuable summaries on many aspects of advanced semiconductor heterostructures and highlights the great variety of semiconductor heterostructures that has emerged since their original conception. As exemplified by the chapters in this book, recent progress on advanced semiconductor heterostructures spans a truly remarkable range of scientific fields with an associated diversity of applications. Some of these applications will undoubtedly revolutionize critically important facets of modern technology. At the heart of these advances is the ability to design and control the pr

  2. Development of a fabrication technology for double-sided AC-coupled silicon microstrip detectors

    International Nuclear Information System (INIS)

    Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Rachevskaia, I.; Zen, M.; Zorzi, N.

    2001-01-01

    We report on the development of a fabrication technology for double-sided, AC-coupled silicon microstrip detectors for tracking applications. Two batches of detectors with good electrical figures and a low defect rate were successfully manufactured at IRST Laboratory. The processing techniques and the experimental results obtained from these detector prototypes are presented and discussed

  3. Extraordinary Magnetoresistance Effect in Semiconductor/Metal Hybrid Structure

    KAUST Repository

    Sun, Jian

    2013-06-27

    In this dissertation, the extraordinary magnetoresistance (EMR) effect in semiconductor/metal hybrid structures is studied to improve the performance in sensing applications. Using two-dimensional finite element simulations, the geometric dependence of the output sensitivity, which is a more relevant parameter for EMR sensors than the magnetoresistance (MR), is studied. The results show that the optimal geometry in this case is different from the geometry reported before, where the MR ratio was optimized. A device consisting of a semiconductor bar with length/width ratio of 5~10 and having only 2 contacts is found to exhibit the highest sensitivity. A newly developed three-dimensional finite element model is employed to investigate parameters that have been neglected with the two dimensional simulations utilized so far, i.e., thickness of metal shunt and arbitrary semiconductor/metal interface. The simulations show the influence of those parameters on the sensitivity is up to 10 %. The model also enables exploring the EMR effect in planar magnetic fields. In case of a bar device, the sensitivity to planar fields is about 15 % to 20 % of the one to perpendicular fields. 5 A “top-contacted” structure is proposed to reduce the complexity of fabrication, where neither patterning of the semiconductor nor precise alignment is required. A comparison of the new structure with a conventionally fabricated device shows that a similar magnetic field resolution of 24 nT/√Hz is obtained. A new 3-contact device is developed improving the poor low-field sensitivity observed in conventional EMR devices, resulting from its parabolic magnetoresistance response. The 3-contact device provides a considerable boost of the low field response by combining the Hall effect with the EMR effect, resulting in an increase of the output sensitivity by 5 times at 0.01 T compared to a 2-contact device. The results of this dissertation provide new insights into the optimization of EMR devices

  4. Colloidal Quantum Dot Inks for Single-Step-Fabricated Field-Effect Transistors: The Importance of Postdeposition Ligand Removal.

    Science.gov (United States)

    Balazs, Daniel M; Rizkia, Nisrina; Fang, Hong-Hua; Dirin, Dmitry N; Momand, Jamo; Kooi, Bart J; Kovalenko, Maksym V; Loi, Maria Antonietta

    2018-02-14

    Colloidal quantum dots are a class of solution-processed semiconductors with good prospects for photovoltaic and optoelectronic applications. Removal of the surfactant, so-called ligand exchange, is a crucial step in making the solid films conductive, but performing it in solid state introduces surface defects and cracks in the films. Hence, the formation of thick, device-grade films have only been possible through layer-by-layer processing, limiting the technological interest for quantum dot solids. Solution-phase ligand exchange before the deposition allows for the direct deposition of thick, homogeneous films suitable for device applications. In this work, fabrication of field-effect transistors in a single step is reported using blade-coating, an upscalable, industrially relevant technique. Most importantly, a postdeposition washing step results in device properties comparable to the best layer-by-layer processed devices, opening the way for large-scale fabrication and further interest from the research community.

  5. Effects of the inversion layer thickness and 10B distribution in it on the characteristics of ion-doped semiconductor neutron counters

    International Nuclear Information System (INIS)

    Diasamidze, Eh.M.; Solov'ev, Yu.A.; Shmakov, A.N.

    1984-01-01

    The technique for calculating the dependence of energy spectrum of the 10 B(n, α) 7 Li reaction products in the thickness of the inversion layer in a semiconductor counter fabricated using the diffusion method is proposed. The inversion layer is formed as a result of the 10 B ion implantation into n-type silicon. The cases of uniform and Gaussian distributions of 10 B impurity are considered. Corrections for neutron fluence calculation by α-peak, taking into account α-particle absorption in the inversion layer are obtained. It is concluded that the suggested calculational technique can be used for semiconductor counters fabricated by the diffusion method

  6. A Brief History of ... Semiconductors

    Science.gov (United States)

    Jenkins, Tudor

    2005-01-01

    The development of studies in semiconductor materials is traced from its beginnings with Michael Faraday in 1833 to the production of the first silicon transistor in 1954, which heralded the age of silicon electronics and microelectronics. Prior to the advent of band theory, work was patchy and driven by needs of technology. However, the arrival…

  7. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  8. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  9. Development of challengeable reprocessing and fuel fabrication technologies for advanced fast reactor fuel cycle

    International Nuclear Information System (INIS)

    Nomura, S.; Aoshima, T.; Myochin, M.

    2001-01-01

    R and D in the next five years in Feasibility Study Phase-2 are focused on selected key technologies for the advanced fuel cycle. These are the reference technology of simplified aqueous extraction and fuel pellet short process based on the oxide fuel and the innovative technology of oxide-electrowinning and metal- electrorefining process and their direct particle/metal fuel fabrication methods in a hot cell. Automatic and remote handling system operation in both reprocessing and fuel manufacturing can handle MA and LLFP concurrently with Pu and U attaining the highest recovery and an accurate accountability of these materials. (author)

  10. Tunneling of electrons through semiconductor superlattices

    Indian Academy of Sciences (India)

    Unknown

    Tunneling of electrons through semiconductor superlattices. C L ROY. Department of Physics and Meteorology, Indian Institute of Technology, Kharagpur 721 302, India. Abstract. The purpose of the present paper is to report a study of tunneling of electrons through semicon- ductor superlattices (SSL); specially, we have ...

  11. Luminescence and the light emitting diode the basics and technology of leds and the luminescence properties of the materials

    CERN Document Server

    Williams, E W; Pamplin, BR

    2013-01-01

    Luminescence and the Light Emitting Diode: The Basics and Technology of LEDS and the Luminescence Properties of the Materials focuses on the basic physics and technology of light emitting diodes (LEDS) and pn junction lasers as well as their luminescence properties. Optical processes in semiconductors and the useful devices which can be made are discussed. Comprised of 10 chapters, this book begins with an introduction to the crystal structure and growth, as well as the optical and electrical properties of LED materials. The detailed fabrication of the LED is then considered, along with the lu

  12. Design and demonstration of an intracortical probe technology with tunable modulus.

    Science.gov (United States)

    Simon, Dustin M; Charkhkar, Hamid; St John, Conan; Rajendran, Sakthi; Kang, Tong; Reit, Radu; Arreaga-Salas, David; McHail, Daniel G; Knaack, Gretchen L; Sloan, Andrew; Grasse, Dane; Dumas, Theodore C; Rennaker, Robert L; Pancrazio, Joseph J; Voit, Walter E

    2017-01-01

    Intracortical probe technology, consisting of arrays of microelectrodes, offers a means of recording the bioelectrical activity from neural tissue. A major limitation of existing intracortical probe technology pertains to limited lifetime of 6 months to a year of recording after implantation. A major contributor to device failure is widely believed to be the interfacial mechanical mismatch of conventional stiff intracortical devices and the surrounding brain tissue. We describe the design, development, and demonstration of a novel functional intracortical probe technology that has a tunable Young's modulus from ∼2 GPa to ∼50 MPa. This technology leverages advances in dynamically softening materials, specifically thiol-ene/acrylate thermoset polymers, which exhibit minimal swelling of memory polymer-based multichannel intracortical probe can be fabricated, that the mechanical properties are stable for at least 2 months and that the device is capable of single unit recordings for durations up to 77 days in vivo. This novel technology, which is amenable to processes suitable for manufacturing via standard semiconductor fabrication techniques, offers the capability of softening in vivo to reduce the tissue-device modulus mismatch to ultimately improve long term viability of neural recordings. © 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 105A: 159-168, 2017. © 2016 Wiley Periodicals, Inc.

  13. Extremely Lightweight Segmented Membrane Optical Shell Fabrication Technology for Future IR to Optical Telescope, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose that the Membrane Optical Shell Technology (MOST) substrate fabrication approach be extended with a specific focus on advanced off-axis very light weight,...

  14. Ion traps fabricated in a CMOS foundry

    Energy Technology Data Exchange (ETDEWEB)

    Mehta, K. K.; Ram, R. J. [Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Eltony, A. M.; Chuang, I. L. [Center for Ultracold Atoms, Research Laboratory of Electronics and Department of Physics, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Bruzewicz, C. D.; Sage, J. M., E-mail: jsage@ll.mit.edu; Chiaverini, J., E-mail: john.chiaverini@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2014-07-28

    We demonstrate trapping in a surface-electrode ion trap fabricated in a 90-nm CMOS (complementary metal-oxide-semiconductor) foundry process utilizing the top metal layer of the process for the trap electrodes. The process includes doped active regions and metal interconnect layers, allowing for co-fabrication of standard CMOS circuitry as well as devices for optical control and measurement. With one of the interconnect layers defining a ground plane between the trap electrode layer and the p-type doped silicon substrate, ion loading is robust and trapping is stable. We measure a motional heating rate comparable to those seen in surface-electrode traps of similar size. This demonstration of scalable quantum computing hardware utilizing a commercial CMOS process opens the door to integration and co-fabrication of electronics and photonics for large-scale quantum processing in trapped-ion arrays.

  15. Controlling and modelling the wetting properties of III-V semiconductor surfaces using re-entrant nanostructures.

    Science.gov (United States)

    Ng, Wing H; Lu, Yao; Liu, Huiyun; Carmalt, Claire J; Parkin, Ivan P; Kenyon, Anthony J

    2018-02-23

    Inorganic semiconductors such as III-V materials are very important in our everyday life as they are used for manufacturing optoelectronic and microelectronic components with important applications span from energy harvesting to telecommunications. In some applications, these components are required to operate in harsh environments. In these cases, having waterproofing capability is essential. Here we demonstrate design and control of the wettability of indium phosphide based multilayer material (InP/InGaAs/InP) using re-entrant structures fabricated by a fast electron beam lithography technique. This patterning technique enabled us to fabricate highly uniform nanostructure arrays with at least one order of magnitude shorter patterning times compared to conventional electron beam lithography methods. We reduced the surface contact fraction significantly such that the water droplets may be completely removed from our nanostructured surface. We predicted the wettability of our patterned surface by modelling the adhesion energies between the water droplet and both the patterned surface and the dispensing needle. This is very useful for the development of coating-free waterproof optoelectronic and microelectronic components where the coating may hinder the performance of such devices and cause problems with semiconductor fabrication compatibility.

  16. Resistive switching of Cu/Cu2O junction fabricated using simple thermal oxidation at 423 K for memristor application

    Science.gov (United States)

    Ani, M. H.; Helmi, F.; Herman, S. H.; Noh, S.

    2018-01-01

    Recently, extensive researches have been done on memristor to replace current memory storage technologies. Study on active layer of memristor mostly involving n-type semiconductor oxide such as TiO2 and ZnO. This paper highlight a simple water vapour oxidation method at 423 K to form Cu/Cu2O electronic junction as a new type of memristor. Cu2O is a p-type semiconductor oxide, was used as the active layer of memristor. Cu/Cu2O/Au memristor was fabricated by thermal oxidation of copper foil, followed by sputtering of gold. Structural, morphological and memristive properties were characterized using XRD, FESEM, and current-voltage, I-V measurement respectively. Its memristivity was indentified by pinch hysteresis loop and measurement of high resistance state (HRS) and low resistance state (LRS) of the sample. The Cu/Cu2O/Au memristor demonstrates comparable performances to previous studies using other methods.

  17. Local anodic oxidation by AFM tip developed for novel semiconductor nanodevices

    International Nuclear Information System (INIS)

    Cambel, Vladimir; Martaus, Jozef; Soltys, Jan; Kudela, Robert; Gregusova, Dagmar

    2008-01-01

    The local anodic oxidation (LAO) by the tip of atomic force microscope (AFM) is used for fabrication of nanometer-scaled structures and devices. We study the technology of LAO applied to semiconductor heterostructures, theoretically and experimentally as well. The goal is to improve the LAO process itself, i.e., to create narrow LAO lines that form high-energy barriers in the plane with the 2D electron gas. In the first part we show the electric field distribution in the system tip-sample during LAO. For samples with low-conductive cap layer the maximum electric field is shifted apart the tip apex, which leads to wide oxide lines. Our Monte Carlo (MC) calculations show how the height of the energy barrier in the system depends on the geometry of the created lines (trenches), and on voltage applied to the structure. Based on the calculations, we have proposed a novel LAO technology and applied it to InGaP/AlGaAs/GaAs heterostructure with doping layer only 6 nm beneath the surface. The doping layer can be oxidized easily by the AFM tip in this case, and the oxide objects can be removed by several etchants. This approach to the LAO technology leads to narrow LAO trenches (∼60 nm) and to energy barriers high enough for room- and low-temperature applications

  18. White organic light-emitting devices incorporating nanoparticles of II-VI semiconductors

    International Nuclear Information System (INIS)

    Ahn, Jin H; Bertoni, Cristina; Dunn, Steve; Wang, Changsheng; Talapin, Dmitri V; Gaponik, Nikolai; Eychmueller, Alexander; Hua Yulin; Bryce, Martin R; Petty, Michael C

    2007-01-01

    A blue-green fluorescent organic dye and red-emitting nanoparticles, based on II-VI semiconductors, have been used together in the fabrication of white organic light-emitting devices. In this work, the materials were combined in two different ways: in the form of a blend, and as separate layers deposited on the opposite sides of the substrate. The blended-layer structure provided purer white emission. However, this device also exhibited a number of disadvantages, namely a high drive voltage, a low efficiency and some colour instability. These problems could be avoided by using a device structure that was fabricated using separate dye and nanoparticle layers

  19. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  20. Interfacial effects in organic semiconductor heterojunctions

    International Nuclear Information System (INIS)

    Stadler, P.

    2011-01-01

    The field of organic electronics has systematically gained interest in recent years, technologically and scientifically advances have been made leading to practical applications such as organic light emitting diodes, organic field-effect transistors and organic photo-voltaic cells. In this thesis a fundamental study on organic molecules is presented targeting on interfacial effects at organic heterojunctions. Generally in organic electronic devices interfaces are considered as key parameters for achieving high performance applications. Therefore in this work the emphasis is to investigate layer-by-layer heterojunctions of organic molecules. Defined heterojunctions at inorganic III-V semiconductors form superlattices and quantum-wells, which lead to interfacial effects summarized as quantum confinement and two-dimensional electron gases. Although organic molecules differ in many aspects from their inorganic counterparts, similar effects can be theoretically expected at organic heterojunctions as well. Organic molecules form van-der-Waals type crystals and domains which are macroscopically anisotropic and polycrystalline or amorphous. Organic molecules are intrinsic semiconductors and at interfaces dipoles are formed, which control the energy level alignment. In order to characterize such structures and compare them to inorganic superlattices and quantum-wells it is necessary to induce charge carriers. In this work this is established either by interfacial doping using high-performance dielectrics in a field-effect transistor structure or by photo-doping by exciting a donor-acceptor bilayer. In both cases C 60 was chosen as organic semiconductor exhibiting good acceptor properties and an electron mobility in the range of 0.5 cm 2 V -1 s -1 . The fabrication of well-defined few-molecular layers allows probing directly at the interface. Spectroscopic methods and transport measurements are applied for characterization: Photoemission spectroscopy, absorption and photo

  1. Solar energy harvesting by magnetic-semiconductor nanoheterostructure in water treatment technology.

    Science.gov (United States)

    Mahmoodi, Vahid; Bastami, Tahereh Rohani; Ahmadpour, Ali

    2018-03-01

    Photocatalytic degradation of toxic organic pollutants in the wastewater using dispersed semiconductor nanophotocatalysts has a number of advantages such as high activity, cost effectiveness, and utilization of free solar energy. However, it is difficult to recover and recycle nanophotocatalysts since the fine dispersed nanoparticles are easily suspended in waters. Furthermore, a large amount of photocatalysts will lead to color contamination. Thus, it is necessary to prepare photocatalysts with easy separation for the reusable application. To take advantage of high photocatalysis activity and reusability, magnetic photocatalysts with separation function were utilized. In this review, the photocatalytic principle, structure, and application of the magnetic-semiconductor nanoheterostructure photocatalysts under solar light are evaluated. Graphical abstract ᅟ.

  2. High-Temperature Electronics: A Role for Wide Bandgap Semiconductors?

    Science.gov (United States)

    Neudeck, Philip G.; Okojie, Robert S.; Chen, Liang-Yu

    2002-01-01

    It is increasingly recognized that semiconductor based electronics that can function at ambient temperatures higher than 150 C without external cooling could greatly benefit a variety of important applications, especially-in the automotive, aerospace, and energy production industries. The fact that wide bandgap semiconductors are capable of electronic functionality at much higher temperatures than silicon has partially fueled their development, particularly in the case of SiC. It appears unlikely that wide bandgap semiconductor devices will find much use in low-power transistor applications until the ambient temperature exceeds approximately 300 C, as commercially available silicon and silicon-on-insulator technologies are already satisfying requirements for digital and analog very large scale integrated circuits in this temperature range. However, practical operation of silicon power devices at ambient temperatures above 200 C appears problematic, as self-heating at higher power levels results in high internal junction temperatures and leakages. Thus, most electronic subsystems that simultaneously require high-temperature and high-power operation will necessarily be realized using wide bandgap devices, once the technology for realizing these devices become sufficiently developed that they become widely available. Technological challenges impeding the realization of beneficial wide bandgap high ambient temperature electronics, including material growth, contacts, and packaging, are briefly discussed.

  3. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications – SiC, GaN, Ga2O3, and Diamond

    Science.gov (United States)

    2017-01-01

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies. PMID:29200530

  6. Broadband terahertz generation using the semiconductor-metal transition in VO2

    Directory of Open Access Journals (Sweden)

    Nicholas A. Charipar

    2016-01-01

    Full Text Available We report the design, fabrication, and characterization of broadband terahertz emitters based on the semiconductor-metal transition in thin film VO2 (vanadium dioxide. With the appropriate geometry, picosecond electrical pulses are generated by illuminating 120 nm thick VO2 with 280 fs pulses from a femtosecond laser. These ultrafast electrical pulses are used to drive a simple dipole antenna, generating broadband terahertz radiation.

  7. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    Science.gov (United States)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-11-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed.

  8. A novel multi-level IC-compatible surface microfabrication technology for MEMS with independently controlled lateral and vertical submicron transduction gaps

    International Nuclear Information System (INIS)

    Cicek, Paul-Vahe; Elsayed, Mohannad; Nabki, Frederic; El-Gamal, Mourad

    2017-01-01

    An above-IC compatible multi-level MEMS surface microfabrication technology based on a silicon carbide structural layer is presented. The fabrication process flow provides optimal electrostatic transduction by allowing the creation of independently controlled submicron vertical and lateral gaps without the need for high resolution lithography. Adopting silicon carbide as the structural material, the technology ensures material, chemical and thermal compatibility with modern semiconductor nodes, reporting the lowest peak processing temperature (i.e. 200 °C) of all comparable works. This makes this process ideally suited for integrating capacitive-based MEMS directly above standard CMOS substrates. Process flow design and optimization are presented in the context of bulk-mode disk resonators, devices that are shown to exhibit improved performance with respect to previous generation flexural beam resonators, and that represent relatively complex MEMS structures. The impact of impending improvements to the fabrication technology is discussed. (paper)

  9. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  10. Fiscal 1998 joint R and D project on industrial science and technology with university. Research report on the production process of semiconductor devices by Cat-CVD (Development of practical technology for rational use of energy); 1998 nendo daigaku renkei sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (energy shiyo gorika kankei gijutsu jitsuyoka kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    The Cat-CVD method is in verification test to establish it as production process of various semiconductor devices such as Ga-As IC, ferroelectric IC, Si IC, and TFT. This paper outlines the research results in fiscal 1998. Study was made on concept design of the Cat-CVD equipment for formation of Ga-As protective film, and basic technology for formation of SiN{sub x} film. Although reducing gas is used for deposition of SiN{sub x} film, anxious modification of oxide ferroelectric materials was avoided by substrate temperature control. Design and fabrication of the CVD equipment for Si ICs were also studied. The equipment was made of Al to control degassing as low as possible. As for production of TFT for LCD, formation technology of high-quality insulating thin film for low-temperature poly-Si TFT by CVD method, and formation of advanced insulating thin film and advanced poly- Si thin film were studied. A large-size deposition method of TFT insulating film, and low-temperature formation technology of poly-Si were also studied. (NEDO)

  11. D-SPECT, a semiconductor camera: Technical aspects and clinical applications

    International Nuclear Information System (INIS)

    Merlin, C.; Bertrand, S.; Kelly, A.; Veyre, A.; Mestas, D.; Cachin, F.; Motreff, P.; Levesque, S.; Cachin, F.; Askienazy, S.

    2010-01-01

    Clinical practice in nuclear medicine has largely changed in the last decade, particularly with the arrival of PET/CT and SPECT/CT. New semiconductor cameras could represent the next evolution in our nuclear medicine practice. Due to the resolution and sensitivity improvement, this technology authorizes fast speed acquisitions, high contrast and resolution images performed with low activity injection. The dedicated cardiology D-SPECT camera (Spectrum Dynamics, Israel) is based on semiconductor technology and provides an original system for collimation and images reconstruction. We describe here our clinical experience in using the D-SPECT with a preliminary study comparing D-D.P.E.C.T. and conventional gamma camera. (authors)

  12. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  13. Barrier height enhancement of metal/semiconductor contact by an enzyme biofilm interlayer

    Science.gov (United States)

    Ocak, Yusuf Selim; Gul Guven, Reyhan; Tombak, Ahmet; Kilicoglu, Tahsin; Guven, Kemal; Dogru, Mehmet

    2013-06-01

    A metal/interlayer/semiconductor (Al/enzyme/p-Si) MIS device was fabricated using α-amylase enzyme as a thin biofilm interlayer. It was observed that the device showed an excellent rectifying behavior and the barrier height value of 0.78 eV for Al/α-amylase/p-Si was meaningfully larger than the one of 0.58 eV for conventional Al/p-Si metal/semiconductor (MS) contact. Enhancement of the interfacial potential barrier of Al/p-Si MS diode was realized using enzyme interlayer by influencing the space charge region of Si semiconductor. The electrical properties of the structure were executed by the help of current-voltage and capacitance-voltage measurements. The photovoltaic properties of the structure were executed under a solar simulator with AM1.5 global filter between 40 and 100 mW/cm2 illumination conditions. It was also reported that the α-amylase enzyme produced from Bacillus licheniformis had a 3.65 eV band gap value obtained from optical method.

  14. Fast optical detecting media based on semiconductor nanostructures for recording images obtained using charges of free photocarriers

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.; Beregulin, E. V.

    2011-01-01

    Available published data on the properties of optical recording media based on semiconductor structures are reviewed. The principles of operation, structure, parameters, and the range of application for optical recording media based on MIS structures formed of photorefractive crystals with a thick layer of insulator and MIS structures with a liquid crystal as the insulator (the MIS LC modulators), as well as the effect of optical bistability in semiconductor structures (semiconductor MIS structures with nanodimensionally thin insulator (TI) layer, M(TI)S nanostructures). Special attention is paid to recording media based on the M(TI)S nanostructures promising for fast processing of highly informative images and to fabrication of optoelectronic correlators of images for noncoherent light.

  15. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  16. Top contact organic field effect transistors fabricated using a photolithographic process

    International Nuclear Information System (INIS)

    Wang Hong; Peng Ying-Quan; Ji Zhuo-Yu; Shang Li-Wei; Liu Xing-Hua; Liu Ming

    2011-01-01

    This paper proposes an effective method of fabricating top contact organic field effect transistors by using a photolithographic process. The semiconductor layer is protected by a passivation layer. Through photolithographic and etching processes, parts of the passivation layer are etched off to form source/drain electrode patterns. Combined with conventional evaporation and lift-off techniques, organic field effect transistors with a top contact are fabricated successfully, whose properties are comparable to those prepared with the shadow mask method and one order of magnitude higher than the bottom contact devices fabricated by using a photolithographic process. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  17. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  18. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  19. A soft lithographic approach to fabricate InAs nanowire field-effect transistors

    DEFF Research Database (Denmark)

    Lee, S. H.; Shin, S.-H.; Madsen, Morten

    2018-01-01

    The epitaxial layer transfer process was previously introduced to integrate high-quality and ultrathin III-V compound semiconductor layers on any substrate. However, this technique has limitation for fabrication of sub-micron nanoribbons due to the diffraction limit of photolithography. In order ...

  20. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  1. Novel room temperature ferromagnetic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Amita [KTH Royal Inst. of Technology, Stockholm (Sweden)

    2004-06-01

    Today's information world, bits of data are processed by semiconductor chips, and stored in the magnetic disk drives. But tomorrow's information technology may see magnetism (spin) and semiconductivity (charge) combined in one 'spintronic' device that exploits both charge and 'spin' to carry data (the best of two worlds). Spintronic devices such as spin valve transistors, spin light emitting diodes, non-volatile memory, logic devices, optical isolators and ultra-fast optical switches are some of the areas of interest for introducing the ferromagnetic properties at room temperature in a semiconductor to make it multifunctional. The potential advantages of such spintronic devices will be higher speed, greater efficiency, and better stability at a reduced power consumption. This Thesis contains two main topics: In-depth understanding of magnetism in Mn doped ZnO, and our search and identification of at least six new above room temperature ferromagnetic semiconductors. Both complex doped ZnO based new materials, as well as a number of nonoxides like phosphides, and sulfides suitably doped with Mn or Cu are shown to give rise to ferromagnetism above room temperature. Some of the highlights of this work are discovery of room temperature ferromagnetism in: (1) ZnO:Mn (paper in Nature Materials, Oct issue, 2003); (2) ZnO doped with Cu (containing no magnetic elements in it); (3) GaP doped with Cu (again containing no magnetic elements in it); (4) Enhancement of Magnetization by Cu co-doping in ZnO:Mn; (5) CdS doped with Mn, and a few others not reported in this thesis. We discuss in detail the first observation of ferromagnetism above room temperature in the form of powder, bulk pellets, in 2-3 mu-m thick transparent pulsed laser deposited films of the Mn (<4 at. percent) doped ZnO. High-resolution transmission electron microscopy (HRTEM) and electron energy loss spectroscopy (EELS) spectra recorded from 2 to 200nm areas showed homogeneous

  2. Micro-fabricated integrated coil and magnetic circuit and method of manufacturing thereof

    Science.gov (United States)

    Mihailovich, Robert E.; Papavasiliou, Alex P.; Mehrotra, Vivek; Stupar, Philip A.; Borwick, III, Robert L.; Ganguli, Rahul; DeNatale, Jeffrey F.

    2017-03-28

    A micro-fabricated electromagnetic device is provided for on-circuit integration. The electromagnetic device includes a core. The core has a plurality of electrically insulating layers positioned alternatingly between a plurality of magnetic layers to collectively form a continuous laminate having alternating magnetic and electrically insulating layers. The electromagnetic device includes a coil embedded in openings of the semiconductor substrate. An insulating material is positioned in the cavity and between the coil and an inner surface of the core. A method of manufacturing the electromagnetic device includes providing a semiconductor substrate having openings formed therein. Windings of a coil are electroplated and embedded in the openings. The insulating material is coated on or around an exposed surface of the coil. Alternating magnetic layers and electrically insulating layers may be micro-fabricated and electroplated as a single and substantially continuous segment on or around the insulating material.

  3. Electrolytic charge inversion at the liquid-solid interface in a nanopore in a doped semiconductor membrane

    Energy Technology Data Exchange (ETDEWEB)

    Gracheva, Maria E [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Leburton, Jean-Pierre [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States)

    2007-04-11

    The electrostatics of a nanopore in a doped semiconductor membrane immersed in an electrolyte is studied with a numerical model. Unlike dielectric membranes that always attract excess positive ion charges at the electrolyte/membrane interface whenever a negative surface charge is present, semiconductor membranes exhibit more versatility in controlling the double layer at the membrane surface. The presence of dopant charge in the semiconductor membrane, the shape of the nanopore and the negative surface charge resulting from the pore fabrication process have competing influences on the double layer formation. The inversion of the electrolyte surface charge from negative to positive is observed for n-Si membranes as a function of the membrane surface charge density, while no such inversion occurs for dielectric and p-Si membranes.

  4. Inertial Confinement Fusion Target Component Fabrication and Technology Development report

    International Nuclear Information System (INIS)

    Steinman, D.

    1994-03-01

    On December 30, 1990, the US Department of Energy entered into a contract with General Atomics (GA) to be the Inertial Confinement Fusion Target Component Fabrication and Technology Development Support contractor. This report documents the technical activities which took place under this contract during the period of October 1, 1992 through September 30, 1993. During this period, GA was assigned 18 tasks in support of the Inertial Confinement Fusion program and its laboratories. These tasks included ''Capabilities Activation'' and ''Capabilities Demonstration'' to enable us to begin production of glass and composite polymer capsules. Capsule delivery tasks included ''Small Glass Shell Deliveries'' and ''Composite Polymer Capsules'' for Lawrence Livermore National Laboratory (LLNL) and Los Alamos National Laboratory (LANL). We also were asked to provide direct ''Onsite Support'' at LLNL and LANL. We continued planning for the transfer of ''Micromachining Equipment from Rocky Flats'' and established ''Target Component Micromachining and Electroplating Facilities'' at GA. We fabricated over 1100 films and filters of 11 types for Sandia National Laboratory and provided full-time onsite engineering support for target fabrication and characterization. We initiated development of methods to make targets for the Naval Research Laboratory. We investigated spherical interferometry, built an automated capsule sorter, and developed an apparatus for calorimetric measurement of fuel fill for LLNL. We assisted LANL in the ''Characterization of Opaque b-Layered Targets.'' We developed deuterated and UV-opaque polymers for use by the University of Rochester's Laboratory for Laser Energetics (UR/LLE) and devised a triple-orifice droplet generator to demonstrate the controlled-mass nature of the microencapsulation process

  5. Cartilage Tissue Engineering with Silk Fibroin Scaffolds Fabricated by Indirect Additive Manufacturing Technology.

    Science.gov (United States)

    Chen, Chih-Hao; Liu, Jolene Mei-Jun; Chua, Chee-Kai; Chou, Siaw-Meng; Shyu, Victor Bong-Hang; Chen, Jyh-Ping

    2014-03-13

    Advanced tissue engineering (TE) technology based on additive manufacturing (AM) can fabricate scaffolds with a three-dimensional (3D) environment suitable for cartilage regeneration. Specifically, AM technology may allow the incorporation of complex architectural features. The present study involves the fabrication of 3D TE scaffolds by an indirect AM approach using silk fibroin (SF). From scanning electron microscopic observations, the presence of micro-pores and interconnected channels within the scaffold could be verified, resulting in a TE scaffold with both micro- and macro-structural features. The intrinsic properties, such as the chemical structure and thermal characteristics of SF, were preserved after the indirect AM manufacturing process. In vitro cell culture within the SF scaffold using porcine articular chondrocytes showed a steady increase in cell numbers up to Day 14. The specific production (per cell basis) of the cartilage-specific extracellular matrix component (collagen Type II) was enhanced with culture time up to 12 weeks, indicating the re-differentiation of chondrocytes within the scaffold. Subcutaneous implantation of the scaffold-chondrocyte constructs in nude mice also confirmed the formation of ectopic cartilage by histological examination and immunostaining.

  6. Cartilage Tissue Engineering with Silk Fibroin Scaffolds Fabricated by Indirect Additive Manufacturing Technology

    Directory of Open Access Journals (Sweden)

    Chih-Hao Chen

    2014-03-01

    Full Text Available Advanced tissue engineering (TE technology based on additive manufacturing (AM can fabricate scaffolds with a three-dimensional (3D environment suitable for cartilage regeneration. Specifically, AM technology may allow the incorporation of complex architectural features. The present study involves the fabrication of 3D TE scaffolds by an indirect AM approach using silk fibroin (SF. From scanning electron microscopic observations, the presence of micro-pores and interconnected channels within the scaffold could be verified, resulting in a TE scaffold with both micro- and macro-structural features. The intrinsic properties, such as the chemical structure and thermal characteristics of SF, were preserved after the indirect AM manufacturing process. In vitro cell culture within the SF scaffold using porcine articular chondrocytes showed a steady increase in cell numbers up to Day 14. The specific production (per cell basis of the cartilage-specific extracellular matrix component (collagen Type II was enhanced with culture time up to 12 weeks, indicating the re-differentiation of chondrocytes within the scaffold. Subcutaneous implantation of the scaffold-chondrocyte constructs in nude mice also confirmed the formation of ectopic cartilage by histological examination and immunostaining.

  7. Fundamentals of silicon carbide technology growth, characterization, devices and applications

    CERN Document Server

    Kimoto, Tsunenobu

    2014-01-01

    A comprehensive introduction and up-to-date reference to SiC power semiconductor devices covering topics from material properties to applicationsBased on a number of breakthroughs in SiC material science and fabrication technology in the 1980s and 1990s, the first SiC Schottky barrier diodes (SBDs) were released as commercial products in 2001.  The SiC SBD market has grown significantly since that time, and SBDs are now used in a variety of power systems, particularly switch-mode power supplies and motor controls.  SiC power MOSFETs entered commercial production in 2011, providing rugged, hig

  8. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  9. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    Science.gov (United States)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  10. Solvent-free directed patterning of a highly ordered liquid crystalline organic semiconductor via template-assisted self-assembly for organic transistors.

    Science.gov (United States)

    Kim, Aryeon; Jang, Kwang-Suk; Kim, Jinsoo; Won, Jong Chan; Yi, Mi Hye; Kim, Hanim; Yoon, Dong Ki; Shin, Tae Joo; Lee, Myong-Hoon; Ka, Jae-Won; Kim, Yun Ho

    2013-11-20

    Highly ordered organic semiconductor micropatterns of the liquid-crystalline small molecule 2,7-didecylbenzothienobenzothiophene (C10 -BTBT) are fabricated using a simple method based on template-assisted self-assembly (TASA). The liquid crystallinity of C10 -BTBT allows solvent-free fabrication of high-performance printed organic field-effect transistors (OFETs). © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Fabrication and characteristics of magnetic field sensors based on nano-polysilicon thin-film transistors

    International Nuclear Information System (INIS)

    Zhao Xiaofeng; Wen Dianzhong; Zhuang Cuicui; Cao Jingya; Wang Zhiqiang

    2013-01-01

    A magnetic field sensor based on nano-polysilicon thin films transistors (TFTs) with Hall probes is proposed. The magnetic field sensors are fabricated on 〈100〉 orientation high resistivity (ρ > 500 Ω·cm) silicon substrates by using CMOS technology, which adopt nano-polysilicon thin films with thicknesses of 90 nm and heterojunction interfaces between the nano-polysilicon thin films and the high resistivity silicon substrates as the sensing layers. The experimental results show that when V DS = 5.0 V, the magnetic sensitivities of magnetic field sensors based on nano-polysilicon TFTs with length—width ratios of 160 μm/80 μm, 320 μm/80 μm and 480 μm/80 μm are 78 mV/T, 55 mV/T and 34 mV/T, respectively. Under the same conditions, the magnetic sensitivity of the obtained magnetic field sensor is significantly improved in comparison with a Hall magnetic field sensor adopting silicon as the sensing layers. (semiconductor technology)

  12. Establishment of technological basis for fabrication of U-Pu-Zr ternary alloy fuel pins for irradiation tests in Japan

    International Nuclear Information System (INIS)

    Kikuchi, Hironobu; Iwai, Takashi; Nakajima, Kunihisa; Arai, Yasuo; Nakamura, Kinya; Ogata, Takanari

    2011-01-01

    A high-purity Ar gas atmosphere glove box accommodating injection casting and sodium-bonding apparatuses was newly installed in the Plutonium Fuel Research Facility of Oarai Research and Development Center, Japan Atomic Energy Agency, in which several nitride and carbide fuel pins were fabricated for irradiation tests. The experiences led to the establishment of the technological basis of the fabrication of U-Pu-Zr alloy fuel pins for the first time in Japan. After the injection casting of the U-Pu-Zr alloy, the metallic fuel pins were fabricated by welding upper and lower end plugs with cladding tubes of ferritic-martensitic steel. Subsequent to the sodium bonding for filling the annular gap region between the U-Pu-Zr alloy and the cladding tube with the melted sodium, the fuel pins for irradiation tests are inspected. This paper shows the apparatuses and the technological basis for the fabrication of U-Pu-Zr alloy fuel pins for the irradiation test planned at the experimental fast test reactor Joyo. (author)

  13. Fabrication technology and characteristics of AmO2-MgO cercer materials for transmutation

    International Nuclear Information System (INIS)

    Croixmarie, Y.; Mocellin, A.; Warin, D.

    2000-01-01

    This paper deals with the fabrication technology and the physico-chemical properties of target materials prepared for the ECRIX experiment in the French PHENIX reactor. The ECRIX target materials consist of pellets made of a ceramic-ceramic type composite in which particles of americium oxide are microdispersed in an inert matrix of magnesium oxide

  14. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Moghadam, Reza M. [Department; Xiao, Zhiyong [Department; Ahmadi-Majlan, Kamyar [Department; Grimley, Everett D. [Department; Bowden, Mark [Environmental; amp, Biological Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ong, Phuong-Vu [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Chambers, Scott A. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Lebeau, James M. [Department; Hong, Xia [Department; Sushko, Peter V. [Physical; amp, Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland, Washington 99352, United States; Ngai, Joseph H. [Department

    2017-09-13

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, ferroelectric materials integrated on semiconductors could lead to low-power field-effect devices that can be used for logic or memory. Essential to realizing such field-effect devices is the development of ferroelectric metal-oxide-semiconductor (MOS) capacitors, in which the polarization of a ferroelectric gate is coupled to the surface potential of a semiconducting channel. Here we demonstrate that ferroelectric MOS capacitors can be realized using single crystalline SrZrxTi1-xO3 (x= 0.7) that has been epitaxially grown on Ge. We find that the ferroelectric properties of SrZrxTi1-xO3 are exceptionally robust, as gate layers as thin as 5 nm give rise to hysteretic capacitance-voltage characteristics that are 2 V in width. The development of ferroelectric MOS capacitors with gate thicknesses that are technologically relevant opens a pathway to realize scalable ferroelectric field-effect devices.

  15. HIP technologies for fusion reactor blankets fabrication

    International Nuclear Information System (INIS)

    Le Marois, G.; Federzoni, L.; Bucci, P.; Revirand, P.

    2000-01-01

    The benefit of HIP techniques applied to the fabrication of fusion internal components for higher performances, reliability and cost savings are emphasized. To demonstrate the potential of the techniques, design of new blankets concepts and mock-ups fabrication are currently performed by CEA. A coiled tube concept that allows cooling arrangement flexibility, strong reduction of the machining and number of welds is proposed for ITER IAM. Medium size mock-ups according to the WCLL breeding blanket concept have been manufactured. The fabrication of a large size mock-up is under progress. These activities are supported by numerical calculations to predict the deformations of the parts during HIP'ing. Finally, several HIP techniques issues have been identified and are discussed

  16. Semiconductor-based, large-area, flexible, electronic devices on {110} oriented substrates

    Science.gov (United States)

    Goyal, Amit

    2014-08-05

    Novel articles and methods to fabricate the same resulting in flexible, oriented, semiconductor-based, electronic devices on {110} textured substrates are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  17. [100] or [110] aligned, semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit

    2015-03-24

    Novel articles and methods to fabricate the same resulting in flexible, large-area, [100] or [110] textured, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  18. Intrinsic spin and momentum relaxation in organic single-crystalline semiconductors probed by ESR and Hall measurements

    Science.gov (United States)

    Tsurumi, Junto; Häusermann, Roger; Watanabe, Shun; Mitsui, Chikahiko; Okamoto, Toshihiro; Matsui, Hiroyuki; Takeya, Jun

    Spin and charge momentum relaxation mechanism has been argued among organic semiconductors with various methods, devices, and materials. However, little is known in organic single-crystalline semiconductors because it has been hard to obtain an ideal organic crystal with an excellent crystallinity and controllability required for accurate measurements. By using more than 1-inch sized single crystals which are fabricated via contentious edge-casting method developed by our group, we have successfully demonstrated a simultaneous determination of spin and momentum relaxation time for gate-induced charges of 3,11-didecyldinaphtho[2,3- d:2',3'- d']benzo[1,2- b:4,5- b']dithiophene, by combining electron spin resonance (ESR) and Hall effect measurements. The obtained temperature dependences of spin and momentum relaxation times are in good agreement in terms of power law with a factor of approximately -2. It is concluded that Elliott-Yafet spin relaxation mechanism can be dominant at room temperature regime (200 - 300 K). Probing characteristic time scales such as spin-lattice, spin-spin, and momentum relaxation times, demonstrated in the present work, would be a powerful tool to elucidate fundamental spin and charge transport mechanisms. We acknowledge the New Energy and Industrial Technology Developing Organization (NEDO) for financial support.

  19. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  20. Benchmarking, Research, Development, and Support for ORNL Automated Image and Signature Retrieval (AIR/ASR) Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, K.W.

    2004-06-01

    This report describes the results of a Cooperative Research and Development Agreement (CRADA) with Applied Materials, Inc. (AMAT) of Santa Clara, California. This project encompassed the continued development and integration of the ORNL Automated Image Retrieval (AIR) technology, and an extension of the technology denoted Automated Signature Retrieval (ASR), and other related technologies with the Defect Source Identification (DSI) software system that was under development by AMAT at the time this work was performed. In the semiconductor manufacturing environment, defect imagery is used to diagnose problems in the manufacturing line, train yield management engineers, and examine historical data for trends. Image management in semiconductor data systems is a growing cause of concern in the industry as fabricators are now collecting up to 20,000 images each week. In response to this concern, researchers at the Oak Ridge National Laboratory (ORNL) developed a semiconductor-specific content-based image retrieval method and system, also known as AIR. The system uses an image-based query-by-example method to locate and retrieve similar imagery from a database of digital imagery using visual image characteristics. The query method is based on a unique architecture that takes advantage of the statistical, morphological, and structural characteristics of image data, generated by inspection equipment in industrial applications. The system improves the manufacturing process by allowing rapid access to historical records of similar events so that errant process equipment can be isolated and corrective actions can be quickly taken to improve yield. The combined ORNL and AMAT technology is referred to hereafter as DSI-AIR and DSI-ASR.