WorldWideScience

Sample records for semiconductor processing progress

  1. Progress in semiconductor drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Walton, J.; Gatti, E.

    1985-01-01

    Progress in testing semiconductor drift detectors is reported. Generally better position and energy resolutions were obtained than resolutions published previously. The improvement is mostly due to new electronics better matched to different detectors. It is shown that semiconductor drift detectors are becoming versatile and reliable detectors for position and energy measurements

  2. Progress in Group III nitride semiconductor electronic devices

    International Nuclear Information System (INIS)

    Hao Yue; Zhang Jinfeng; Shen Bo; Liu Xinyu

    2012-01-01

    Recently there has been a rapid domestic development in group III nitride semiconductor electronic materials and devices. This paper reviews the important progress in GaN-based wide bandgap microelectronic materials and devices in the Key Program of the National Natural Science Foundation of China, which focuses on the research of the fundamental physical mechanisms of group III nitride semiconductor electronic materials and devices with the aim to enhance the crystal quality and electric performance of GaN-based electronic materials, develop new GaN heterostructures, and eventually achieve high performance GaN microwave power devices. Some remarkable progresses achieved in the program will be introduced, including those in GaN high electron mobility transistors (HEMTs) and metal—oxide—semiconductor high electron mobility transistors (MOSHEMTs) with novel high-k gate insulators, and material growth, defect analysis and material properties of InAlN/GaN heterostructures and HEMT fabrication, and quantum transport and spintronic properties of GaN-based heterostructures, and high-electric-field electron transport properties of GaN material and GaN Gunn devices used in terahertz sources. (invited papers)

  3. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  4. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  5. Progress of pyrene-based organic semiconductor in organic field effect transistors

    Institute of Scientific and Technical Information of China (English)

    Yanbin; Gong; Xuejun; Zhan; Qianqian; Li; Zhen; Li

    2016-01-01

    Thanks to the pure blue emitting, high planarity, electron rich and ease of chemical modification, pyrene has been thoroughly investigated for applications in organic electronics such as organic light emitting diodes(OLEDs), organic field effect transistors(OFETs), and organic solar cells(OSCs). Especially, great progresses have been made of pyrene-based organic semiconductors for OFETs in past decades. Due to the difference of molecular structure, pyrene-based organic semiconductors are divided into three categories, pyrene as terminal group, pyrene as center core and fused pyrene derivatives. This minireview gives a brief introduction of the structure-property relationship and application in OFETs about most of pyrene-based semiconducting materials since 2006,illustrating that pyrene is a good building block to construct semiconductors with superior transport property for OFETs. Finally, we provide a summary concerning the methodology to improve the transport property of the pyrene-based semiconducting materials as well as an outlook.

  6. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  7. Progress in complementary metal–oxide–semiconductor silicon photonics and optoelectronic integrated circuits

    International Nuclear Information System (INIS)

    Chen Hongda; Zhang Zan; Huang Beiju; Mao Luhong; Zhang Zanyun

    2015-01-01

    Silicon photonics is an emerging competitive solution for next-generation scalable data communications in different application areas as high-speed data communication is constrained by electrical interconnects. Optical interconnects based on silicon photonics can be used in intra/inter-chip interconnects, board-to-board interconnects, short-reach communications in datacenters, supercomputers and long-haul optical transmissions. In this paper, we present an overview of recent progress in silicon optoelectronic devices and optoelectronic integrated circuits (OEICs) based on a complementary metal–oxide–semiconductor-compatible process, and focus on our research contributions. The silicon optoelectronic devices and OEICs show good characteristics, which are expected to benefit several application domains, including communication, sensing, computing and nonlinear systems. (review)

  8. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  9. The research progress of microdose effect in semiconductor devices

    International Nuclear Information System (INIS)

    Yan Yihua; Fan Ruyu; Guo Xiaoqiang; Lin Dongsheng; Guo Hongxia; Zhang Fengqi; Chen Wei

    2012-01-01

    The localized dose deposited around the track of a heavy ion can be high enough to induce a permanent failure in the semiconductor devices, such as the stuck bit error or functional failure. In this paper, progresses in studies on microdose effect are reviewed. Two basic failure mechanisms, i.e. the localized total dose effect and the strong coulomb repulsive force effect, are discussed. Typical failure modes in several types of devices, and the main impact factors, are discussed, too. (authors)

  10. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  11. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  12. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  13. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  14. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  16. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  17. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  18. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  19. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  20. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  2. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  3. Nonradiative recombination in semiconductors

    CERN Document Server

    Abakumov, VN; Yassievich, IN

    1991-01-01

    In recent years, great progress has been made in the understandingof recombination processes controlling the number of excessfree carriers in semiconductors under nonequilibrium conditions. As a result, it is now possible to give a comprehensivetheoretical description of these processes. The authors haveselected a number of experimental results which elucidate theunderlying physical problems and enable a test of theoreticalmodels. The following topics are dealt with: phenomenological theory ofrecombination, theoretical models of shallow and deep localizedstates, cascade model of carrier captu

  4. Progress in semiconductor laser diodes: SPIE volume 723

    International Nuclear Information System (INIS)

    Eichen, E.

    1987-01-01

    This book contains proceedings arranged under the following session headings: High power diode lasers; single emitters and arrays; Ultrahigh speed modulation of semiconductor diode lasers; Coherence and linewidth stabilized semiconductor lasers; and Growth, fabrication, and evaluation of laser diodes

  5. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  6. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  7. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  8. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  9. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  10. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  11. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  12. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  13. Waveguide based external cavity semiconductor lasers

    NARCIS (Netherlands)

    Oldenbeuving, Ruud; Klein, E.J.; Offerhaus, Herman L.; Lee, Christopher James; Verhaegen, M.; Boller, Klaus J.

    2012-01-01

    We report on progress of the project waveguide based external cavity semiconductor laser (WECSL) arrays. Here we present the latest results on our efforts to mode lock an array of tunable, external cavity semiconductor lasers.

  14. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  15. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  16. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  17. Rapid thermal processing of semiconductors

    CERN Document Server

    Borisenko, Victor E

    1997-01-01

    Rapid thermal processing has contributed to the development of single wafer cluster processing tools and other innovations in integrated circuit manufacturing environments Borisenko and Hesketh review theoretical and experimental progress in the field, discussing a wide range of materials, processes, and conditions They thoroughly cover the work of international investigators in the field

  18. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  19. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  20. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  1. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  2. Charge transport in organic semiconductors.

    Science.gov (United States)

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  3. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  4. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  5. Slow and fast light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Mørk, Jesper; Hansen, Per Lunnemann; Xue, Weiqi

    2010-01-01

    Investigations of slow and fast light effects in semiconductor waveguides entail interesting physics and point to a number of promising applications. In this review we give an overview of recent progress in the field, in particular focusing on the physical mechanisms of electromagnetically induced...... transparency and coherent population oscillations. While electromagnetically induced transparency has been the most important effect in realizing slowdown effects in atomic gasses, progress has been comparatively slow in semiconductors due to inherent problems of fast dephasing times and inhomogeneous...... broadening in quantum dots. The physics of electromagnetically induced transparency in semiconductors is discussed, emphasizing these limitations and recent suggestions for overcoming them. On the other hand, the mechanism of coherent population oscillations relies on wave mixing effects and is well suited...

  6. Chemical engineering in the electronics industry: progress towards the rational design of organic semiconductor heterojunctions

    KAUST Repository

    Clancy, Paulette

    2012-05-01

    We review the current status of heterojunction design for combinations of organic semiconductor materials, given its central role in affecting the device performance for electronic devices and solar cell applications. We provide an emphasis on recent progress towards the rational design of heterojunctions that may lead to higher performance of charge separation and mobility. We also play particular attention to the role played by computational approaches and its potential to help define the best choice of materials for solar cell development in the future. We report the current status of the field with respect to such goals. © 2012 Elsevier Ltd.

  7. Chemical engineering in the electronics industry: progress towards the rational design of organic semiconductor heterojunctions

    KAUST Repository

    Clancy, Paulette

    2012-01-01

    We review the current status of heterojunction design for combinations of organic semiconductor materials, given its central role in affecting the device performance for electronic devices and solar cell applications. We provide an emphasis on recent progress towards the rational design of heterojunctions that may lead to higher performance of charge separation and mobility. We also play particular attention to the role played by computational approaches and its potential to help define the best choice of materials for solar cell development in the future. We report the current status of the field with respect to such goals. © 2012 Elsevier Ltd.

  8. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  9. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  10. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  11. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  12. Toward a Theory of Industrial Development and Vertical Disintegration : The Case of the Semiconductor Industry

    OpenAIRE

    末永, 啓一郎

    2007-01-01

    The semiconductor industry has accomplished surprising growth, and its production basehas extended from the United States to Japan, Europe, and other Asian economies. One of thefactors of this phenomenon is the progress of vertical disintegration in the semiconductor industry.The boundaries of firms are discussed within a transaction cost framework. However, toidentify the process of long-term vertical disintegration at an industrial level, a dynamic theoryrather than a static theory is neces...

  13. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  14. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  15. Progress on Crystal Growth of Two-Dimensional Semiconductors for Optoelectronic Applications

    Directory of Open Access Journals (Sweden)

    Bingqi Sun

    2018-06-01

    Full Text Available Two-dimensional (2D semiconductors are thought to belong to the most promising candidates for future nanoelectronic applications, due to their unique advantages and capability in continuing the downscaling of complementary metal–oxide–semiconductor (CMOS devices while retaining decent mobility. Recently, optoelectronic devices based on novel synthetic 2D semiconductors have been reported, exhibiting comparable performance to the traditional solid-state devices. This review briefly describes the development of the growth of 2D crystals for applications in optoelectronics, including photodetectors, light-emitting diodes (LEDs, and solar cells. Such atomically thin materials with promising optoelectronic properties are very attractive for future advanced transparent optoelectronics as well as flexible and wearable/portable electronic devices.

  16. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  17. Progress in piezo-phototronic effect modulated photovoltaics.

    Science.gov (United States)

    Que, Miaoling; Zhou, Ranran; Wang, Xiandi; Yuan, Zuqing; Hu, Guofeng; Pan, Caofeng

    2016-11-02

    Wurtzite structured materials, like ZnO, GaN, CdS, and InN, simultaneously possess semiconductor and piezoelectric properties. The inner-crystal piezopotential induced by external strain can effectively tune/control the carrier generation, transport and separation/combination processes at the metal-semiconductor contact or p-n junction, which is called the piezo-phototronic effect. This effect can efficiently enhance the performance of photovoltaic devices based on piezoelectric semiconductor materials by utilizing the piezo-polarization charges at the junction induced by straining, which can modulate the energy band of the piezoelectric material and then accelerate or prevent the separation process of the photon-generated electrons and vacancies. This paper introduces the fundamental physics principles of the piezo-phototronic effect, and reviews recent progress in piezo-phototronic effect enhanced solar cells, including solar cells based on semiconductor nanowire, organic/inorganic materials, quantum dots, and perovskite. The piezo-phototronic effect is suggested as a suitable basis for the development of an innovative method to enhance the performance of solar cells based on piezoelectric semiconductors by applied extrinsic strains, which might be appropriate for fundamental research and potential applications in various areas of optoelectronics.

  18. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  19. Imaging the motion of electrons across semiconductor heterojunctions

    Science.gov (United States)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  20. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  1. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  2. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  3. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  4. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  5. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  6. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  7. Semiconductor-Based Photoelectrochemical Conversion of Carbon Dioxide: Stepping Towards Artificial Photosynthesis.

    Science.gov (United States)

    Pang, Hong; Masuda, Takuya; Ye, Jinhua

    2018-01-18

    The photoelectrochemical (PEC) carbon dioxide reduction process stands out as a promising avenue for the conversion of solar energy into chemical feedstocks, among various methods available for carbon dioxide mitigation. Semiconductors derived from cheap and abundant elements are interesting candidates for catalysis. Whether employed as intrinsic semiconductors or hybridized with metallic cocatalysts, biocatalysts, and metal molecular complexes, semiconductor photocathodes exhibit good performance and low overpotential during carbon dioxide reduction. Apart from focusing on carbon dioxide reduction materials and chemistry, PEC cells towards standalone devices that use photohybrid electrodes or solar cells have also been a hot topic in recent research. An overview of the state-of-the-art progress in PEC carbon dioxide reduction is presented and a deep understanding of the catalysts of carbon dioxide reduction is also given. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Inorganic p-Type Semiconductors: Their Applications and Progress in Dye-Sensitized Solar Cells and Perovskite Solar Cells

    Directory of Open Access Journals (Sweden)

    Ming-Hsien Li

    2016-04-01

    Full Text Available Considering the increasing global demand for energy and the harmful ecological impact of conventional energy sources, it is obvious that development of clean and renewable energy is a necessity. Since the Sun is our only external energy source, harnessing its energy, which is clean, non-hazardous and infinite, satisfies the main objectives of all alternative energy strategies. With attractive features, i.e., good performance, low-cost potential, simple processibility, a wide range of applications from portable power generation to power-windows, photoelectrochemical solar cells like dye-sensitized solar cells (DSCs represent one of the promising methods for future large-scale power production directly from sunlight. While the sensitization of n-type semiconductors (n-SC has been intensively studied, the use of p-type semiconductor (p-SC, e.g., the sensitization of wide bandgap p-SC and hole transport materials with p-SC have also been attracting great attention. Recently, it has been proved that the p-type inorganic semiconductor as a charge selective material or a charge transport material in organometallic lead halide perovskite solar cells (PSCs shows a significant impact on solar cell performance. Therefore the study of p-type semiconductors is important to rationally design efficient DSCs and PSCs. In this review, recent published works on p-type DSCs and PSCs incorporated with an inorganic p-type semiconductor and our perspectives on this topic are discussed.

  9. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  10. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  11. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  12. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  13. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  14. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  15. Electrically Induced Two-Photon Transparency in Semiconductor Quantum Wells

    International Nuclear Information System (INIS)

    Hayat, Alex; Nevet, Amir; Orenstein, Meir

    2009-01-01

    We demonstrate experimentally two-photon transparency, achieved by current injection into a semiconductor quantum-well structure which exhibits two-photon emission. The two-photon induced luminescence is progressively reduced by the injected current, reaching the point of two-photon transparency - a necessary condition for semiconductor two-photon gain and lasing. These results agree with our calculations.

  16. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  17. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  18. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  19. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  20. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  1. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  2. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  3. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  4. Modification of semiconductors with proton beams. A review

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Lomasov, V.N.; Kozlov, V.A.

    2000-01-01

    Analysis is given of the progress in the modification of semiconductors by proton beams in fields such as proton-enhanced diffusion, ion-beam mixing, and formation of porous layers. This method of modification (doping) is shown to have high potential in monitoring the properties of semiconductor materials and designing devices of micro and nano electronics as compared to the conventional doping techniques such as thermal diffusion, epitaxy, and ion implantation

  5. Progress in nanophotonics 1

    CERN Document Server

    Ohtsu, Motoichi

    2011-01-01

    This book focuses on the recent progress in nanophotonics technology to be used to develop novel nano-optical devices, fabrication technology, and security systems. It begins with a review of the concept of dressed photons and applications to devices, fabrication, and systems; principles and applications. Further topics include: DNA process for quantum dot chain, photon enhanced emission microscopy, near field spectroscopy of metallic nanostructure, self-organized fabrication of composite semiconductor quantum dots, formation of metallic nanostructure, and nanophotonic information systems with

  6. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  7. Development of semiconductor laser based Doppler lidars for wind-sensing applications

    DEFF Research Database (Denmark)

    Rodrigo, Peter John; Hu, Qi; Pedersen, Christian

    2015-01-01

    We summarize the progress we have made in the development of semiconductor laser (SL) based Doppler lidar systems for remote wind speed and direction measurements. The SL emitter used in our wind-sensing lidar is an integrated diode laser with a tapered (semiconductor) amplifier. The laser source...

  8. Imaging the motion of electrons in 2D semiconductor heterostructures

    Science.gov (United States)

    Dani, Keshav

    Technological progress since the late 20th century has centered on semiconductor devices, such as transistors, diodes, and solar cells. At the heart of these devices, is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. In this talk, we combine femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy to image the motion of photoexcited electrons from high-energy to low-energy states in a 2D InSe/GaAs heterostructure exhibiting a type-II band alignment. At the instant of photoexcitation, energy-resolved photoelectron images reveal a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observe the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we make a movie lasting a few tens of picoseconds of the electron transfer process in the photoexcited type-II heterostructure - a fundamental phenomenon in semiconductor devices like solar cells. Quantitative analysis and theoretical modeling of spatial variations in the video provide insight into future solar cells, electron dynamics in 2D materials, and other semiconductor devices.

  9. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  10. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  11. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  12. Bandgap Optimization of Perovskite Semiconductors for Photovoltaic Applications.

    Science.gov (United States)

    Xiao, Zewen; Zhou, Yuanyuan; Hosono, Hideo; Kamiya, Toshio; Padture, Nitin P

    2018-02-16

    The bandgap is the most important physical property that determines the potential of semiconductors for photovoltaic (PV) applications. This Minireview discusses the parameters affecting the bandgap of perovskite semiconductors that are being widely studied for PV applications, and the recent progress in the optimization of the bandgaps of these materials. Perspectives are also provided for guiding future research in this area. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  14. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  15. Circuit design techniques for non-crystalline semiconductors

    CERN Document Server

    Sambandan, Sanjiv

    2012-01-01

    Despite significant progress in materials and fabrication technologies related to non-crystalline semiconductors, fundamental drawbacks continue to limit real-world application of these devices in electronic circuits. To help readers deal with problems such as low mobility and intrinsic time variant behavior, Circuit Design Techniques for Non-Crystalline Semiconductors outlines a systematic design approach, including circuit theory, enabling users to synthesize circuits without worrying about the details of device physics. This book: Offers examples of how self-assembly can be used as a powerf

  16. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  17. Hybrid system of semiconductor and photosynthetic protein

    International Nuclear Information System (INIS)

    Kim, Younghye; Shin, Seon Ae; Lee, Jaehun; Yang, Ki Dong; Nam, Ki Tae

    2014-01-01

    Photosynthetic protein has the potential to be a new attractive material for solar energy absorption and conversion. The development of semiconductor/photosynthetic protein hybrids is an example of recent progress toward efficient, clean and nanostructured photoelectric systems. In the review, two biohybrid systems interacting through different communicating methods are addressed: (1) a photosynthetic protein immobilized semiconductor electrode operating via electron transfer and (2) a hybrid of semiconductor quantum dots and photosynthetic protein operating via energy transfer. The proper selection of materials and functional and structural modification of the components and optimal conjugation between them are the main issues discussed in the review. In conclusion, we propose the direction of future biohybrid systems for solar energy conversion systems, optical biosensors and photoelectric devices. (topical reviews)

  18. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  19. Emergent properties resulting from type-II band alignment in semiconductor nanoheterostructures.

    Science.gov (United States)

    Lo, Shun S; Mirkovic, Tihana; Chuang, Chi-Hung; Burda, Clemens; Scholes, Gregory D

    2011-01-11

    The development of elegant synthetic methodologies for the preparation of monocomponent nanocrystalline particles has opened many possibilities for the preparation of heterostructured semiconductor nanostructures. Each of the integrated nanodomains is characterized by its individual physical properties, surface chemistry, and morphology, yet, these multicomponent hybrid particles present ideal systems for the investigation of the synergetic properties that arise from the material combination in a non-additive fashion. Of particular interest are type-II heterostructures, where the relative band alignment of their constituent semiconductor materials promotes a spatial separation of the electron and hole following photoexcitation, a highly desirable property for photovoltaic applications. This article highlights recent progress in both synthetic strategies, which allow for material and architectural modulation of novel nanoheterostructures, as well as the experimental work that provides insight into the photophysical properties of type-II heterostructures. The effects of external factors, such as electric fields, temperature, and solvent are explored in conjunction with exciton and multiexciton dynamics and charge transfer processes typical for type-II semiconductor heterostructures.

  20. The 1989 progress report: Applied Mathematics

    International Nuclear Information System (INIS)

    Nedelec, J.C.

    1989-01-01

    The 1989 progress report of the laboratory of Applied Mathematics of the Polytechnic School (France) is presented. The investigations reported were performed in the following fields: mathematical and numerical aspects of wave propagation, nonlinear hyperbolic fluid mechanics, numerical simulations and mathematical aspects of semiconductors and electron beams, mechanics of solids, plasticity, viscoelasticity, stochastic, automatic and statistic calculations, synthesis and image processing. The published papers, the conferences and the Laboratory staff are listed [fr

  1. Key techniques for space-based solar pumped semiconductor lasers

    Science.gov (United States)

    He, Yang; Xiong, Sheng-jun; Liu, Xiao-long; Han, Wei-hua

    2014-12-01

    In space, the absence of atmospheric turbulence, absorption, dispersion and aerosol factors on laser transmission. Therefore, space-based laser has important values in satellite communication, satellite attitude controlling, space debris clearing, and long distance energy transmission, etc. On the other hand, solar energy is a kind of clean and renewable resources, the average intensity of solar irradiation on the earth is 1353W/m2, and it is even higher in space. Therefore, the space-based solar pumped lasers has attracted much research in recent years, most research focuses on solar pumped solid state lasers and solar pumped fiber lasers. The two lasing principle is based on stimulated emission of the rare earth ions such as Nd, Yb, Cr. The rare earth ions absorb light only in narrow bands. This leads to inefficient absorption of the broad-band solar spectrum, and increases the system heating load, which make the system solar to laser power conversion efficiency very low. As a solar pumped semiconductor lasers could absorb all photons with energy greater than the bandgap. Thus, solar pumped semiconductor lasers could have considerably higher efficiencies than other solar pumped lasers. Besides, solar pumped semiconductor lasers has smaller volume chip, simpler structure and better heat dissipation, it can be mounted on a small satellite platform, can compose satellite array, which can greatly improve the output power of the system, and have flexible character. This paper summarizes the research progress of space-based solar pumped semiconductor lasers, analyses of the key technologies based on several application areas, including the processing of semiconductor chip, the design of small and efficient solar condenser, and the cooling system of lasers, etc. We conclude that the solar pumped vertical cavity surface-emitting semiconductor lasers will have a wide application prospects in the space.

  2. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  3. Efficient Spin Injection into Semiconductor

    International Nuclear Information System (INIS)

    Nahid, M.A.I.

    2010-06-01

    Spintronic research has made tremendous progress nowadays for making future devices obtain extra advantages of low power, and faster and higher scalability compared to present electronic devices. A spintronic device is based on the transport of an electron's spin instead of charge. Efficient spin injection is one of the very important requirements for future spintronic devices. However, the effective spin injection is an exceedingly difficult task. In this paper, the importance of spin injection, basics of spin current and the essential requirements of spin injection are illustrated. The experimental technique of electrical spin injection into semiconductor is also discussed based on the experimental experience. The electrical spin injection can easily be implemented for spin injection into any semiconductor. (author)

  4. Advanced Semiconductor Heterostructures Novel Devices, Potential Device Applications and Basic Properties

    CERN Document Server

    Stroscio, Michael A

    2003-01-01

    This volume provides valuable summaries on many aspects of advanced semiconductor heterostructures and highlights the great variety of semiconductor heterostructures that has emerged since their original conception. As exemplified by the chapters in this book, recent progress on advanced semiconductor heterostructures spans a truly remarkable range of scientific fields with an associated diversity of applications. Some of these applications will undoubtedly revolutionize critically important facets of modern technology. At the heart of these advances is the ability to design and control the pr

  5. Elucidation and control of electronic properties related to organic semiconductors

    International Nuclear Information System (INIS)

    Yamane, Hiroyuki; Ueno, Nobuo; Seki, Kazuhiko

    2009-01-01

    The electronic structure of organic solids and interfaces plays a crucial role in the performance of optoelectronic devices using organic semiconductors such as light-emitting diodes, field-effect transistors, and photovoltaic cells. The functionality of these organic devices is seriously dominated by the geometric structure, which varies depending on the molecular structure and the sample preparation condition. Due to the rapid progress in sample preparation methods and surface science techniques, we can now discuss in detail the correlation of the electronic structure with the geometric structure of organic solids, films, and interfaces. This paper reviews the recent progress of studies in the geometric and electronic structures related to organic semiconductors. (author)

  6. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  7. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  8. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  9. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  10. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  11. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  12. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  13. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  14. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  15. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?

    Science.gov (United States)

    Ishikawa, Kenji; Karahashi, Kazuhiro; Ishijima, Tatsuo; Cho, Sung Il; Elliott, Simon; Hausmann, Dennis; Mocuta, Dan; Wilson, Aaron; Kinoshita, Keizo

    2018-06-01

    In this review, we discuss the progress of emerging dry processes for nanoscale fabrication of high-aspect-ratio features, including emerging design technology for manufacturability. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands of nanoscale deposition and etching technologies for high-aspect-ratio features. The discussion of our atomic-scale understanding of physicochemical reactions involving ion bombardment and neutral transport presents the major challenges shared across the plasma science and technology community. Focus is placed on advances in fabrication technology that control surface reactions on three-dimensional features, as well as state-of-the-art techniques used in semiconductor manufacturing with a brief summary of future challenges.

  16. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  17. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  18. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  19. Perovskite Solar Cells: Progress and Advancements

    Directory of Open Access Journals (Sweden)

    Naveen Kumar Elumalai

    2016-10-01

    Full Text Available Organic–inorganic hybrid perovskite solar cells (PSCs have emerged as a new class of optoelectronic semiconductors that revolutionized the photovoltaic research in the recent years. The perovskite solar cells present numerous advantages include unique electronic structure, bandgap tunability, superior charge transport properties, facile processing, and low cost. Perovskite solar cells have demonstrated unprecedented progress in efficiency and its architecture evolved over the period of the last 5–6 years, achieving a high power conversion efficiency of about 22% in 2016, serving as a promising candidate with the potential to replace the existing commercial PV technologies. This review discusses the progress of perovskite solar cells focusing on aspects such as superior electronic properties and unique features of halide perovskite materials compared to that of conventional light absorbing semiconductors. The review also presents a brief overview of device architectures, fabrication methods, and interface engineering of perovskite solar cells. The last part of the review elaborates on the major challenges such as hysteresis and stability issues in perovskite solar cells that serve as a bottleneck for successful commercialization of this promising PV technology.

  20. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  1. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  2. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  3. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  4. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  5. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  6. Photooxidation of organic wastes using semiconductor nanoclusters. 1998 annual progress report

    International Nuclear Information System (INIS)

    Wilcoxon, J.P.

    1998-01-01

    'This report summarizes work after 1.5 years of a 3-year project. The authors efforts have focused on demonstration of photocatalysis of organic pollutants using nanosize MoS 2 . They investigated the effects of (1) bandgap, valence and conduction band energies; (2) surface modification of MoS 2 by deposition of metal and metal oxide islands to enhance electron transfer; and (3) use of semi-conductor semi-conductor composites to achieve improved charge separation and thus photooxidation of pollutants. They synthesized and studied nanosize MoS 2 of three different sizes and associated bandgaps and studied photoredox reactions of nanosize MoS 2 dispersed in solution and supported on a macroscopic powder. The latter would be the method of choice for use as a practical photocatalyst for water purification. As they emphasized in the original proposal, MoS 2 in nanosize form can be tuned to absorb various amounts of the solar spectrum. They discovered there is an optimal choice of absorbance characteristics and valence and conduction band levels which allow the rapid photo-oxidation of a chosen organic molecule. The advantages of having a photostable material with a tunable bandgap were demonstrated in an experiment where phenol destruction with visible (> 450 nm) light occurred at a dramatically faster rate with nanoscale MoS 2 catalysts compared to the best available previous material TiO 2 . This was the first demonstration of rapid photooxidation of an organic molecule using a completely photostable catalyst and only visible light. The possibility of transferring electrons or holes between nanoscale MoS 2 and other semiconductor materials in order to increase electron/hole lifetimes were explored. It was shown that small amounts ( 2 deposited on to TiO 2 can lead to significant (∼2) enhancements of phenol destruction rates. A number of different chemicals were photocatalyzed sucessfully to CO 2 , but most of the work centered on the destruction of phenol. This

  7. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  8. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  9. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  10. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. The Promoting Role of Different Carbon Allotropes Cocatalysts for Semiconductors in Photocatalytic Energy Generation and Pollutants Degradation

    Directory of Open Access Journals (Sweden)

    Weiwei Han

    2017-10-01

    Full Text Available Semiconductor based photocatalytic process is of great potential for solving the fossil fuels depletion and environmental pollution. Loading cocatalysts for the modification of semiconductors could increase the separation efficiency of the photogenerated hole-electron pairs, enhance the light absorption ability of semiconductors, and thus obtain new composite photocatalysts with high activities. Kinds of carbon allotropes, such as activated carbon, carbon nanotubes, graphene, and carbon quantum dots have been used as effective cocatalysts to enhance the photocatalytic activities of semiconductors, making them widely used for photocatalytic energy generation, and pollutants degradation. This review focuses on the loading of different carbon allotropes as cocatalysts in photocatalysis, and summarizes the recent progress of carbon materials based photocatalysts, including their synthesis methods, the typical applications, and the activity enhancement mechanism. Moreover, the cocatalytic effect among these carbon cocatalysts is also compared for different applications. We believe that our work can provide enriched information to harvest the excellent special properties of carbon materials as a platform to develop more efficient photocatalysts for solar energy utilization.

  13. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  14. Semiconductor devices for entangled photon pair generation: a review

    Science.gov (United States)

    Orieux, Adeline; Versteegh, Marijn A. M.; Jöns, Klaus D.; Ducci, Sara

    2017-07-01

    Entanglement is one of the most fascinating properties of quantum mechanical systems; when two particles are entangled the measurement of the properties of one of the two allows the properties of the other to be instantaneously known, whatever the distance separating them. In parallel with fundamental research on the foundations of quantum mechanics performed on complex experimental set-ups, we assist today with bourgeoning of quantum information technologies bound to exploit entanglement for a large variety of applications such as secure communications, metrology and computation. Among the different physical systems under investigation, those involving photonic components are likely to play a central role and in this context semiconductor materials exhibit a huge potential in terms of integration of several quantum components in miniature chips. In this article we review the recent progress in the development of semiconductor devices emitting entangled photons. We will present the physical processes allowing the generation of entanglement and the tools to characterize it; we will give an overview of major recent results of the last few years and highlight perspectives for future developments.

  15. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  16. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  17. Advances in defect characterizations of semiconductors using positrons

    International Nuclear Information System (INIS)

    Lynn, K.G.; Asoka-Kumar, P.

    1996-01-01

    Positron Annihilation Spectroscopy (PAS) is a sensitive probe for studying the electronic structure of defects in solids. The authors summarize recent developments in defect characterization of semiconductors using depth-resolved PAS. The progress achieved in extending the capabilities of the PAS method is also described

  18. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  19. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  20. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  1. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  2. Semiconductor X-ray detectors

    CERN Document Server

    Lowe, Barrie Glyn

    2014-01-01

    Identifying and measuring the elemental x-rays released when materials are examined with particles (electrons, protons, alpha particles, etc.) or photons (x-rays and gamma rays) is still considered to be the primary analytical technique for routine and non-destructive materials analysis. The Lithium Drifted Silicon (Si(Li)) X-Ray Detector, with its good resolution and peak to background, pioneered this type of analysis on electron microscopes, x-ray fluorescence instruments, and radioactive source- and accelerator-based excitation systems. Although rapid progress in Silicon Drift Detectors (SDDs), Charge Coupled Devices (CCDs), and Compound Semiconductor Detectors, including renewed interest in alternative materials such as CdZnTe and diamond, has made the Si(Li) X-Ray Detector nearly obsolete, the device serves as a useful benchmark and still is used in special instances where its large, sensitive depth is essential. Semiconductor X-Ray Detectors focuses on the history and development of Si(Li) X-Ray Detect...

  3. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  4. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  5. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  6. Progress in nanophotonics 1

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsu, Motoichi (ed.) [Tokyo Univ. (Japan). Graduate School of Engineering

    2011-07-01

    This book focuses on the recent progress in nanophotonics technology to be used to develop novel nano-optical devices, fabrication technology, and security systems. It begins with a review of the concept of dressed photons and applications to devices, fabrication, and systems; principles and applications. Further topics include: DNA process for quantum dot chain, photon enhanced emission microscopy, near field spectroscopy of metallic nanostructure, self-organized fabrication of composite semiconductor quantum dots, formation of metallic nanostructure, and nanophotonic information systems with security. These topics are reviewed by seven leading scientists. This overview is a variable resource for engineers and scientists working in the field of nanophotonics. (orig.)

  7. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  8. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  9. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  10. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  11. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  12. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  13. Progress in Piezo-Phototronic-Effect-Enhanced Light-Emitting Diodes and Pressure Imaging.

    Science.gov (United States)

    Pan, Caofeng; Chen, Mengxiao; Yu, Ruomeng; Yang, Qing; Hu, Youfan; Zhang, Yan; Wang, Zhong Lin

    2016-02-24

    Wurtzite materials exhibit both semiconductor and piezoelectric properties under strains due to the non-central symmetric crystal structures. The three-way coupling of semiconductor properties, piezoelectric polarization and optical excitation in ZnO, GaN, CdS and other piezoelectric semiconductors leads to the emerging field of piezo-phototronics. This effect can efficiently manipulate the emission intensity of light-emitting diodes (LEDs) by utilizing the piezo-polarization charges created at the junction upon straining to modulate the energy band diagrams and the optoelectronic processes, such as generation, separation, recombination and/or transport of charge carriers. Starting from fundamental physics principles, recent progress in piezo-phototronic-effect-enhanced LEDs is reviewed; following their development from single-nanowire pressure-sensitive devices to high-resolution array matrices for pressure-distribution mapping applications. The piezo-phototronic effect provides a promising method to enhance the light emission of LEDs based on piezoelectric semiconductors through applying static strains, and may find perspective applications in various optoelectronic devices and integrated systems. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  15. Majorana zero modes in superconductor-semiconductor heterostructures

    Science.gov (United States)

    Lutchyn, R. M.; Bakkers, E. P. A. M.; Kouwenhoven, L. P.; Krogstrup, P.; Marcus, C. M.; Oreg, Y.

    2018-05-01

    Realizing topological superconductivity and Majorana zero modes in the laboratory is a major goal in condensed-matter physics. In this Review, we survey the current status of this rapidly developing field, focusing on proposals for the realization of topological superconductivity in semiconductor-superconductor heterostructures. We examine materials science progress in growing InAs and InSb semiconductor nanowires and characterizing these systems. We then discuss the observation of robust signatures of Majorana zero modes in recent experiments, paying particular attention to zero-bias tunnelling conduction measurements and Coulomb blockade experiments. We also outline several next-generation experiments probing exotic properties of Majorana zero modes, including fusion rules and non-Abelian exchange statistics. Finally, we discuss prospects for implementing Majorana-based topological quantum computation.

  16. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  17. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  18. Toward printed integrated circuits based on unipolar or ambipolar polymer semiconductors.

    Science.gov (United States)

    Baeg, Kang-Jun; Caironi, Mario; Noh, Yong-Young

    2013-08-21

    For at least the past ten years printed electronics has promised to revolutionize our daily life by making cost-effective electronic circuits and sensors available through mass production techniques, for their ubiquitous applications in wearable components, rollable and conformable devices, and point-of-care applications. While passive components, such as conductors, resistors and capacitors, had already been fabricated by printing techniques at industrial scale, printing processes have been struggling to meet the requirements for mass-produced electronics and optoelectronics applications despite their great potential. In the case of logic integrated circuits (ICs), which constitute the focus of this Progress Report, the main limitations have been represented by the need of suitable functional inks, mainly high-mobility printable semiconductors and low sintering temperature conducting inks, and evoluted printing tools capable of higher resolution, registration and uniformity than needed in the conventional graphic arts printing sector. Solution-processable polymeric semiconductors are the best candidates to fulfill the requirements for printed logic ICs on flexible substrates, due to their superior processability, ease of tuning of their rheology parameters, and mechanical properties. One of the strongest limitations has been mainly represented by the low charge carrier mobility (μ) achievable with polymeric, organic field-effect transistors (OFETs). However, recently unprecedented values of μ ∼ 10 cm(2) /Vs have been achieved with solution-processed polymer based OFETs, a value competing with mobilities reported in organic single-crystals and exceeding the performances enabled by amorphous silicon (a-Si). Interestingly these values were achieved thanks to the design and synthesis of donor-acceptor copolymers, showing limited degree of order when processed in thin films and therefore fostering further studies on the reason leading to such improved charge

  19. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  20. Progress in a novel architecture for high performance processing

    Science.gov (United States)

    Zhang, Zhiwei; Liu, Meng; Liu, Zijun; Du, Xueliang; Xie, Shaolin; Ma, Hong; Ding, Guangxin; Ren, Weili; Zhou, Fabiao; Sun, Wenqin; Wang, Huijuan; Wang, Donglin

    2018-04-01

    The high performance processing (HPP) is an innovative architecture which targets on high performance computing with excellent power efficiency and computing performance. It is suitable for data intensive applications like supercomputing, machine learning and wireless communication. An example chip with four application-specific integrated circuit (ASIC) cores which is the first generation of HPP cores has been taped out successfully under Taiwan Semiconductor Manufacturing Company (TSMC) 40 nm low power process. The innovative architecture shows great energy efficiency over the traditional central processing unit (CPU) and general-purpose computing on graphics processing units (GPGPU). Compared with MaPU, HPP has made great improvement in architecture. The chip with 32 HPP cores is being developed under TSMC 16 nm field effect transistor (FFC) technology process and is planed to use commercially. The peak performance of this chip can reach 4.3 teraFLOPS (TFLOPS) and its power efficiency is up to 89.5 gigaFLOPS per watt (GFLOPS/W).

  1. Engineering of Semiconductor Nanocrystals for Light Emitting Applications

    Directory of Open Access Journals (Sweden)

    Francesco Todescato

    2016-08-01

    Full Text Available Semiconductor nanocrystals are rapidly spreading into the display and lighting markets. Compared with liquid crystal and organic LED displays, nanocrystalline quantum dots (QDs provide highly saturated colors, wide color gamut, resolution, rapid response time, optical efficiency, durability and low cost. This remarkable progress has been made possible by the rapid advances in the synthesis of colloidal QDs and by the progress in understanding the intriguing new physics exhibited by these nanoparticles. In this review, we provide support to the idea that suitably engineered core/graded-shell QDs exhibit exceptionally favorable optical properties, photoluminescence and optical gain, while keeping the synthesis facile and producing QDs well suited for light emitting applications. Solid-state laser emitters can greatly profit from QDs as efficient gain materials. Progress towards fabricating low threshold, solution processed DFB lasers that are optically pumped using one- and two-photon absorption is reviewed. In the field of display technologies, the exploitation of the exceptional photoluminescence properties of QDs for LCD backlighting has already advanced to commercial levels. The next big challenge is to develop the electroluminescence properties of QD to a similar state. We present an overview of QLED devices and of the great perspectives for next generation display and lighting technologies.

  2. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  3. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  4. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  5. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    achievements in the June 1987 Special Issue of IEEE Journal of Quantum Electronics. The Millennium Issue of IEEE Journal of Selected Topics in Quantum Electronics presented a further set of articles on historical aspects of the subject as well as a 'snapshot' of current research in June 2000. It is not the intention here to duplicate any of this historical material that is already available, but rather to complement it with personal recollections from researchers who were involved in laser development in the USA, France, Russia and the UK. Hence, in addition to fascinating accounts of the discovery of the theoretical condition for stimulated emission from semiconductors and of the pioneering work at IBM, there are two complementary views of the laser research at the Lebedev Institute, and personal insights into the developments at STL and at Bell Laboratories. These are followed by an account of the scientific and technological connections between the early pioneering breakthroughs and the commercialisation of semiconductor laser products. Turning to the papers from today's researchers, there is coverage of many of the current 'hot' topics including quantum cascade lasers, mid-infrared lasers, high-power lasers, the exciting developments in understanding and exploiting the nonlinear dynamics of lasers, and photonic integrated circuits with extremely high communication data capacity, as well as reports of recent progress on laser materials such as dilute nitrides and bismides, photonic crystals, quantum dots and organic semiconductors. Thanks are due to Jarlath McKenna for sterling support from IOP Publishing and to Peter Blood for instigating this Special Issue and inviting us to serve as Guest Editors.

  6. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  7. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  8. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  9. Molecular Electrical Doping of Organic Semiconductors: Fundamental Mechanisms and Emerging Dopant Design Rules.

    Science.gov (United States)

    Salzmann, Ingo; Heimel, Georg; Oehzelt, Martin; Winkler, Stefanie; Koch, Norbert

    2016-03-15

    Today's information society depends on our ability to controllably dope inorganic semiconductors, such as silicon, thereby tuning their electrical properties to application-specific demands. For optoelectronic devices, organic semiconductors, that is, conjugated polymers and molecules, have emerged as superior alternative owing to the ease of tuning their optical gap through chemical variability and their potential for low-cost, large-area processing on flexible substrates. There, the potential of molecular electrical doping for improving the performance of, for example, organic light-emitting devices or organic solar cells has only recently been established. The doping efficiency, however, remains conspicuously low, highlighting the fact that the underlying mechanisms of molecular doping in organic semiconductors are only little understood compared with their inorganic counterparts. Here, we review the broad range of phenomena observed upon molecularly doping organic semiconductors and identify two distinctly different scenarios: the pairwise formation of both organic semiconductor and dopant ions on one hand and the emergence of ground state charge transfer complexes between organic semiconductor and dopant through supramolecular hybridization of their respective frontier molecular orbitals on the other hand. Evidence for the occurrence of these two scenarios is subsequently discussed on the basis of the characteristic and strikingly different signatures of the individual species involved in the respective doping processes in a variety of spectroscopic techniques. The critical importance of a statistical view of doping, rather than a bimolecular picture, is then highlighted by employing numerical simulations, which reveal one of the main differences between inorganic and organic semiconductors to be their respective density of electronic states and the doping induced changes thereof. Engineering the density of states of doped organic semiconductors, the Fermi

  10. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de

  11. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  12. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  13. Influence of energy bands on the Hall effect in degenerate semiconductors

    International Nuclear Information System (INIS)

    Wu, Chhi-Chong; Tsai, Jensan

    1989-01-01

    The influence of energy bands on the Hall effect and transverse magnetoresistance has been investigated according to the scattering processes of carriers in degenerate semiconductors such as InSb. Results show that the Hall angle, Hall coefficient, and transverse magnetoresistance depend on the dc magnetic field for both parabolic and nonparabolic band structures of semiconductors and also depend on the scattering processes of carriers in semiconductors due to the energy-dependent relaxation time. From their numerical analysis for the Hall effect, it is shown that the conduction electrons in degenerate semiconductors play a major role for the carrier transport phenomenon. By comparing with experimental data of the transverse magnetoresistance, it shows that the nonparabolic band model is better in agreement with the experimental work than the parabolic band model of semiconductors

  14. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  15. Photo-induced transformation process at gold clusters-semiconductor interface: Implications for the complexity of gold clusters-based photocatalysis

    Science.gov (United States)

    Liu, Siqi; Xu, Yi-Jun

    2016-03-01

    The recent thrust in utilizing atomically precise organic ligands protected gold clusters (Au clusters) as photosensitizer coupled with semiconductors for nano-catalysts has led to the claims of improved efficiency in photocatalysis. Nonetheless, the influence of photo-stability of organic ligands protected-Au clusters at the Au/semiconductor interface on the photocatalytic properties remains rather elusive. Taking Au clusters-TiO2 composites as a prototype, we for the first time demonstrate the photo-induced transformation of small molecular-like Au clusters to larger metallic Au nanoparticles under different illumination conditions, which leads to the diverse photocatalytic reaction mechanism. This transformation process undergoes a diffusion/aggregation mechanism accompanied with the onslaught of Au clusters by active oxygen species and holes resulting from photo-excited TiO2 and Au clusters. However, such Au clusters aggregation can be efficiently inhibited by tuning reaction conditions. This work would trigger rational structural design and fine condition control of organic ligands protected-metal clusters-semiconductor composites for diverse photocatalytic applications with long-term photo-stability.

  16. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  17. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  18. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  19. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  20. Reduced filamentation in high power semiconductor lasers

    DEFF Research Database (Denmark)

    Skovgaard, Peter M. W.; McInerney, John; O'Brien, Peter

    1999-01-01

    High brightness semiconductor lasers have applications in fields ranging from material processing to medicine. The main difficulty associated with high brightness is that high optical power densities cause damage to the laser facet and thus require large apertures. This, in turn, results in spatio......-temporal instabilities such as filamentation which degrades spatial coherence and brightness. We first evaluate performance of existing designs with a “top-hat” shaped transverse current density profile. The unstable nature of highly excited semiconductor material results in a run-away process where small modulations...

  1. Quantum Dot Semiconductor Optical Amplifiers - Physics and Applications

    DEFF Research Database (Denmark)

    Berg, Tommy Winther

    2004-01-01

    This thesis describes the physics and applications of quantum dot semiconductor optical amplifiers based on numerical simulations. These devices possess a number of unique properties compared with other types of semiconductor amplifiers, which should allow enhanced performance of semiconductor...... respects is comparable to those of fiber amplifiers. The possibility of inverting the optically active states to a large degree is essential in order to achieve this performance. Optical signal processing through cross gain modulation and four wave mixing is modeled and described. For both approaches...... and QW devices and to experiments on quantum dot amplifiers. These comparisons outline the qualitative differences between the different types of amplifiers. In all cases focus is put on the physical processes responsible the differences....

  2. Excitonic processes at organic heterojunctions

    Science.gov (United States)

    He, ShouJie; Lu, ZhengHong

    2018-02-01

    Understanding excitonic processes at organic heterojunctions is crucial for development of organic semiconductor devices. This article reviews recent research on excitonic physics that involve intermolecular charge transfer (CT) excitons, and progress on understanding relationships between various interface energy levels and key parameters governing various competing interface excitonic processes. These interface excitonic processes include radiative exciplex emission, nonradiative recombination, Auger electron emission, and CT exciton dissociation. This article also reviews various device applications involving interface CT excitons, such as organic light-emitting diodes (OLEDs), organic photovoltaic cells, organic rectifying diodes, and ultralow-voltage Auger OLEDs.

  3. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  4. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  5. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  6. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  7. Evaluation of semiconductor gas sensor system for ethanol determination during fermentation processes

    Energy Technology Data Exchange (ETDEWEB)

    Picque, D; Corrieu, G

    1988-10-01

    Using commercial gas sensitive semi-conductors, an ethanol sensor has been constructed which operates by direct immersion in fermentation media. The calibration range of 0.1 to 10 or 13 % depending on the component. However, they are very often subjected to considerable drift (in the same case up to 10 %/h of the measured value). The electrical resistance of component may vary by a factor of 1 to 5 for a well-defined ethanol concentration. The effects of temperature changes in fermentation media are easily compensated. Other volatile compounds (methanol, ammonia,...) substantially affect component responses. Thus, all work on sensors requires careful calibration. Wine fermentation processes can be monitored satisfactorily, providing the sensor is recalibrated about every six hours.

  8. Epitaxial crystal growth by sputter deposition: Applications to semiconductors. Part 2

    International Nuclear Information System (INIS)

    Greene, J.E.

    1984-01-01

    The understanding of the physics of ion-surface interactions has progressed sufficiently to allow sputter depositinn to be used as a crystal growth technique for depositing a wide variety of single crystal elemental, compound, alloy, and superlattice semiconductors. In many cases, films with essentially bulk values of carrier concentrations and mobilities have been obtained. The controlled use of low energy particle bombardment of the growing film during sputter deposition has been shown to affect all stages of crystal growth ranging from adatom mobilities and nucleation kinetics to elemental incorporation probabilities. Such effects provide inherent advantages for sputter deposition over other vapor phase techniques for the low temperature growth of compound and alloy semiconductors and are essential in allowing the growth of new and unique single crystal metastable semiconductors. Part 1 of this review includes sections on experimental techniques, the physics of ion-surface interactions, and ion bombardment effects on film nucleation and growth, while Part 2 presents a discussion of recent results in the growth of elemental, III-V, II-VI, IV-VI, metastable, and other compound semiconductors

  9. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  10. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  11. Hyperentangled photon sources in semiconductor waveguides

    DEFF Research Database (Denmark)

    Kang, Dongpeng; Helt, L. G.; Zhukovsky, Sergei

    2014-01-01

    We propose and analyze the performance of a technique to generate mode and polarization hyperentangled photons in monolithic semiconductor waveguides using two concurrent type-II spontaneous parametric down-conversion (SPDC) processes. These two SPDC processes are achieved by waveguide engineering...

  12. Quantum dynamical simulation of photoinduced electron transfer processes in dye-semiconductor systems: theory and application to coumarin 343 at TiO₂.

    Science.gov (United States)

    Li, Jingrui; Kondov, Ivan; Wang, Haobin; Thoss, Michael

    2015-04-10

    A recently developed methodology to simulate photoinduced electron transfer processes at dye-semiconductor interfaces is outlined. The methodology employs a first-principles-based model Hamiltonian and accurate quantum dynamics simulations using the multilayer multiconfiguration time-dependent Hartree approach. This method is applied to study electron injection in the dye-semiconductor system coumarin 343-TiO2. Specifically, the influence of electronic-vibrational coupling is analyzed. Extending previous work, we consider the influence of Dushinsky rotation of the normal modes as well as anharmonicities of the potential energy surfaces on the electron transfer dynamics.

  13. Temperature control of power semiconductor devices in traction applications

    Science.gov (United States)

    Pugachev, A. A.; Strekalov, N. N.

    2017-02-01

    The peculiarity of thermal management of traction frequency converters of a railway rolling stock is highlighted. The topology and the operation principle of the automatic temperature control system of power semiconductor modules of the traction frequency converter are designed and discussed. The features of semiconductors as an object of temperature control are considered; the equivalent circuit of thermal processes in the semiconductors is suggested, the power losses in the two-level voltage source inverters are evaluated and analyzed. The dynamic properties and characteristics of the cooling fan induction motor electric drive with the scalar control are presented. The results of simulation in Matlab are shown for the steady state of thermal processes.

  14. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  15. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  16. Tantalum-based semiconductors for solar water splitting.

    Science.gov (United States)

    Zhang, Peng; Zhang, Jijie; Gong, Jinlong

    2014-07-07

    Solar energy utilization is one of the most promising solutions for the energy crises. Among all the possible means to make use of solar energy, solar water splitting is remarkable since it can accomplish the conversion of solar energy into chemical energy. The produced hydrogen is clean and sustainable which could be used in various areas. For the past decades, numerous efforts have been put into this research area with many important achievements. Improving the overall efficiency and stability of semiconductor photocatalysts are the research focuses for the solar water splitting. Tantalum-based semiconductors, including tantalum oxide, tantalate and tantalum (oxy)nitride, are among the most important photocatalysts. Tantalum oxide has the band gap energy that is suitable for the overall solar water splitting. The more negative conduction band minimum of tantalum oxide provides photogenerated electrons with higher potential for the hydrogen generation reaction. Tantalates, with tunable compositions, show high activities owning to their layered perovskite structure. (Oxy)nitrides, especially TaON and Ta3N5, have small band gaps to respond to visible-light, whereas they can still realize overall solar water splitting with the proper positions of conduction band minimum and valence band maximum. This review describes recent progress regarding the improvement of photocatalytic activities of tantalum-based semiconductors. Basic concepts and principles of solar water splitting will be discussed in the introduction section, followed by the three main categories regarding to the different types of tantalum-based semiconductors. In each category, synthetic methodologies, influencing factors on the photocatalytic activities, strategies to enhance the efficiencies of photocatalysts and morphology control of tantalum-based materials will be discussed in detail. Future directions to further explore the research area of tantalum-based semiconductors for solar water splitting

  17. Infrared colloidal quantum dots for photovoltaics: fundamentals and recent progress

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Jiang [Department of Materials Science and Engineering, University of Toronto, 184 College Street, Toronto, Ontario M5S 3E4 (Canada); Sargent, Edward H. [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada)

    2011-01-04

    Colloidal quantum dots (CQDs) are solution-processed semiconductors of interest in low-cost photovoltaics. Tuning of the bandgap of CQD films via the quantum size effect enables customization of solar cells' absorption profile to match the sun's broad visible- and infrared-containing spectrum reaching the earth. Here we review recent progress in the realization of low-cost, efficient solar cells based on CQDs. We focus in particular on CQD materials and approaches that provide both infrared and visible-wavelength solar power conversion CQD photovoltaics now exceed 5% solar power conversion efficiency, achieved by the introduction of a new architecture, the depleted-heterojunction CQD solar cell, that jointly maximizes current, voltage, and fill factor. CQD solar cells have also seen major progress in materials processing for stability, recently achieving extended operating lifetimes in an air ambient. We summarize progress both in device operation and also in gaining new insights into materials properties and processing - including new electrical contact materials and deposition techniques, as well as CQD synthesis, surface treatments, film-forming technologies - that underpin these rapid advances. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Recent advances in photoelectrochemistry. Part 1. Preparation and photocatalytic activities of semiconductor microcrystals; Saikin no hikari denki kagaku. 1. Handotai chobiryushi no chosei to hikari shokubai kassei

    Energy Technology Data Exchange (ETDEWEB)

    Yoneyama, H; Torimoto, T [Osaka Univ., Osaka (Japan). Faculty of Engineering

    1995-01-05

    The energy structure of semiconductor microcrystals with less than 10nm particle size is different from that of bulk semiconductor, and the reducing force of electrons and the oxidizing force of holes produced by light in microcrystals are larger than those of bulk semiconductor. Focusing on the application of semiconductor microcrystals to photocatalysis, the effects of the particle size and surface conditions of particles on photocatalytic activity are discussed. It has been shown that the change in the characteristics of semiconductor microcrystals depends on particle size, and microcrystals with narrow distribution of particle sized is necessary for the study of the characteristics of semiconductor microcrystals. An example of high efficient progress of CO2 direct reduction by the use of semiconductor microcrystals is introduced. It has been made clear that the photocatalytic activity of semiconductor is improved when a small amount of electrode catalyst is supported in it. A unique photocatalytic reaction which can not be observed with bulk particles can be progressed by the use of high oxidation and reduction ability caused by quantum size effect of semiconductor microcrystals. 26 refs., 2 figs., 1 tab.

  19. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  20. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  1. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  2. Quantum control and process tomography of a semiconductor quantum dot hybrid qubit.

    Science.gov (United States)

    Kim, Dohun; Shi, Zhan; Simmons, C B; Ward, D R; Prance, J R; Koh, Teck Seng; Gamble, John King; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, Mark A

    2014-07-03

    The similarities between gated quantum dots and the transistors in modern microelectronics--in fabrication methods, physical structure and voltage scales for manipulation--have led to great interest in the development of quantum bits (qubits) in semiconductor quantum dots. Although quantum dot spin qubits have demonstrated long coherence times, their manipulation is often slower than desired for important future applications, such as factoring. Furthermore, scalability and manufacturability are enhanced when qubits are as simple as possible. Previous work has increased the speed of spin qubit rotations by making use of integrated micromagnets, dynamic pumping of nuclear spins or the addition of a third quantum dot. Here we demonstrate a qubit that is a hybrid of spin and charge. It is simple, requiring neither nuclear-state preparation nor micromagnets. Unlike previous double-dot qubits, the hybrid qubit enables fast rotations about two axes of the Bloch sphere. We demonstrate full control on the Bloch sphere with π-rotation times of less than 100 picoseconds in two orthogonal directions, which is more than an order of magnitude faster than any other double-dot qubit. The speed arises from the qubit's charge-like characteristics, and its spin-like features result in resistance to decoherence over a wide range of gate voltages. We achieve full process tomography in our electrically controlled semiconductor quantum dot qubit, extracting high fidelities of 85 per cent for X rotations (transitions between qubit states) and 94 per cent for Z rotations (phase accumulation between qubit states).

  3. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1982-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices in which the device is rapidly heated to a temperature between 450 and 900 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. (author)

  4. Si-semiconductor device failure mechanisms

    International Nuclear Information System (INIS)

    Clauss, H.

    1976-12-01

    This report presents investigations on failure mechanisms that may cause defects during production and operation of silicon semiconductor devices. The failure analysis of aluminium metallization defects covers topics such as step coverage, dissolution pits and electromigration. Furthermore, the generation of process induced lattice defects was investigated. Improved processes avoiding those defects were developed. (orig.) [de

  5. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  6. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  7. Single photon sources with single semiconductor quantum dots

    Science.gov (United States)

    Shan, Guang-Cun; Yin, Zhang-Qi; Shek, Chan Hung; Huang, Wei

    2014-04-01

    In this contribution, we briefly recall the basic concepts of quantum optics and properties of semiconductor quantum dot (QD) which are necessary to the understanding of the physics of single-photon generation with single QDs. Firstly, we address the theory of quantum emitter-cavity system, the fluorescence and optical properties of semiconductor QDs, and the photon statistics as well as optical properties of the QDs. We then review the localization of single semiconductor QDs in quantum confined optical microcavity systems to achieve their overall optical properties and performances in terms of strong coupling regime, efficiency, directionality, and polarization control. Furthermore, we will discuss the recent progress on the fabrication of single photon sources, and various approaches for embedding single QDs into microcavities or photonic crystal nanocavities and show how to extend the wavelength range. We focus in particular on new generations of electrically driven QD single photon source leading to high repetition rates, strong coupling regime, and high collection efficiencies at elevated temperature operation. Besides, new developments of room temperature single photon emission in the strong coupling regime are reviewed. The generation of indistinguishable photons and remaining challenges for practical single-photon sources are also discussed.

  8. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  9. Development of n-type polymer semiconductors for organic field-effect transistors

    International Nuclear Information System (INIS)

    Choi, Jongwan; Kim, Nakjoong; Song, Heeseok; Kim, Felix Sunjoo

    2015-01-01

    We review herein the development of unipolar n-type polymer semiconductors in organic field-effect transistors, which would enable large-scale deployment of printed electronics in combination with a fast-growing area of p-type counterparts. After discussing general features of electron transport in organic semiconductors, various π-conjugated polymers that are capable of transporting electrons are selected and summarized to outline the design principles for enhancing electron mobility and stability in air. The n-type polymer semiconductors with high electron mobility and good stability in air share common features of low-lying frontier molecular orbital energy levels achieved by design. In this review, materials are listed in roughly chronological order of the appearance of the key building blocks, such as various arylene diimides, or structural characteristics, including nitrile and fluorinated groups, in order to present the progress in the area of n-type polymers. (paper)

  10. From Coherently Excited Highly Correlated States to Incoherent Relaxation Processes in Semiconductors

    International Nuclear Information System (INIS)

    Scha''fer, W.; Lo''venich, R.; Fromer, N. A.; Chemla, D. S.

    2001-01-01

    Recent theories of highly excited semiconductors are based on two formalisms, referring to complementary experimental conditions, the real-time nonequilibrium Green's function techniques and the coherently controlled truncation of the many-particle problem. We present a novel many-particle theory containing both of these methods as limiting cases. As a first example of its application, we investigate four-particle correlations in a strong magnetic field including dephasing resulting from the growth of incoherent one-particle distribution functions. Our results are the first rigorous solution concerning formation and decay of four-particle correlations in semiconductors. They are in excellent agreement with experimental data

  11. Non-markovian effects in semiconductor cavity QED: Role of phonon-mediated processes

    DEFF Research Database (Denmark)

    Nielsen, Per Kær; Nielsen, Torben Roland; Lodahl, Peter

    We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from the pola......We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from...... the polaritonic quasi-particle nature of the carrier-photon system interacting with the phonon reservoir....

  12. Progress in commercial TXRF spectrometer for semiconductors

    International Nuclear Information System (INIS)

    Nishihagi, K.

    2000-01-01

    In the scale down of ULSI devices, it is required to reduce contamination of metal or particle due to get higher yield. For the metallic contamination, we are trying to develop to get higher sensitivity in direct-TXRF method, however, VPD-TXRF is the most important method to get 10 7 to 10 8 atoms/cm 2 sensitivity. For the particle contamination, we have developed software to link TXRF spectrometer with particle counter because not only position or size but also composition analysis have got required. In semiconductor industries, there are two important changing for improvement on production management system as COO (Cost Of Ownership) or standardization. One is the size of wafer has changed from 200 mm to 300 mm. Against this, we have redesigned TXRF spectrometer for 300 mm wafer without down of sensitivity. The other is the production system has become completely automated. On this point, we have also redesigned to link TXRF spectrometer with SMIF (Standard Mechanical Interface) or FOUP (Front Opening Unified Pod) as mini-environment, and with SECS 2 (SEMI Equipment Communications Standard 2) or GEM 300 (Generic Equipment Model) as automatically standardization. We shall also introduce some applications about new materials using TXRF such as Ta 2 O 5 , ZrO 2 and HfO 2 for high-k materials, and also Ru, SRO and IrO 2 for electrode materials. Furthermore, we shall introduce our new equipment for thickness and composition analysis such as PZT, BST and MOCVD TiN thin films. (author)

  13. Recent Progress on Data-Based Optimization for Mineral Processing Plants

    Directory of Open Access Journals (Sweden)

    Jinliang Ding

    2017-04-01

    Full Text Available In the globalized market environment, increasingly significant economic and environmental factors within complex industrial plants impose importance on the optimization of global production indices; such optimization includes improvements in production efficiency, product quality, and yield, along with reductions of energy and resource usage. This paper briefly overviews recent progress in data-driven hybrid intelligence optimization methods and technologies in improving the performance of global production indices in mineral processing. First, we provide the problem description. Next, we summarize recent progress in data-based optimization for mineral processing plants. This optimization consists of four layers: optimization of the target values for monthly global production indices, optimization of the target values for daily global production indices, optimization of the target values for operational indices, and automation systems for unit processes. We briefly overview recent progress in each of the different layers. Finally, we point out opportunities for future works in data-based optimization for mineral processing plants.

  14. Progressing batch hydrolysis process

    Science.gov (United States)

    Wright, J.D.

    1985-01-10

    A progressive batch hydrolysis process is disclosed for producing sugar from a lignocellulosic feedstock. It comprises passing a stream of dilute acid serially through a plurality of percolation hydrolysis reactors charged with feed stock, at a flow rate, temperature and pressure sufficient to substantially convert all the cellulose component of the feed stock to glucose. The cooled dilute acid stream containing glucose, after exiting the last percolation hydrolysis reactor, serially fed through a plurality of pre-hydrolysis percolation reactors, charged with said feedstock, at a flow rate, temperature and pressure sufficient to substantially convert all the hemicellulose component of said feedstock to glucose. The dilute acid stream containing glucose is cooled after it exits the last prehydrolysis reactor.

  15. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  16. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  17. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  18. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  19. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  20. Recent progress in tungsten oxides based memristors and their neuromorphological applications

    Science.gov (United States)

    Qu, Bo; Younis, Adnan; Chu, Dewei

    2016-09-01

    The advance in conventional silicon based semiconductor industry is now becoming indeterminacy as it still along the road of Moore's Law and concomitant problems associated with it are the emergence of a number of practical issues such as short channel effect. In terms of memory applications, it is generally believed that transistors based memory devices will approach to their scaling limits up to 2018. Therefore, one of the most prominent challenges today in semiconductor industry is the need of a new memory technology which is able to combine the best characterises of current devices. The resistive switching memories which are regarded as "memristors" thus gain great attentions thanks to their specific nonlinear electrical properties. More importantly, their behaviour resembles with the transmission characteristic of synapse in biology. Therefore, the research of synapses biomimetic devices based on memristor will certainly bring a great research prospect in studying synapse emulation as well as building artificial neural networks. Tungsten oxides (WO x ) exhibits many essential characteristics as a great candidate for memristive devices including: accredited endurance (over 105 cycles), stoichiometric flexibility, complimentary metal-oxide-semiconductor (CMOS) process compatibility and configurable properties including non-volatile rectification, memorization and learning functions. Herein, recent progress on Tungsten oxide based materials and its associating memory devices had been reviewed. The possible implementation of this material as a bio-inspired artificial synapse is also highlighted. The penultimate section summaries the current research progress for tungsten oxide based biological synapses and end up with several proposals that have been suggested for possible future developments.

  1. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  2. Selection of efficient etchants for nondestructive treatment of semiconductors

    International Nuclear Information System (INIS)

    Tomashik, V.N.; Fomin, A.V.; Tomashik, Z.F.

    1996-01-01

    The scheme for studying etching processes of semiconductor materials and developing new etchants for different semiconductors is proposed. The scheme includes the experiment mathematical planning, computerized physicochemical modeling, kinetic studies, investigation of surface layers, formed by etching. Such on approach makes it possible to optimize the etchant composition in every concrete cage. The scheme is tested in the course of developing optimal methodologies of preepitaxial treatment and selection of etchants composition for semiconductor compounds of the A 1 B 6 and A 3 B 5 type. 13 refs., 4 figs

  3. Methods for enhancing P-type doping in III-V semiconductor films

    Science.gov (United States)

    Liu, Feng; Stringfellow, Gerald; Zhu, Junyi

    2017-08-01

    Methods of doping a semiconductor film are provided. The methods comprise epitaxially growing the III-V semiconductor film in the presence of a dopant, a surfactant capable of acting as an electron reservoir, and hydrogen, under conditions that promote the formation of a III-V semiconductor film doped with the p-type dopant. In some embodiments of the methods, the epitaxial growth of the doped III-V semiconductor film is initiated at a first hydrogen partial pressure which is increased to a second hydrogen partial pressure during the epitaxial growth process.

  4. Identifying the hazard characteristics of powder byproducts generated from semiconductor fabrication processes.

    Science.gov (United States)

    Choi, Kwang-Min; An, Hee-Chul; Kim, Kwan-Sick

    2015-01-01

    Semiconductor manufacturing processes generate powder particles as byproducts which potentially could affect workers' health. The chemical composition, size, shape, and crystal structure of these powder particles were investigated by scanning electron microscopy equipped with an energy dispersive spectrometer, Fourier transform infrared spectrometry, and X-ray diffractometry. The powders generated in diffusion and chemical mechanical polishing processes were amorphous silica. The particles in the chemical vapor deposition (CVD) and etch processes were TiO(2) and Al(2)O(3), and Al(2)O(3) particles, respectively. As for metallization, WO(3), TiO(2), and Al(2)O(3) particles were generated from equipment used for tungsten and barrier metal (TiN) operations. In photolithography, the size and shape of the powder particles showed 1-10 μm and were of spherical shape. In addition, the powders generated from high-current and medium-current processes for ion implantation included arsenic (As), whereas the high-energy process did not include As. For all samples collected using a personal air sampler during preventive maintenance of process equipment, the mass concentrations of total airborne particles were particles less than 10 μm in diameter) using direct-reading aerosol monitor by area sampling were between 0.00 and 0.02 μg/m(3). Although the exposure concentration of airborne particles during preventive maintenance is extremely low, it is necessary to make continuous improvements to the process and work environment, because the influence of chronic low-level exposure cannot be excluded.

  5. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  6. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  7. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  8. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  9. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  10. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  11. Colloidal quantum dot solids for solution-processed solar cells

    KAUST Repository

    Yuan, Mingjian

    2016-02-29

    Solution-processed photovoltaic technologies represent a promising way to reduce the cost and increase the efficiency of solar energy harvesting. Among these, colloidal semiconductor quantum dot photovoltaics have the advantage of a spectrally tuneable infrared bandgap, which enables use in multi-junction cells, as well as the benefit of generating and harvesting multiple charge carrier pairs per absorbed photon. Here we review recent progress in colloidal quantum dot photovoltaics, focusing on three fronts. First, we examine strategies to manage the abundant surfaces of quantum dots, strategies that have led to progress in the removal of electronic trap states. Second, we consider new device architectures that have improved device performance to certified efficiencies of 10.6%. Third, we focus on progress in solution-phase chemical processing, such as spray-coating and centrifugal casting, which has led to the demonstration of manufacturing-ready process technologies.

  12. Ohmic Contacts to 2D Semiconductors through van der Waals Bonding

    NARCIS (Netherlands)

    Farmanbar Gelepordsari, M.; Brocks, G.

    2016-01-01

    High contact resistances have blocked the progress of devices based on MX2 (M = Mo, W; X = S, Se, Te) 2D semiconductors. Interface states formed at MX2/metal contacts pin the Fermi level, leading to sizable Schottky barriers for p-type contacts in particular. It is shown that i) one can remove the

  13. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  14. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  15. Gain and Index Dynamics in Semiconductor Lasers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    Semiconductor optical amplifiers (SOAs) provide ultrafast, i.e. broadband components for optical communication systems. They enter not only as signal generators and amplifiers, but also as nonlinear elements for ultrafast signal processing such as wavelength conversion, switching, and regeneration...... changed character from bulk semiconductor to quantum wells and most recently to quantum dots. By quantum confinement of the carriers, the light-matter interactions can be significantly modified and the optical properties, including dynamics, can be engineered to match the required functionalities...

  16. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  17. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  18. Elementary steps in electrical doping of organic semiconductors

    KAUST Repository

    Tietze, Max Lutz

    2018-03-15

    Fermi level control by doping is established since decades in inorganic semiconductors and has been successfully introduced in organic semiconductors. Despite its commercial success in the multi-billion OLED display business, molecular doping is little understood, with its elementary steps controversially discussed and mostly-empirical-materials design. Particularly puzzling is the efficient carrier release, despite a presumably large Coulomb barrier. Here we quantitatively investigate doping as a two-step process, involving single-electron transfer from donor to acceptor molecules and subsequent dissociation of the ground-state integer-charge transfer complex (ICTC). We show that carrier release by ICTC dissociation has an activation energy of only a few tens of meV, despite a Coulomb binding of several 100 meV. We resolve this discrepancy by taking energetic disorder into account. The overall doping process is explained by an extended semiconductor model in which occupation of ICTCs causes the classically known reserve regime at device-relevant doping concentrations.

  19. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  20. Review on the dynamics of semiconductor nanowire lasers

    Science.gov (United States)

    Röder, Robert; Ronning, Carsten

    2018-03-01

    Semiconductor optoelectronic devices have contributed tremendously to the technological progress in the past 50-60 years. Today, they also play a key role in nanophotonics stimulated by the inherent limitations of electronic integrated circuits and the growing demand for faster communications on chip. In particular, the field of ‘nanowire photonics’ has emerged including the search for coherent light sources with a nano-scaled footprint. The past decade has been dedicated to find suitable semiconductor nanowire (NW) materials for such nanolasers. Nowadays, such NW lasers consistently work at room temperature covering a huge spectral range from the ultraviolet down to the mid-infrared depending on the band gap of the NW material. Furthermore, first approaches towards the modification and optimization of such NW laser devices have been demonstrated. The underlying dynamics of the electronic and photonic NW systems have also been studied very recently, as they need to be understood in order to push the technological relevance of nano-scaled coherent light sources. Therefore, this review will first present novel measurement approaches in order to study the ultrafast temporal and optical mode dynamics of individual NW laser devices. Furthermore, these fundamental new insights are reviewed and deeply discussed towards the efficient control and adjustment of the dynamics in semiconductor NW lasers.

  1. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  2. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  3. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  4. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  5. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  6. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  7. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  8. Very Low-Power Consumption Analog Pulse Processing ASIC for Semiconductor Radiation Detectors

    International Nuclear Information System (INIS)

    Wessendorf, K.O.; Lund, J.C.; Brunett, B.A.; Laguna, G.R.; Clements, J.W.

    1999-01-01

    We describe a very-low power consumption circuit for processing the pulses from a semiconductor radiation detector. The circuit was designed for use with a cadmium zinc telluride (CZT) detector for unattended monitoring of stored nuclear materials. The device is intended to be battery powered and operate at low duty-cycles over a long period of time. This system will provide adequate performance for medium resolution gamma-ray pulse-height spectroscopy applications. The circuit incorporates the functions of a charge sensitive preamplifier, shaping amplifier, and peak sample and hold circuit. An application specific integrated circuit (ASIC) version of the design has been designed, built and tested. With the exception of the input field effect transistor (FET), the circuit is constructed using bipolar components. In this paper the design philosophy and measured performance characteristics of the circuit are described

  9. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  10. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  11. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  12. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  13. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  14. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  15. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  17. Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.

    Science.gov (United States)

    Xue, Mianqiang; Yan, Guoqing; Li, Jia; Xu, Zhenming

    2012-10-02

    Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e-waste. However, the components of e-waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper), semiconductors (extrinsic silicon), and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88%, respectively. This study contributes to the efficient recycling of valuable resources from e-waste.

  18. Ultrafast laser-semiconductor interactions

    International Nuclear Information System (INIS)

    Schile, L.A.

    1996-01-01

    Studies of the ultrafast (< 100 fs) interactions of infrared, sub-100 fs laser pulses with IR, photosensitive semiconductor materials InGaAs, InSb, and HgCdTe are reported. Both the carrier dynamics and the associated Terahertz radiation from these materials are discussed. The most recent developments of femtosecond (< 100 fs) Optical Parametric Oscillators (OPO) has extended the wavelength range from the visible to 5.2 μm. The photogenerated semiconductor free carrier dynamics are determined in the 77 to 300 degrees K temperature range using the Transmission Correlation Peak (TCP) method. The electron-phonon scattering times are typically 200 - 600 fs. Depending upon the material composition and substrate on which the IR crystalline materials are deposited, the nonlinear TCP absorption gives recombination rates as fast as 10's of picoseconds. For the HgCdTe, there exists a 400 fs electron-phonon scattering process along with a much longer 3600 fs loss process. Studies of the interactions of these ultrashort laser pulses with semiconductors produce Terahertz (Thz) radiative pulses. With undoped InSb, there is a substantial change in the spectral content of this THz radiation between 80 - 260 degrees K while the spectrum of Te-doped InSb remains nearly unchanged, an effect attributed to its mobility being dominated by impurity scattering. At 80 degrees K, the terahertz radiation from undoped InSb is dependent on wavelength, with both a higher frequency spectrum and much larger amplitudes generated at longer wavelengths. No such effect is observed at 260 degrees K. Finally, new results on the dependence of the emitted THz radiation on the InSb crystal's orientation is presented

  19. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  20. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  1. EPR of defects in semiconductors: past, present, future

    International Nuclear Information System (INIS)

    Watkins, G.D.

    1999-01-01

    Important physical concepts learned from early EPR studies of defects in silicon are reviewed. Highlighted are the studies of shallow effective-mass-liked donors and acceptors of deep transition element impurities, and of vacancies and interstitials. It is shown that the concepts learned in silicon translate remarkable well to the corresponding defects in the other elemental and compound semiconductors. The introduction of sensitive optical and electrical detection methods and the recent progress in single defects detection insure the continued vital role of EPR in the future

  2. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  3. Radiative heat transfer analysis in pure water heater used for semiconductor processing

    International Nuclear Information System (INIS)

    Liu, L.H.; Kudo, K.; Mochida, A.; Ogawa, T.; Kadotani, K.

    2004-01-01

    A simplified one-dimensional model is presented to analyze the non-gray radiative transfer in pure water heater used in the rinsing processes within semiconductor production lines, and the ray-tracing method is extended to simulate the radiative heat transfer. To examine the accuracy of the simplified model, the distribution of radiation absorption is determined by the ray-tracing method based the simplified model and compared with the data obtained by three-dimensional non-gray model in combination with Monte Carlo method in reference, and the effects of the water thickness on the radiation absorption are analyzed. The results show that the simplified model has a good accuracy in solving the radiation absorption in the pure water heater. The radiation absorption increases with the water thickness, but when the water thickness is greater than 50 mm, the radiation absorption increases very slowly with the water thickness

  4. Heat and mass transfer in semiconductor melts during single-crystal growth processes

    Science.gov (United States)

    Kakimoto, Koichi

    1995-03-01

    The quality of large semiconductor crystals grown from melts is significantly affected by the heat and mass transfer in the melts. The current understanding of the phenomena, especially melt convection, is reviewed starting from the results of visualization using model fluids or silicon melt, and continuing to the detailed numerical calculations needed for quantitative modeling of processing with solidification. The characteristics of silicon flows are also reviewed by focusing on the Coriolis force in the rotating melt. Descriptions of flow instabilities are included that show the level of understanding of melt convection with a low Prandtl number. Based on hydrodynamics, the origin of the silicon flow structure is reviewed, and it is discussed whether silicon flow is completely turbulent or has an ordered structure. The phase transition from axisymmetric to nonaxisymmetric flow is discussed using different geometries. Additionally, surface-tension-driven flow is reviewed for Czochralski crystal growth systems.

  5. Studies of Thermophysical Properties of Metals and Semiconductors by Containerless Processing Under Microgravity

    Science.gov (United States)

    Seidel, A.; Soellner, W.; Stenzel, C.

    2012-01-01

    Electromagnetic levitation under microgravity provides unique opportunities for the investigation of liquid metals, alloys and semiconductors, both above and below their melting temperatures, with minimized disturbances of the sample under investigation. The opportunity to perform such experiments will soon be available on the ISS with the EML payload which is currently being integrated. With its high-performance diagnostics systems EML allows to measure various physical properties such as heat capacity, enthalpy of fusion, viscosity, surface tension, thermal expansion coefficient, and electrical conductivity. In studies of nucleation and solidification phenomena the nucleation kinetics, phase selection, and solidification velocity can be determined. Advanced measurement capabilities currently being studied include the measurement and control of the residual oxygen content of the process atmosphere and a complementary inductive technique to measure thermophysical properties.

  6. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  7. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  8. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  9. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  10. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  11. Solid-State Division progress report for period ending March 31, 1983

    International Nuclear Information System (INIS)

    Green, P.H.; Watson, D.M.

    1983-09-01

    Progress and activities are reported on: theoretical solid-state physics (surfaces; electronic, vibrational, and magnetic properties; particle-solid interactions; laser annealing), surface and near-surface properties of solids (surface, plasma-material interactions, ion implantation and ion-beam mixing, pulsed-laser and thermal processing), defects in solids (radiation effects, fracture, impurities and defects, semiconductor physics and photovoltaic conversion), transport properties of solids (fast-ion conductors, superconductivity, mass and charge transport in materials), neutron scattering (small-angle scattering, lattice dynamics, magnetic properties, structure and instrumentation), and preparation and characterization of research materials (growth and preparative methods, nuclear waste forms, special materials)

  12. Solid-State Division progress report for period ending March 31, 1983

    Energy Technology Data Exchange (ETDEWEB)

    Green, P.H.; Watson, D.M. (eds.)

    1983-09-01

    Progress and activities are reported on: theoretical solid-state physics (surfaces; electronic, vibrational, and magnetic properties; particle-solid interactions; laser annealing), surface and near-surface properties of solids (surface, plasma-material interactions, ion implantation and ion-beam mixing, pulsed-laser and thermal processing), defects in solids (radiation effects, fracture, impurities and defects, semiconductor physics and photovoltaic conversion), transport properties of solids (fast-ion conductors, superconductivity, mass and charge transport in materials), neutron scattering (small-angle scattering, lattice dynamics, magnetic properties, structure and instrumentation), and preparation and characterization of research materials (growth and preparative methods, nuclear waste forms, special materials). (DLC)

  13. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  14. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  15. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  16. Low-confinement high-power semiconductor lasers

    NARCIS (Netherlands)

    Buda, M.

    1999-01-01

    This thesis presents the results of studies related to optimisation of high power semiconductor laser diodes using the low confinement concept. This implies a different approach in designing the transversal layer structure before growth and in processing the wafer after growth, for providing the

  17. The rates of charge separation and energy destructive charge recombination processes within an organic dyad in presence of metal-semiconductor core shell nanocomposites.

    Science.gov (United States)

    Mandal, Gopa; Bhattacharya, Sudeshna; Das, Subrata; Ganguly, Tapan

    2012-01-01

    Steady state and time resolved spectroscopic measurements were made at the ambient temperature on an organic dyad, 1-(4-Chloro-phenyl)-3-(4-methoxy-naphthalen-1-yl)-propenone (MNCA), where the donor 1-methoxynaphthalene (1 MNT) is connected with the acceptor p-chloroacetophenone (PCA) by an unsaturated olefinic bond, in presence of Ag@TiO2 nanoparticles. Time resolved fluorescence and absorption measurements reveal that the rate parameters associated with charge separation, k(CS), within the dyad increases whereas charge recombination rate k(CR) reduces significantly when the surrounding medium is changed from only chloroform to mixture of chloroform and Ag@TiO2 (noble metal-semiconductor) nanocomposites. The observed results indicate that the dyad being combined with core-shell nanocomposites may form organic-inorganic nanocomposite system useful for developing light energy conversion devices. Use of metal-semiconductor nanoparticles may provide thus new ways to modulate charge recombination processes in light energy conversion devices. From comparison with the results obtained in our earlier investigations with only TiO2 nanoparticles, it is inferred that much improved version of light energy conversion device, where charge-separated species could be protected for longer period of time of the order of millisecond, could be designed by using metal-semiconductor core-shell nanocomposites rather than semiconductor nanoparticles only.

  18. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  19. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  20. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  1. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  2. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  3. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  4. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  5. Real-time progressive hyperspectral image processing endmember finding and anomaly detection

    CERN Document Server

    Chang, Chein-I

    2016-01-01

    The book covers the most crucial parts of real-time hyperspectral image processing: causality and real-time capability. Recently, two new concepts of real time hyperspectral image processing, Progressive Hyperspectral Imaging (PHSI) and Recursive Hyperspectral Imaging (RHSI). Both of these can be used to design algorithms and also form an integral part of real time hyperpsectral image processing. This book focuses on progressive nature in algorithms on their real-time and causal processing implementation in two major applications, endmember finding and anomaly detection, both of which are fundamental tasks in hyperspectral imaging but generally not encountered in multispectral imaging. This book is written to particularly address PHSI in real time processing, while a book, Recursive Hyperspectral Sample and Band Processing: Algorithm Architecture and Implementation (Springer 2016) can be considered as its companion book. Includes preliminary background which is essential to those who work in hyperspectral ima...

  6. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  7. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  8. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  9. Noise properties of semiconductor waveguides with alternating sections of saturable gain and absorption

    DEFF Research Database (Denmark)

    Öhman, Filip; Bischoff, Svend; Tromborg, Bjarne

    We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration.......We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration....

  10. Reading and comparative quantification of perfusion myocardium tomo-scintigraphy realised by gamma camera and semiconductors camera

    International Nuclear Information System (INIS)

    Merlin, C.; Gauthe, M.; Bertrand, S.; Kelly, A.; Veyre, A.; Mestas, D.; Cachin, F.; Motreff, P.

    2010-01-01

    By offering high quality images, semiconductor cameras represent an undeniable technological progress. The interpretation of examinations, however, requires a learning phase. The optimization of quantification software should confirm the superiority of the D-SPECT for the measurement of kinetic parameters. (N.C.)

  11. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  12. Quantum theory of the electronic and optical properties of low-dimensional semiconductor systems

    Science.gov (United States)

    Lau, Wayne Heung

    This thesis examines the electronic and optical properties of low-dimensional semiconductor systems. A theory is developed to study the electron-hole generation-recombination process of type-II semimetallic semiconductor heterojunctions based on a 3 x 3 k·p matrix Hamiltonian (three-band model) and an 8 x 8 k·p matrix Hamiltonian (eight-band model). A novel electron-hole generation and recombination process, which is called activationless generation-recombination process, is predicted. It is demonstrated that the current through the type-II semimetallic semiconductor heterojunctions is governed by the activationless electron-hole generation-recombination process at the heterointerfaces, and that the current-voltage characteristics are essentially linear. A qualitative agreement between theory and experiments is observed. The numerical results of the eight-band model are compared with those of the threeband model. Based on a lattice gas model, a theory is developed to study the influence of a random potential on the ionization equilibrium conditions for bound electron-hole pairs (excitons) in III--V semiconductor heterostructures. It is demonstrated that ionization equilibrium conditions for bound electron-hole pairs change drastically in the presence of strong disorder. It is predicted that strong disorder promotes dissociation of excitons in III--V semiconductor heterostructures. A theory of polariton (photon dressed by phonon) spontaneous emission in a III--V semiconductor doped with semiconductor quantum dots (QDs) or quantum wells (QWs) is developed. For the first time, superradiant and subradiant polariton spontaneous emission phenomena in a polariton-QD (QW) coupled system are predicted when the resonance energies of the two identical QDs (QWs) lie outside the polaritonic energy gap. It is also predicted that when the resonance energies of the two identical QDs (QWs) lie inside the polaritonic energy gap, spontaneous emission of polariton in the polariton

  13. Spin drift and spin diffusion currents in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Idrish Miah, M [Nanoscale Science and Technology Centre and School of Biomolecular and Physical Sciences, Griffith University, Nathan, Brisbane, QLD 4111 (Australia)], E-mail: m.miah@griffith.edu.au

    2008-09-15

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  14. Spin drift and spin diffusion currents in semiconductors

    Directory of Open Access Journals (Sweden)

    M Idrish Miah

    2008-01-01

    Full Text Available On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  15. Spin drift and spin diffusion currents in semiconductors

    International Nuclear Information System (INIS)

    Idrish Miah, M

    2008-01-01

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  16. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  17. Identification of Spatial Fault Patterns in Semiconductor Wafers

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract The semiconductor industry is constantly searching for new ways to increase the rate of both process development and yield learning. As more data is being...

  18. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  19. Electric power and its significance as the energy for innovation and progress

    International Nuclear Information System (INIS)

    Klinger, H.; Boehmer, T.

    1999-01-01

    The significance of electric power as the essential form of energy to support innovation and progress well into the future is explained with respect to four major domains of application: 1. Innovative activities in microelectronics and semiconductor technology, for applications such as automation and computer technology, instrumentation and control technology, facility and systems management and control. 2. Energy efficiency programmes and schemes for increasing the penetration of energiy from renewable sources in the market. Example: Heat pump technology. 3. Electric power as an energy boosting innovation in industrial production processes. Examples are given from the transportation sector. (orig./CB) [de

  20. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  1. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  2. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  3. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  4. Quantum confined laser devices optical gain and recombination in semiconductors

    CERN Document Server

    Blood, Peter

    2015-01-01

    The semiconductor laser, invented over 50 years ago, has had an enormous impact on the digital technologies that now dominate so many applications in business, commerce and the home. The laser is used in all types of optical fibre communication networks that enable the operation of the internet, e-mail, voice and skype transmission. Approximately one billion are produced each year for a market valued at around $5 billion. Nearly all semiconductor lasers now use extremely thin layers of light emitting materials (quantum well lasers). Increasingly smaller nanostructures are used in the form of quantum dots. The impact of the semiconductor laser is surprising in the light of the complexity of the physical processes that determine the operation of every device. This text takes the reader from the fundamental optical gain and carrier recombination processes in quantum wells and quantum dots, through descriptions of common device structures to an understanding of their operating characteristics. It has a consistent...

  5. Analysis of small-signal intensity modulation of semiconductor ...

    Indian Academy of Sciences (India)

    Computer simulation of the model is applied to 1.55-µm ... Semiconductor laser; small-signal modulation; modulation response; gain suppression. ... originates from intraband relaxation processes of charge carriers that extend for times as ...

  6. Attachment to a mass spectrometer for studying the processes of semiconductor compound deposition from a gaseous phase

    International Nuclear Information System (INIS)

    Belousov, V.I.; Zhuravlev, G.I.; Popenko, N.I.; Novozhilov, A.F.; Matveev, I.V.; Murav'ev, V.V.

    1984-01-01

    An attachment to the mass spectrometer for studying the processes of semiconductor compounds deposition from a gaseous phase at the pressure of 1x10 5 Pa and the temperature of 400-1300 K is described. The attachment consists of the Neer ion source with ionization section cooled upto the temperature of liquid nitrogen, a two-zone vacuum furnace, and a quartz epitaxy reactor of the horzontal type.The attachment is equipped with the systems of process gas distribution in 5 flows and temperature stabilization. The rate of mass spectrum recording constitutes 2 mass/s at the resolution being equal to 1000 at the 10% level. The sensitivity at the steam-gas mixture components partial pressure determination constitutes 1x10 -4 Pa

  7. Interactions between semiconductor nanowires and living cells.

    Science.gov (United States)

    Prinz, Christelle N

    2015-06-17

    Semiconductor nanowires are increasingly used for biological applications and their small dimensions make them a promising tool for sensing and manipulating cells with minimal perturbation. In order to interface cells with nanowires in a controlled fashion, it is essential to understand the interactions between nanowires and living cells. The present paper reviews current progress in the understanding of these interactions, with knowledge gathered from studies where living cells were interfaced with vertical nanowire arrays. The effect of nanowires on cells is reported in terms of viability, cell-nanowire interface morphology, cell behavior, changes in gene expression as well as cellular stress markers. Unexplored issues and unanswered questions are discussed.

  8. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  9. Organic Spin-Valves and Beyond: Spin Injection and Transport in Organic Semiconductors and the Effect of Interfacial Engineering.

    Science.gov (United States)

    Jang, Hyuk-Jae; Richter, Curt A

    2017-01-01

    Since the first observation of the spin-valve effect through organic semiconductors, efforts to realize novel spintronic technologies based on organic semiconductors have been rapidly growing. However, a complete understanding of spin-polarized carrier injection and transport in organic semiconductors is still lacking and under debate. For example, there is still no clear understanding of major spin-flip mechanisms in organic semiconductors and the role of hybrid metal-organic interfaces in spin injection. Recent findings suggest that organic single crystals can provide spin-transport media with much less structural disorder relative to organic thin films, thus reducing momentum scattering. Additionally, modification of the band energetics, morphology, and even spin magnetic moment at the metal-organic interface by interface engineering can greatly impact the efficiency of spin-polarized carrier injection. Here, progress on efficient spin-polarized carrier injection into organic semiconductors from ferromagnetic metals by using various interface engineering techniques is presented, such as inserting a metallic interlayer, a molecular self-assembled monolayer (SAM), and a ballistic carrier emitter. In addition, efforts to realize long spin transport in single-crystalline organic semiconductors are discussed. The focus here is on understanding and maximizing spin-polarized carrier injection and transport in organic semiconductors and insight is provided for the realization of emerging organic spintronics technologies. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  11. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  12. High-response hybrid quantum dots- 2D conductor phototransistors: recent progress and perspectives

    Science.gov (United States)

    Sablon, Kimberly A.; Sergeev, Andrei; Najmaei, Sina; Dubey, Madan

    2017-03-01

    Having been inspired by the tremendous progress in material nanoscience and device nanoengineering, hybrid phototransistors combine solution processed colloidal semiconductor quantum dots (QDs) with graphene or two-dimensional (2D) semiconductor materials. Novel detectors demonstrate ultrahigh photoconductive gain, high and selective photoresponse, low noise, and very high responsivity in visible- and near-infrared ranges. The outstanding performance of phototransistors is primarily due to the strong, selective, and size tunable absorption of QDs and fast charge transfer in 2D high mobility conductors. However, the relatively small mobility of QD nanomaterials was a technological barrier, which limited the operating rate of devices. Very recent innovations in detector design and significant progress in QD ligand engineering provide effective tools for further qualitative improvements. This article reviews the recent progress in material science, nanophysics, and device engineering related to hybrid phototransistors. Detectors based on various QD nanomaterials and several 2D conductors are compared, and advantages and disadvantages of various nanomaterials for applications in hybrid phototransistors are identified. We also benchmark the experimental characteristics with model results that establish interrelations and tradeoffs between detector characteristics, such as responsivity, dark and noise currents, the photocarrier lifetime, response, and noise bandwidths. We have shown that the most recent phototransistors demonstrate performance limited by the fundamental generation recombination noise in high gain devices. Interrelation between the dynamic range of the detector and the detector sensitivity is discussed. The review is concluded with a brief discussion of the remaining challenges and possible significant improvements in the performance of hybrid phototransistors.

  13. High-response hybrid quantum dots- 2D conductor phototransistors: recent progress and perspectives

    Directory of Open Access Journals (Sweden)

    Sablon Kimberly A.

    2017-03-01

    Full Text Available Having been inspired by the tremendous progress in material nanoscience and device nanoengineering, hybrid phototransistors combine solution processed colloidal semiconductor quantum dots (QDs with graphene or two-dimensional (2D semiconductor materials. Novel detectors demonstrate ultrahigh photoconductive gain, high and selective photoresponse, low noise, and very high responsivity in visible- and near-infrared ranges. The outstanding performance of phototransistors is primarily due to the strong, selective, and size tunable absorption of QDs and fast charge transfer in 2D high mobility conductors. However, the relatively small mobility of QD nanomaterials was a technological barrier, which limited the operating rate of devices. Very recent innovations in detector design and significant progress in QD ligand engineering provide effective tools for further qualitative improvements. This article reviews the recent progress in material science, nanophysics, and device engineering related to hybrid phototransistors. Detectors based on various QD nanomaterials and several 2D conductors are compared, and advantages and disadvantages of various nanomaterials for applications in hybrid phototransistors are identified. We also benchmark the experimental characteristics with model results that establish interrelations and tradeoffs between detector characteristics, such as responsivity, dark and noise currents, the photocarrier lifetime, response, and noise bandwidths. We have shown that the most recent phototransistors demonstrate performance limited by the fundamental generation recombination noise in high gain devices. Interrelation between the dynamic range of the detector and the detector sensitivity is discussed. The review is concluded with a brief discussion of the remaining challenges and possible significant improvements in the performance of hybrid phototransistors.

  14. Infrared Colloidal Quantum Dots for Photovoltaics: Fundamentals and Recent Progress

    KAUST Repository

    Tang, Jiang

    2010-09-14

    Colloidal quantum dots (CQDs) are solution-processed semiconductors of interest in low-cost photovoltaics. Tuning of the bandgap of CQD films via the quantum size effect enables customization of solar cells\\' absorption profile to match the sun\\'s broad visible- and infrared-containing spectrum reaching the earth. Here we review recent progress in the realization of low-cost, efficient solar cells based on CQDs. We focus in particular on CQD materials and approaches that provide both infrared and visible-wavelength solar power conversion CQD photovoltaics now exceed 5% solar power conversion efficiency, achieved by the introduction of a new architecture, the depleted-heterojunction CQD solar cell, that jointly maximizes current, voltage, and fill factor. CQD solar cells have also seen major progress in materials processing for stability, recently achieving extended operating lifetimes in an air ambient. We summarize progress both in device operation and also in gaining new insights into materials properties and processing - including new electrical contact materials and deposition techniques, as well as CQD synthesis, surface treatments, film-forming technologies - that underpin these rapid advances. Infrared colloidal quantum dots that absorb most of the solar radiation enable potential efficient and low-cost photovoltaic devices. Careful optimization of quantum dot passivation and device configuration leads to solar cells with AM1.5G efficiency as high as 5.1% Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Comprehensive and fully self-consistent modeling of modern semiconductor lasers

    International Nuclear Information System (INIS)

    Nakwaski, W.; Sarzał, R. P.

    2016-01-01

    The fully self-consistent model of modern semiconductor lasers used to design their advanced structures and to understand more deeply their properties is given in the present paper. Operation of semiconductor lasers depends not only on many optical, electrical, thermal, recombination, and sometimes mechanical phenomena taking place within their volumes but also on numerous mutual interactions between these phenomena. Their experimental investigation is quite complex, mostly because of miniature device sizes. Therefore, the most convenient and exact method to analyze expected laser operation and to determine laser optimal structures for various applications is to examine the details of their performance with the aid of a simulation of laser operation in various considered conditions. Such a simulation of an operation of semiconductor lasers is presented in this paper in a full complexity of all mutual interactions between the above individual physical processes. In particular, the hole-burning effect has been discussed. The impacts on laser performance introduced by oxide apertures (their sizes and localization) have been analyzed in detail. Also, some important details concerning the operation of various types of semiconductor lasers are discussed. The results of some applications of semiconductor lasers are shown for successive laser structures. (paper)

  16. Plastic Deformation as a Means to Achieve Stretchable Polymer Semiconductors

    Science.gov (United States)

    O'Connor, Brendan

    Developing intrinsically stretchable semiconductors will seamlessly transition traditional devices into a stretchable platform. Polymer semiconductors are inherently soft materials due to the weak van der Waal intermolecular bonding allowing for flexible devices. However, these materials are not typically stretchable and when large strains are applied they either crack or plastically deform. Here, we study the use of repeated plastic deformation as a means of achieving stretchable films. In this talk, critical aspects of polymer semiconductor material selection, morphology and interface properties will be discussed that enable this approach of achieving stretchable films. We show that one can employ high performance donor-acceptor polymer semiconductors that are typically brittle through proper polymer blending to significantly increase ductility to achieve stretchable films. We demonstrate a polymer blend film that can be repeatedly deformed over 65%, while maintaining charge mobility consistently above 0.15 cm2/Vs. During the stretching process we show that the films follow a well-controlled repeated deformation pattern for over 100 stretching cycles.

  17. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  18. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  19. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  20. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  1. Optical properties of hybrid semiconductor-metal structures

    Energy Technology Data Exchange (ETDEWEB)

    Kreilkamp, L.E.; Pohl, M.; Akimov, I.A.; Yakovlev, D.R.; Bayer, M. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Belotelov, V.I.; Zvezdin, A.K. [A.M. Prokhorov General Physics Institute, Russian Academy of Sciences, 119992 Moscow (Russian Federation); Karczewski, G.; Wojtowicz, T. [Institute of Physics, Polish Academy of Sciences, 02668 Warsaw (Poland); Rudzinski, A.; Kahl, M. [Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund (Germany)

    2012-07-01

    We study the optical properties of hybrid nanostructures comprising a semiconductor CdTe quantum well (QW) separated by a thin CdMgTe cap layer of 40 nm from a patterned gold film. The CdTe/CdMgTe QW structure with a well width of 10nm was grown by molecular beam epitaxy. The one-dimensional periodic gold films on top were made using e-beam lithography and lift-off process. The investigated structures can be considered as plasmonic crystals because the metal films attached to the semiconductor are patterned with a period in the range from 475 to 600 nm, which is comparable to the surface plasmon-polariton (SPP) wavelength. Angle dependent reflection spectra at room temperature clearly show plasmonic resonances. PL spectra taken at low temperatures of about 10 K under below- and above-barrier illumination show significant modifications compared to the unstructured QW sample. The number of emission lines and their position shift change depending on the excitation energy. The role of exciton-SPP coupling and Schottky barrier at the semiconductor-metal interface are discussed.

  2. TXRF applications for semiconductor materials and process characterization

    International Nuclear Information System (INIS)

    Zaitz, M.A.

    2000-01-01

    In the past 30 years, the semiconductor industry has undergone a dramatic evolution in technology which now has become part of our daily lives. The density of transistors on a chip has grown exponentially, approximately doubling every 18 months or increasing 3200 times. Early chips from the 1970's had about 2300 components on them compared to 7.5 million on today's sophisticated microprocessors. It is an exhausting pace with no let up in sight. Traditional materials are no longer keeping pace. Smaller and smaller circuits require alternative materials and processes. New materials such as high k and low k dielectric are being evaluated to replace silicon dioxide both as a gate material and as an insulator. Copper wiring which has less resistance thereby increasing signal speed is well into manufacturing. Other technologies such as SOI (silicon on insulator) are good candidates to win the battle of speed and performance. To keep this pace of phenomenal creativity going, material characterization and process development needs novel and innovative techniques. The versatility of total reflection x-ray florescence (TXRF) makes it an ideal analytical instrument for research and development studies for ultra trace metal analysis. TXRF can easily measure the surfaces of thin metallic films, but also both low and high K dielectric materials for ultra trace contamination levels. The multiple element capability provides accurate quantitative data over a wide range of elements. Nontraditional elements such as argon which is easily trapped in films during the sputter deposition process are easily detected by TXRF. Advances in light element; Al, Na, Mg, are providing information that was very difficult and time consuming to obtain by other analytical techniques. TXRF analysis on wafers show aluminum contamination patterns from a brush clean study and an ion implanted, shallow doped study. The silicon wafer is the perfect carrier for a TXRF analysis- smooth and highly polished for

  3. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  4. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  5. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  6. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  7. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  8. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  9. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  10. Problems of linear electron (polaron) transport theory in semiconductors

    CERN Document Server

    Klinger, M I

    1979-01-01

    Problems of Linear Electron (Polaron) Transport Theory in Semiconductors summarizes and discusses the development of areas in electron transport theory in semiconductors, with emphasis on the fundamental aspects of the theory and the essential physical nature of the transport processes. The book is organized into three parts. Part I focuses on some general topics in the theory of transport phenomena: the general dynamical theory of linear transport in dissipative systems (Kubo formulae) and the phenomenological theory. Part II deals with the theory of polaron transport in a crystalline semicon

  11. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  12. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  13. Electronic properties of semiconductor surfaces and metal/semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, M.

    2005-05-15

    This thesis reports investigations of the electronic properties of a semiconductor surface (silicon carbide), a reactive metal/semiconductor interface (manganese/silicon) and a non-reactive metal/semiconductor interface (aluminum-magnesium alloy/silicon). The (2 x 1) reconstruction of the 6H-SiC(0001) surface has been obtained by cleaving the sample along the (0001) direction. This reconstruction has not been observed up to now for this compound, and has been compared with those of similar elemental semiconductors of the fourth group of the periodic table. This comparison has been carried out by making use of photoemission spectroscopy, analyzing the core level shifts of both Si 2p and C 1s core levels in terms of charge transfer between atoms of both elements and in different chemical environments. From this comparison, a difference between the reconstruction on the Si-terminated and the C-terminated surface was established, due to the ionic nature of the Si-C bond. The growth of manganese films on Si(111) in the 1-5 ML thickness range has been studied by means of LEED, STM and photoemission spectroscopy. By the complementary use of these surface science techniques, two different phases have been observed for two thickness regimes (<1 ML and >1 ML), which exhibit a different electronic character. The two reconstructions, the (1 x 1)-phase and the ({radical}3 x {radical}3)R30 -phase, are due to silicide formation, as observed in core level spectroscopy. The growth proceeds via island formation in the monolayer regime, while the thicker films show flat layers interrupted by deep holes. On the basis of STM investigations, this growth mode has been attributed to strain due to lattice mismatch between the substrate and the silicide. Co-deposition of Al and Mg onto a Si(111) substrate at low temperature (100K) resulted in the formation of thin alloy films. By varying the relative content of both elements, the thin films exhibited different electronic properties

  14. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors.

    Science.gov (United States)

    Jie, Wenjing; Hao, Jianhua

    2014-06-21

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  15. Progress on research of materials science and biotechnology by ion beam application

    Energy Technology Data Exchange (ETDEWEB)

    Ishigaki, Isao [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    Research of materials science and biotechnology by ion beam application in Takasaki Establishment was reviewed. Especially, the recent progresses of research on semiconductors in space, creation of new functional materials and topics in biotechnology were reported. (author)

  16. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  17. Classification of methods for measuring current-voltage characteristics of semiconductor devices

    Directory of Open Access Journals (Sweden)

    Iermolenko Ia. O.

    2014-06-01

    Full Text Available It is shown that computer systems for measuring current-voltage characteristics are very important for semiconductor devices production. The main criteria of efficiency of such systems are defined. It is shown that efficiency of such systems significantly depends on the methods for measuring current-voltage characteristics of semiconductor devices. The aim of this work is to analyze existing methods for measuring current-voltage characteristics of semiconductor devices and to create the classification of these methods in order to specify the most effective solutions in terms of defined criteria. To achieve this aim, the most common classifications of methods for measuring current-voltage characteristics of semiconductor devices and their main disadvantages are considered. Automated and manual, continuous, pulse, mixed, isothermal and isodynamic methods for measuring current-voltage characteristics are analyzed. As a result of the analysis and generalization of existing methods the next classification criteria are defined: the level of automation, the form of measurement signals, the condition of semiconductor device during the measurements, and the use of mathematical processing of the measurement results. With the use of these criteria the classification scheme of methods for measuring current-voltage characteristics of semiconductor devices is composed and the most effective methods are specified.

  18. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  19. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  20. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  1. Materials for n-type organic electronics: synthesis and properties of fluoroarene-thiophene semiconductors

    Science.gov (United States)

    Facchetti, Antonio; Yoon, Myung-Han; Katz, Howard E.; Marks, Tobin J.

    2003-11-01

    Recent progress in the field of organic electronics is due to a fruitful combination of both innovative molecular design and promising low-cost material/device assembly. Targeting the first strategy, we present here the general synthesis of fluoroarene-containing thiophene-based semiconductors and the study of their properties with respect to the corresponding fluorine-free hole-transporting analogues. The new compounds have been characterized by elemental analysis, mass spectrometry, and 1H- and 19F NMR. The dramatic influence of fluorine substitution and molecular architecture has been investigated by solution/film optical absorption, fluorescence emission, and cyclic voltammetry. Single crystal data for all of the oligomers have been obtained and will be presented. Film microstructure and morphology of this new class of materials have been studied by XRD and SEM. Particular emphasis will be posed on the solution-processable oligomers and polymers.

  2. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  3. Nature of radiative recombination processes in layered semiconductor PbCdI{sub 2} nanostructural scintillation material

    Energy Technology Data Exchange (ETDEWEB)

    Bukivskii, A.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gnatenko, Yu.P., E-mail: yuriygnatenko@ukr.net [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Piryatinskii, Yu.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gamernyk, R.V. [Lviv National University, 8 Kyryl o and Mefodiy Str., 29005 Lviv (Ukraine)

    2017-05-15

    We report on the efficient photoluminescence (PL) and radioluminescence (RL) of the PbI{sub 2} nanoclusters (NCLs), which are naturally formed in the nanostructured Pb{sub 1-X}Cd{sub x}I{sub 2} alloys (X=0.70). Here, we carried out the studies of the nature of radiative recombination processes in the NCLs of various sizes by measuring PL temperature evolution. Our results indicate that at low temperatures the PL is mainly caused by exciton emission and recombination of donor-acceptor pairs, generated in volume of large NCLs. The broad bands, which are associated with the deep intrinsic surface states, including self-trapped excitons (STEs), are dominant in the PL spectra at higher temperature (>100 K). Our work shows that the nature of emission, associated with RL bands is analogous to that for PL bands. It was shown that the investigated nanostructured material is strongly radiation-resistant. Thus, the Pb{sub 1-X}Cd{sub X}I{sub 2} alloys can be considered as new effective layered semiconductor nanostructured materials which can be suitable for the elaboration of perspective semiconductor scintillators. These nanomaterials have promising prospects for applications in new generations of devices for biomedical diagnostics and industrial imaging applications. - Highlights: •The intense PL and RL of nanostructural PbCdI{sub 2} alloys were observed. •The nature of recombination processes of the nanoscintillators was established. •The low temperature PL is caused by exciton and donor-acceptor pairs recombination. •The broad PL bands are due to the deep intrinsic states formed on the NCLs surface. •The PL associated with STEs for NCLs of different sizes was analyzed in detail. •It was shown that the nature of PL and RL spectra is same.

  4. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  5. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  6. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  7. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  8. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  9. Progress in ultrafast laser processing and future prospects

    Science.gov (United States)

    Sugioka, Koji

    2017-03-01

    The unique characteristics of ultrafast lasers have rapidly revolutionized materials processing after their first demonstration in 1987. The ultrashort pulse width of the laser suppresses heat diffusion to the surroundings of the processed region, which minimizes the formation of a heat-affected zone and thereby enables ultrahigh precision micro- and nanofabrication of various materials. In addition, the extremely high peak intensity can induce nonlinear multiphoton absorption, which extends the diversity of materials that can be processed to transparent materials such as glass. Nonlinear multiphoton absorption enables three-dimensional (3D) micro- and nanofabrication by irradiation with tightly focused femtosecond laser pulses inside transparent materials. Thus, ultrafast lasers are currently widely used for both fundamental research and practical applications. This review presents progress in ultrafast laser processing, including micromachining, surface micro- and nanostructuring, nanoablation, and 3D and volume processing. Advanced technologies that promise to enhance the performance of ultrafast laser processing, such as hybrid additive and subtractive processing, and shaped beam processing are discussed. Commercial and industrial applications of ultrafast laser processing are also introduced. Finally, future prospects of the technology are given with a summary.

  10. Recent progress in power electronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Ikeda, Yasuhiko; Yatsuo, Tsutomu

    1987-02-01

    Recent progress and future trends of power semiconductor devices (especially with respect to motor speed control) were described. Conventional discrete devices such as thyristors, bipolar transistors, unipolar transistors and Bi-MOS devices were referenced to. Reference was also made to High Voltage ICs. There has been steady progress with each of these power devices in current carrying capability, voltage blocking capability and switching speed. The Bipolar-MOS integreated device and the High Voltage IC are particularly interesting because their abilities and performances are much enhanced by skillful combination with conventional discrete devices. However, no one device meets all the needs, and it will always be necessary to select the right device for a specific task. (11 figs, 35 refs)

  11. Instantaneous amplitude and frequency dynamics of coherent wave mixing in semiconductor quantum wells

    International Nuclear Information System (INIS)

    Chemla, D.S.

    1993-01-01

    This article reviews recent investigations of nonlinear optical processes in semiconductors. Section II discusses theory of coherent wave mixing in semiconductors, with emphasis on resonant excitation with only one exciton state. Section III reviews recent experimental investigations of amplitude and phase of coherent wave-mixing resonant with quasi-2d excitons in GaAs quantum wells

  12. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  13. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  14. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  15. Induced Charge Fluctuations in Semiconductor Detectors with a Cylindrical Geometry

    Science.gov (United States)

    Samedov, Victor V.

    2018-01-01

    Now, compound semiconductors are very appealing for hard X-ray room-temperature detectors for medical and astrophysical applications. Despite the attractive properties of compound semiconductors, such as high atomic number, high density, wide band gap, low chemical reactivity and long-term stability, poor hole and electron mobility-lifetime products degrade the energy resolution of these detectors. The main objective of the present study is in development of a mathematical model of the process of the charge induction in a cylindrical geometry with accounting for the charge carrier trapping. The formulae for the moments of the distribution function of the induced charge and the formulae for the mean amplitude and the variance of the signal at the output of the semiconductor detector with a cylindrical geometry were derived. It was shown that the power series expansions of the detector amplitude and the variance in terms of the inverse bias voltage allow determining the Fano factor, electron mobility lifetime product, and the nonuniformity level of the trap density of the semiconductor material.

  16. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  17. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  18. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  19. Experimental study on the characteristics of semiconductor opening switch

    CERN Document Server

    Su Jian Cang; Ding Yong Zhong; Song Zhi Min; Ding Zhen Jie; Liu Guo Zhi

    2002-01-01

    An experimental set-up is developed to measure the characteristics of semiconductor opening switch (SOS). The parameters, such as interruption impedance, current int eruption time, voltage gain, pulse duration and energy transfer efficiency, are studied experimentally. The experimental results show that forward pumping time and reverse pumping time are important parameters for semiconductor opening switches. The influences of forward pumping time and reverse pumping time on interruption time, voltage gain, and energy transfer efficiency are obtained. In the interruption process, the impedance variation is divided into three phases: that is rapid increasing phase, slow change phase and completely interruption phase

  20. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Semiconductor Modeling For Simulating Signal, Power, and Electromagneticintegrity

    CERN Document Server

    Leventhal, Roy

    2006-01-01

    Assists engineers in designing high-speed circuits. The emphasis is on semiconductor modeling, with PCB transmission line effects, equipment enclosure effects, and other modeling issues discussed as needed. This text addresses practical considerations, including process variation, model accuracy, validation and verification, and signal integrity.

  2. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  3. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  4. The role of technical progress in the process of recalculating oil reserves

    International Nuclear Information System (INIS)

    Boulard, J.N.

    1999-01-01

    Contrary to the concept of resources (which is essentially a geological one), the notion of reserves designates the quantities that are technically and economically recoverable. Beyond the production-related effect, the reserves therefore evolve over time in accordance with numerous technical and economic parameters. Among these parameters, it can be seen that technical progress plays a considerable role throughout the process of converting resources into reserves, including progress in the identification, accessibility and processing of the resources, and improvements in economic viability. After having tackled the problem of measuring the 'technical progress effects' and citing examples, we demonstrate that the evolution in oil reserves is subject to three types of impact. These are a quantitative impact by significantly improving the recovery rates or making it possible to identify hitherto undetectable oil fields, a qualitative impact by widening the resource base thanks to the adoption of new categories of oil (in particular the so-called 'unconventional' oils) and by carrying out the gradual substitution between these resources of differing qualities. There is also a dynamic impact, through the acceleration of resource availability. Through these three approaches, technical progress makes makes it possible to ensure continuity in oil supply and contributes significantly to the recalculation of reserves. It therefore acts as a compensating factor, counterbalancing the progressive depletion of resources. (author)

  5. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  6. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  7. Two-dimensional epitaxial superconductor-semiconductor heterostructures: A platform for topological superconducting networks

    OpenAIRE

    Shabani, J.; Kjaergaard, M.; Suominen, H. J.; Kim, Younghyun; Nichele, F.; Pakrouski, K.; Stankevic, T.; Lutchyn, R. M.; Krogstrup, P.; Feidenhans'l, R.; Kraemer, S.; Nayak, C.; Troyer, M.; Marcus, C. M.; Palmstrøm, C. J.

    2015-01-01

    Progress in the emergent field of topological superconductivity relies on synthesis of new material combinations, combining superconductivity, low density, and spin-orbit coupling (SOC). For example, theory [1-4] indicates that the interface between a one-dimensional (1D) semiconductor (Sm) with strong SOC and a superconductor (S) hosts Majorana modes with nontrivial topological properties [5-8]. Recently, epitaxial growth of Al on InAs nanowires was shown to yield a high quality S-Sm system ...

  8. PREFACE: 19th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON'19)

    Science.gov (United States)

    González, T.; Martín-Martínez, M. J.; Mateos, J.

    2015-10-01

    The 19th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON'19) was held at the Hospedería Fonseca (Universidad de Salamanca, Spain), on 29 June - 2 July, 2015, and was organized by the Electronics Area from the University of Salamanca. The Conference is held biannually and covers the recent progress in the field of electron dynamics in solid-state materials and devices. This was the 19th meeting of the international conference series formerly named Hot Carriers in Semiconductors (HCIS), first held in Modena in 1973. In the edition of 1997 in Berlin the name of the conference changed to International Conference on Nonequilibrium Carrier Dynamics in Semiconductors, keeping the same acronym, HCIS; and finally in the edition of Montpellier in 2009 the name was again changed to the current one, International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON). The latest editions took place in Santa Barbara, USA, in 2011 and Matsue, Japan, in 2013. Research work on electron dynamics involves quite different disciplines, and requires both fundamental and technological scientific efforts. Attendees to the conference come mostly from academic institutions, belonging to both theoretical and experimental groups working in a variety of fields, such as solid-state physics, electronics, optics, electrical engineering, material science, laser physics, etc. In this framework, events like the EDISON conference become a basic channel for the progress in the field. Here, researchers working in different areas can meet, present their latest advances and exchange their ideas. The program of EDISON'19 included 13 invited papers, 61 oral contributions and 73 posters. These contributions originated from scientists in more than 30 different countries. The Conference gathered 140 participants, coming from 24 different countries, most from Europe, but also with a significant participation

  9. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  10. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  11. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  12. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  13. Economic Benefit from Progressive Integration of Scheduling and Control for Continuous Chemical Processes

    Directory of Open Access Journals (Sweden)

    Logan D. R. Beal

    2017-12-01

    Full Text Available Performance of integrated production scheduling and advanced process control with disturbances is summarized and reviewed with four progressive stages of scheduling and control integration and responsiveness to disturbances: open-loop segregated scheduling and control, closed-loop segregated scheduling and control, open-loop scheduling with consideration of process dynamics, and closed-loop integrated scheduling and control responsive to process disturbances and market fluctuations. Progressive economic benefit from dynamic rescheduling and integrating scheduling and control is shown on a continuously stirred tank reactor (CSTR benchmark application in closed-loop simulations over 24 h. A fixed horizon integrated scheduling and control formulation for multi-product, continuous chemical processes is utilized, in which nonlinear model predictive control (NMPC and continuous-time scheduling are combined.

  14. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    Science.gov (United States)

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  15. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  16. Radiation doping methods of semiconductor materials: the nuclear doping by charged particles

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.

    1996-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) by charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monograths and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. (author)

  17. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  18. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  19. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  20. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  1. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  2. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  3. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  4. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  5. Physics and application of persistent spin helix state in semiconductor heterostructures

    Science.gov (United States)

    Kohda, Makoto; Salis, Gian

    2017-07-01

    In order to utilize the spin degree of freedom in semiconductors, control of spin states and transfer of the spin information are fundamental requirements for future spintronic devices and quantum computing. Spin orbit (SO) interaction generates an effective magnetic field for moving electrons and enables spin generation, spin manipulation and spin detection without using external magnetic field and magnetic materials. However, spin relaxation also takes place due to a momentum dependent SO-induced effective magnetic field. As a result, SO interaction is considered to be a double-edged sword facilitating spin control but preventing spin transport over long distances. The persistent spin helix (PSH) state solves this problem since uniaxial alignment of the SO field with SU(2) symmetry enables the suppression of spin relaxation while spin precession can still be controlled. Consequently, understanding the PSH becomes an important step towards future spintronic technologies for classical and quantum applications. Here, we review recent progress of PSH in semiconductor heterostructures and its device application. Fundamental physics of SO interaction and the conditions of a PSH state in semiconductor heterostructures are discussed. We introduce experimental techniques to observe a PSH and explain both optical and electrical measurements for detecting a long spin relaxation time and the formation of a helical spin texture. After emphasizing the bulk Dresselhaus SO coefficient γ, the application of PSH states for spin transistors and logic circuits are discussed.

  6. Novel room temperature ferromagnetic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Amita [KTH Royal Inst. of Technology, Stockholm (Sweden)

    2004-06-01

    Today's information world, bits of data are processed by semiconductor chips, and stored in the magnetic disk drives. But tomorrow's information technology may see magnetism (spin) and semiconductivity (charge) combined in one 'spintronic' device that exploits both charge and 'spin' to carry data (the best of two worlds). Spintronic devices such as spin valve transistors, spin light emitting diodes, non-volatile memory, logic devices, optical isolators and ultra-fast optical switches are some of the areas of interest for introducing the ferromagnetic properties at room temperature in a semiconductor to make it multifunctional. The potential advantages of such spintronic devices will be higher speed, greater efficiency, and better stability at a reduced power consumption. This Thesis contains two main topics: In-depth understanding of magnetism in Mn doped ZnO, and our search and identification of at least six new above room temperature ferromagnetic semiconductors. Both complex doped ZnO based new materials, as well as a number of nonoxides like phosphides, and sulfides suitably doped with Mn or Cu are shown to give rise to ferromagnetism above room temperature. Some of the highlights of this work are discovery of room temperature ferromagnetism in: (1) ZnO:Mn (paper in Nature Materials, Oct issue, 2003); (2) ZnO doped with Cu (containing no magnetic elements in it); (3) GaP doped with Cu (again containing no magnetic elements in it); (4) Enhancement of Magnetization by Cu co-doping in ZnO:Mn; (5) CdS doped with Mn, and a few others not reported in this thesis. We discuss in detail the first observation of ferromagnetism above room temperature in the form of powder, bulk pellets, in 2-3 mu-m thick transparent pulsed laser deposited films of the Mn (<4 at. percent) doped ZnO. High-resolution transmission electron microscopy (HRTEM) and electron energy loss spectroscopy (EELS) spectra recorded from 2 to 200nm areas showed homogeneous

  7. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  8. Concept Maps as Expressions of Teachers' Meaning-Making While Beginning to Teach Semiconductors

    Science.gov (United States)

    Rollnick, Marissa; Mundalamo, Fhatuwani; Booth, Shirley

    2013-01-01

    The challenge of teaching new subject matter is a familiar one for most teachers. This paper investigates the content knowledge gains made by seven teachers as they learn to teach the topic of semiconductors through a process of self-study. "Semiconductors" is a new topic in the curriculum which looks at the sub-microscopic properties of…

  9. Nonlinear dynamics of semiconductors in strong THz electric fields

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun

    In this thesis, we investigate nonlinear interactions of an intense terahertz (THz) field with semiconductors, in particular the technologically relevant materials silicon and silicon carbide. We reveal the time-resolved dynamics of the nonlinear processes by pump-probe experiments that involve...

  10. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  11. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  12. The ATLAS semiconductor tracker (SCT)

    International Nuclear Information System (INIS)

    Jackson, J.N.

    2005-01-01

    The ATLAS detector (CERN,LHCC,94-43 (1994)) is designed to study a wide range of physics at the CERN Large Hadron Collider (LHC) at luminosities up to 10 34 cm -2 s -1 with a bunch-crossing rate of 40 MHz. The Semiconductor Tracker (SCT) forms a key component of the Inner Detector (vol. 1, ATLAS TDR 4, CERN,LHCC 97-16 (1997); vol. 2, ATLAS TDR 5, CERN,LHCC 97-17 (1997)) which is situated inside a 2 T solenoid field. The ATLAS Semiconductor Tracker (SCT) utilises 4088 silicon modules with binary readout mounted on carbon fibre composite structures arranged in the forms of barrels in the central region and discs in the forward region. The construction of the SCT is now well advanced. The design of the SCT modules, services and support structures will be briefly outlined. A description of the various stages in the construction process will be presented with examples of the performance achieved and the main difficulties encountered. Finally, the current status of the construction is reviewed

  13. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  14. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  15. Latest progress in gallium-oxide electronic devices

    Science.gov (United States)

    Higashiwaki, Masataka; Wong, Man Hoi; Konishi, Keita; Nakata, Yoshiaki; Lin, Chia-Hung; Kamimura, Takafumi; Ravikiran, Lingaparthi; Sasaki, Kohei; Goto, Ken; Takeyama, Akinori; Makino, Takahiro; Ohshima, Takeshi; Kuramata, Akito; Yamakoshi, Shigenobu; Murakami, Hisashi; Kumagai, Yoshinao

    2018-02-01

    Gallium oxide (Ga2O3) has emerged as a new competitor to SiC and GaN in the race toward next-generation power switching and harsh environment electronics by virtue of the excellent material properties and the relative ease of mass wafer production. In this proceedings paper, an overview of our recent development progress of Ga2O3 metal-oxide-semiconductor field-effect transistors and Schottky barrier diodes will be reported.

  16. Development of an integrated response generator for Si/CdTe semiconductor Compton cameras

    International Nuclear Information System (INIS)

    Odaka, Hirokazu; Sugimoto, Soichiro; Ishikawa, Shin-nosuke; Katsuta, Junichiro; Koseki, Yuu; Fukuyama, Taro; Saito, Shinya; Sato, Rie; Sato, Goro; Watanabe, Shin

    2010-01-01

    We have developed an integrated response generator based on Monte Carlo simulation for Compton cameras composed of silicon (Si) and cadmium telluride (CdTe) semiconductor detectors. In order to construct an accurate detector response function, the simulation is required to include a comprehensive treatment of the semiconductor detector devices and the data processing system in addition to simulating particle tracking. Although CdTe is an excellent semiconductor material for detection of soft gamma rays, its ineffective charge transport property distorts its spectral response. We investigated the response of CdTe pad detectors in the simulation and present our initial results here. We also performed the full simulation of prototypes of Si/CdTe semiconductor Compton cameras and report on the reproducibility of detection efficiencies and angular resolutions of the cameras, both of which are essential performance parameters of astrophysical instruments.

  17. Research Progress of Photoanodes for Quantum Dot Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    LI Zhi-min

    2017-08-01

    Full Text Available This paper presents the development status and tendency of quantum dot sensitized solar cells. Photoanode research progress and its related technologies are analyzed in detail from the three ways of semiconductor thin films, quantum dot co-sensitization and quantum dot doping, deriving from the approach that the conversion efficiency can be improved by photoanode modification for quantum dot sensitized solar cells. According to the key factors which restrict the cell efficiency, the promising future development of quantum dot sensitized solar cells is proposed,for example,optimizing further the compositions and structures of semiconductor thin films for the photoanodes, exploring new quantum dots with broadband absorption and developing high efficient techniques of interface modification.

  18. The development of intelligent expert system with SAT for semiconductor

    International Nuclear Information System (INIS)

    Kim, Jae Yeol; Shim, Jae Gi; Jeong, Hyun Jo; Cho, Young Tae; Kim, Chang Hyun; Ko, Myung Soo

    2001-01-01

    In this study, the researches classifying the artificial flaws in semiconductor packages are performed using pattern recognition technology. For this purposes image pattern recognition package including the user made software was developed and total procedure including ultrasonic image acquisition, equalization filtering, binary processing, edge detection and classifier selection is treated by BP(backpropagation). Specially, it is compared IP(image processing) and SOM(self-organizing map) as preprocessing method for dimensionality reduction for entrance into multi-layer perceptron(backpropagation). Also, the pattern recognition techniques is applied to the classification problem of semiconductor flaws as crack, delamination. According to this results, it is possible to acquire the recognition rate of 83.4% about delamination, 75.7% about crack for SOM, and to acquire the recognition rate of 100% for BP.

  19. Development of semiconductor electronics

    International Nuclear Information System (INIS)

    Bardeen, John.

    1977-01-01

    In 1931, Wilson applied Block's theory about the energy bands for the motion of electrons in a crystal lattice to semiconductors and showed that conduction can take place in two different ways, by electrons and by holes. Not long afterwards Frenkel showed that these carriers can flow by diffusion in a concentration gradient as well as under the influence of an electric field and wrote down equations for the current flow. The third major contribution, in the late 1930's was the explanation of rectification at a metalsemiconductor contact by Mott and more completely by Schottky. In late 1947 the first transistor of the point contact type was invented by Brattin, Shockley and Bardeen. Then after single crystals of Ge were grown, the junction transistor was developed by the same group. The first silicon transistors appeared in 1954. Then an important step was discovery of the planar transistor by Hoenri in 1960 which led to development of integrated circuits by 1962. Many transistors are produced by batch processing on a slice of silicon. Then in 1965 Mos (Metal-Oxide Semiconductor) transistor and in 1968 LSI (Large Scale Intergration circuits) were developed. Aside from electronic circuits, there are many other applications of semiconductors, including junction power rectifiers, junction luminescence (including lasers), solar batteries, radiation detectors, microwave oscillators and charged-coupled devices for computer memories and devices. One of the latest developments is a microprocessor with thousands of transistors and associated circuitry on a single small chip of silicon. It can be programmed to provide a variety of circuit functions, thus it is not necessary to go through the great expense of LSI's for each desired function, but to use standard microprocessors and program to do the job

  20. Semiconductor nanowires for photovoltaic and photoelectrochemical energy conversion

    Energy Technology Data Exchange (ETDEWEB)

    Dasgupta, Neil; Yang, Peidong

    2013-01-23

    Semiconductor nanowires (NW) possess several beneficial properties for efficient conversion of solar energy into electricity and chemical energy. Due to their efficient absorption of light, short distances for minority carriers to travel, high surface-to-volume ratios, and the availability of scalable synthesis methods, they provide a pathway to address the low cost-to-power requirements for wide-scale adaptation of solar energy conversion technologies. Here we highlight recent progress in our group towards implementation of NW components as photovoltaic and photoelectrochemical energy conversion devices. An emphasis is placed on the unique properties of these one-dimensional (1D) structures, which enable the use of abundant, low-cost materials and improved energy conversion efficiency compared to bulk devices.

  1. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  2. Ultrafast THz Saturable Absorption in Doped Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hoffmann, Matthias C.

    2011-01-01

    We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields.......We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields....

  3. Leukemia and non-Hodgkin lymphoma in semiconductor industry workers in Korea.

    Science.gov (United States)

    Kim, Inah; Kim, Hyun J; Lim, Sin Y; Kongyoo, Jungok

    2012-01-01

    Reports of leukemia and non-Hodgkin lymphoma (NHL), cancers known to have a similar pathophysiology, among workers in the semiconductor industry have generated much public concern in Korea. This paper describes cases reported to the NGO Supporters for the Health and Rights of People in the Semiconductor Industry (SHARPs). We identified demographic characteristics, occupational, and disease history, for 17 leukemia and NHL cases from the Giheung Samsung semiconductor plant, diagnosed from November 2007 to January 2011. Patients were relatively young (mean = 28·5 years, SD = 6·5) at the time of diagnosis and the mean latency period was 104·3 months (SD = 65·8). Majority of the cases were fabrication operators (11 workers among 17) and 12 were hired before 2000. Six cases worked in the etching or diffusion process. The evidence to confirm the causal relationship between exposures in the semiconductor industry and leukemia or NHL remains insufficient and a more formal, independent study of the exposure-disease relationship in this occupation is needed. However, workers should be protected from the potential exposures immediately.

  4. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  5. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  6. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  7. Modeling of the transient mobility in disordered organic semiconductors

    NARCIS (Netherlands)

    Germs, W.C.; Van der Holst, J.M.M.; Van Mensfoort, S.L.M.; Bobbert, P.A.; Coehoorn, R.

    2011-01-01

    In non-steady-state experiments, the electrical response of devicesbased on disordered organic semiconductors often shows a large transient contribution due to relaxation of the out-of-equilibrium charge-carrier distribution. We have developed a model describing this process, based only on the

  8. Impact of Molecular Orientation and Packing Density on Electronic Polarization in the Bulk and at Surfaces of Organic Semiconductors

    KAUST Repository

    Ryno, Sean; Risko, Chad; Bredas, Jean-Luc

    2016-01-01

    The polarizable environment surrounding charge carriers in organic semiconductors impacts the efficiency of the charge transport process. Here, we consider two representative organic semiconductors, tetracene and rubrene, and evaluate

  9. FDTD simulations of near-field mediated semiconductor molecular optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Dai; Sakrow, Marcus; Mihaljevic, Josip; Meixner, Alfred J. [Institute of Physical and Theoretical Chemistry, University Tuebingen, Auf der Morgenstelle 8, Tuebingen (Germany)

    2010-07-01

    The optical properties of molecules can be dramatically altered when they are in a close proximity of an excited metal antenna. In order to get insight into how the antenna generated near-field influences the optical properties of low quantum yield molecules, we carried out FDTD simulations of a sharp laser-illuminated Au tip approaching to a semiconductor thin film. The time-averaged field distribution between the semiconductor thin film and the tip antenna is calculated regarding to different distances. Our calculation demonstrates that the coupling between the localized plasmon at the tip apex and semiconductor polariton can be achieved building up a distance-dependent high field enhancement. Our experimental results show that such a high field strength enhances not only the excitation process by a factor of 104, but alters the radiative: non-radiative decay rate giving approx. 15 times stronger photoluminescence emission.

  10. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2013-01-01

    This third edition of “Semiconductor Lasers, Stability, Instability and Chaos” was significantly extended.  In the previous edition, the dynamics and characteristics of chaos in semiconductor lasers after the introduction of the fundamental theory of laser chaos and chaotic dynamics induced by self-optical feedback and optical injection was discussed. Semiconductor lasers with new device structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are interesting devices from the viewpoint of chaotic dynamics since they essentially involve chaotic dynamics even in their free-running oscillations. These topics are also treated with respect to the new developments in the current edition. Also the control of such instabilities and chaos control are critical issues for applications. Another interesting and important issue of semiconductor laser chaos in this third edition is chaos synchronization between two lasers and the application to optical secure communication. One o...

  11. Nonequilibrium carrier dynamics in transition metal dichalcogenide semiconductors

    Science.gov (United States)

    Steinhoff, A.; Florian, M.; Rösner, M.; Lorke, M.; Wehling, T. O.; Gies, C.; Jahnke, F.

    2016-09-01

    When exploring new materials for their potential in (opto)electronic device applications, it is important to understand the role of various carrier interaction and scattering processes. In atomically thin transition metal dichalcogenide semiconductors, the Coulomb interaction is known to be much stronger than in quantum wells of conventional semiconductors like GaAs, as witnessed by the 50 times larger exciton binding energy. The question arises, whether this directly translates into equivalently faster carrier-carrier Coulomb scattering of excited carriers. Here we show that a combination of ab initio band-structure and many-body theory predicts Coulomb-mediated carrier relaxation on a sub-100 fs time scale for a wide range of excitation densities, which is less than an order of magnitude faster than in quantum wells.

  12. Two-arm semiconductor spectrometer for charged particles for the investigation of absorption by nuclei of stopped negative pions

    International Nuclear Information System (INIS)

    Gornov, M.G.; Gurov, Yu.B.; Lukin, A.S.; Morokhov, P.V.; Pechkurov, V.A.; Pichugin, A.P.; Saveliev, V.I.; Shafigullin, R.R.; Sergeev, F.M.; Khomutov, A.A.; Oganesian, K.O.; Osipenko, B.P.; Sandukovsky, V.G.

    1984-01-01

    A two-arm semiconductor spectrometer for the detection of secondary charged particles, such as p, d, t, 3 He, 4 He and of their correlations in the process of stopped pion absorption by nuclei is described. The spectrometer consists of two telescopes of Si-detectors with a sensitive surface of 800 mm 2 , two semiconductor detectors as monitors and the 'live' target, a silicon surface barrier detector. The number of semiconductor detectors is 19. A technique for pion stop selection in thin targets is described. The problem of particle identification and of measurements of their energy with the help of a multicrystal semiconductor telescope is discussed. The technique provides an absolute normalization of spectra. The 'alive' target helps to obtain more information on the process of pion absorption by Si-nuclei. (orig.)

  13. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  14. Optical coherent control in semiconductors

    DEFF Research Database (Denmark)

    Østergaard, John Erland; Vadim, Lyssenko; Hvam, Jørn Märcher

    2001-01-01

    of quantum control including the recent applications to semiconductors and nanostructures. We study the influence of inhomogeneous broadening in semiconductors on CC results. Photoluminescence (PL) and the coherent emission in four-wave mixing (FWM) is recorded after resonant excitation with phase...

  15. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  16. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  17. The role of rare earths in narrow energy gap semiconductors

    International Nuclear Information System (INIS)

    Partin, D.L.; Heremans, J.; Morelli, D.T.; Thrush, C.M.

    1991-01-01

    Narrow energy band gap semiconductors are potentially useful for various devices, including infrared detectors and diode lasers. Rare earth elements have been introduced into lead chalcogenide semiconductors using the molecular beam epitaxy growth process. Europium and ytterbium increase the energy band gap, and nearly lattice-matched heterojunctions have been grown. In some cases, valence changes in the rare earth element cause doping of the alloy. In this paper some initial investigations of the addition of europium to indium antimonide are reported, including the variation of lattice parameter and optical transmission with composition and a negative magnetoresistance effect

  18. Nuclear radiation detection by a variband semiconductor

    International Nuclear Information System (INIS)

    Volkov, A.S.

    1981-01-01

    Possibilities of using a variband semiconductor for detecting nuclear radiations are considered. It is shown that the variaband quasielectric field effectively collects charges induced by a nuclear particle only at a small mean free path in the semiconductor (up to 100 μm), the luminescence spectrum of the variband semiconductor when a nuclear particle gets into it, in principle, permits to determine both the energy and mean free path in the semiconductor (even at large mean free paths) [ru

  19. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  20. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  1. Process monitoring in high volume semiconductor production environment with in-fab TXRF

    International Nuclear Information System (INIS)

    Ghatak-Roy, A.R.; Hossain, T.Z.

    2000-01-01

    After its introduction in the 80's, TXRF has become an important tool for surface contamination analysis. This is particularly true for the semiconductor industries, where monitoring trace level contamination in ultra clean environment is absolutely necessary for successful device production with reasonable yield. In FAB 25 of the Advanced Micro Devices in Austin, we have installed two TXRF tools, which are model TXRF3750 manufactured by Rigaku. They contain rotating tungsten anodes with three beam capability for wide selection of elements. One of the beams (WM) is used for monitoring of low Z elements such as Na, Mg and Al. The standard output is 9 kW with 300 mA at 30 kV. The tool runs 24 hours a day, 7 days a week, except for maintenance and breakdowns. We have been using TXRF for in-fab monitoring of various tools and processes for trace contamination and some quantification of materials. This in-fab operation is important because it gives real time monitoring without the necessity of bringing the wafers out of the fab. Secondly, being in ultra clean fab environment, the risk of background contamination is minimized. Since TXRF measurement is fast and does not need any sample preparation, this works very well as production support tool. Several wafer fab technicians have been trained to use the tool for round the clock operation. We have successfully monitored tools and processes in our fab. One example is the monitoring of numerous sinks used in the cleaning of production wafers after various processes. Monitor wafers are run after sink cleaning and solvent changes and they are then analyzed for any contamination. Another example is the monitoring of tools that use Ferrofluidic seals so as to prevent any contamination from Fe and Cr. Other tools using TXRF include diffusion furnaces, etchers and plasma cleaning tools. We have also been monitoring processes such as ion implantation, metal deposition and rapid thermal annealing. In this presentation, we will

  2. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  3. Density functional theory and beyond-opportunities for quantum methods in materials modeling semiconductor technology

    International Nuclear Information System (INIS)

    Shankar, Sadasivan; Simka, Harsono; Haverty, Michael

    2008-01-01

    In the semiconductor industry, the use of new materials has been increasing with the advent of nanotechnology. As critical dimensions decrease, and the number of materials increases, the interactions between heterogeneous materials themselves and processing increase in complexity. Traditionally, applications of ab initio techniques are confined to electronic structure and band gap calculations of bulk materials, which are then used in coarse-grained models such as mesoscopic and continuum models. Density functional theory is the most widely used ab initio technique that was successfully extended to several applications. This paper illustrates applications of density functional theory to semiconductor processes and proposes further opportunities for use of such techniques in process development

  4. Electropolishing as a decontamination process: progress and applications

    International Nuclear Information System (INIS)

    Allen, R.P.; Arrowsmith, H.W.; Charlot, L.A.; Hooper, J.L.

    1978-04-01

    Research studies demonstrated the ability of electropolishing to reduce the radiation levels of steel tools and stainless steel vacuum system components, which were heavily contaminated with plutonium oxide, from 1 million dis/min x 100 cm 2 to background in less than 10 min. Other examples of objects that have been decontaminated within minutes using electropolishing include hot cell manipulator assemblies, analytical instrument components, laboratory transfer containers, offsite shipping containers, fission product storage capsules, laboratory animal cages, and nuclear reactor process tube components. One of the major activities of this research has been the establishment and intensive operation of a 400-gal immersion electropolishing system. Progress has also been made in developing in situ electropolishing techniques that can be used to decontaminate metallic surfaces that cannot readily be transported to or immersed in a conventional electropolishing tank. Sectioning/pretreatment studies are under way to develop and demonstrate optimum disassembly, sectioning, surface preparation, and gross contamination removal procedures. Arc saw, plasma arc torch, and explosive cutting techniques are being evaluated in terms of the thickness and characteristics of the disturbed metal layer. Some of the pretreatment methods under consideration for removal of paint, grease, corrosion layers, and gross contamination include vibratory finishing, ultrasonics, dry and liquid abrasive blasting, and high-pressure spray systems. Other supporting studies are also in progress to provide a sound technical basis for scale-up and widespread application of this new decontamination process. 44 figures

  5. Boosting the ambipolar performance of solution-processable polymer semiconductors via hybrid side-chain engineering.

    Science.gov (United States)

    Lee, Junghoon; Han, A-Reum; Yu, Hojeong; Shin, Tae Joo; Yang, Changduk; Oh, Joon Hak

    2013-06-26

    Ambipolar polymer semiconductors are highly suited for use in flexible, printable, and large-area electronics as they exhibit both n-type (electron-transporting) and p-type (hole-transporting) operations within a single layer. This allows for cost-effective fabrication of complementary circuits with high noise immunity and operational stability. Currently, the performance of ambipolar polymer semiconductors lags behind that of their unipolar counterparts. Here, we report on the side-chain engineering of conjugated, alternating electron donor-acceptor (D-A) polymers using diketopyrrolopyrrole-selenophene copolymers with hybrid siloxane-solubilizing groups (PTDPPSe-Si) to enhance ambipolar performance. The alkyl spacer length of the hybrid side chains was systematically tuned to boost ambipolar performance. The optimized three-dimensional (3-D) charge transport of PTDPPSe-Si with pentyl spacers yielded unprecedentedly high hole and electron mobilities of 8.84 and 4.34 cm(2) V(-1) s(-1), respectively. These results provide guidelines for the molecular design of semiconducting polymers with hybrid side chains.

  6. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  7. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  8. 46 CFR 183.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  9. Temperature Dependence of Charge Localization in High-Mobility, Solution-Crystallized Small Molecule Semiconductors Studied by Charge Modulation Spectroscopy

    DEFF Research Database (Denmark)

    Meneau, Aurélie Y. B.; Olivier, Yoann; Backlund, Tomas

    2016-01-01

    In solution-processable small molecule semiconductors, the extent of charge carrier wavefunction localization induced by dynamic disorder can be probed spectroscopically as a function of temperature using charge modulation spectroscopy (CMS). Here, it is shown based on combined fi eld-effect tran......In solution-processable small molecule semiconductors, the extent of charge carrier wavefunction localization induced by dynamic disorder can be probed spectroscopically as a function of temperature using charge modulation spectroscopy (CMS). Here, it is shown based on combined fi eld......-effect transistor and CMS measurements as a function of temperature that in certain molecular semiconductors, such as solution-processible pentacene, charge carriers become trapped at low temperatures in environments in which the charges become highly localized on individual molecules, while in some other molecules...

  10. An investigation into the use of large area silicon semiconductors in microwave systems

    International Nuclear Information System (INIS)

    Holliday, H.R.

    1999-09-01

    Semiconductor microwave devices are usually manufactured using micron or sub-micron geometries. The equipment needed for these techniques has a high capital cost and demands high overheads. The material traditionally processed for microwave applications is gallium arsenide but during the period of this investigation a move towards the use of silicon and silicon germanium has emerged. This study, which is essentially practical, covers a range of new ideas for components using large area silicon devices. In the course of the study considerable progress has also been made in the understanding of the behaviour of silicon at microwave frequencies, and some of the initial Concepts were shown to be invalid. An accurate determination of the dielectric constant of silicon has been made using quasi optical techniques at microwave frequencies. The fabrication techniques described originate from methods used at Q-par Angus to manufacture large area silicon nuclear radiation detectors. Developed at the University of Birmingham, these are 'wet chemistry' methods that preclude the need for diffusion or other conventional semiconductor processing techniques. Novel microwave components have been developed using these techniques. These include an optically controlled attenuator with multioctave bandwidth and good dynamic range; window devices to reduce the radar cross section of microwave antennas; and microwave cavity devices including a variable-Q cavity. Concepts for millimeter wave filters are discussed, as are areas for further research. During the attenuator study Wheeler's equations have been extended to cover truncated microstrip. It was observed at an early stage in the work that optical excitation was very effective as a method of controlling the devices. This fits well with current trends in electro-optical devices. The piezo resistance effect in silicon has been briefly investigated and a mechanical attenuator exploiting this effect has been developed. (author)

  11. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  12. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  13. 46 CFR 120.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  14. Coherent dynamics in semiconductors

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    1998-01-01

    enhanced in quantum confined lower-dimensional systems, where exciton and biexciton effects dominate the spectra even at room temperature. The coherent dynamics of excitons are at modest densities well described by the optical Bloch equations and a number of the dynamical effects known from atomic......Ultrafast nonlinear optical spectroscopy is used to study the coherent dynamics of optically excited electron-hole pairs in semiconductors. Coulomb interaction implies that the optical inter-band transitions are dominated, at least at low temperatures, by excitonic effects. They are further...... and molecular systems are found and studied in the exciton-biexciton system of semiconductors. At densities where strong exciton interactions, or many-body effects, become dominant, the semiconductor Bloch equations present a more rigorous treatment of the phenomena Ultrafast degenerate four-wave mixing is used...

  15. Ultrafast dynamics of photoexcited charge and spin currents in semiconductor nanostructures

    Science.gov (United States)

    Meier, Torsten; Pasenow, Bernhard; Duc, Huynh Thanh; Vu, Quang Tuyen; Haug, Hartmut; Koch, Stephan W.

    2007-02-01

    Employing the quantum interference among one- and two-photon excitations induced by ultrashort two-color laser pulses it is possible to generate charge and spin currents in semiconductors and semiconductor nanostructures on femtosecond time scales. Here, it is reviewed how the excitation process and the dynamics of such photocurrents can be described on the basis of a microscopic many-body theory. Numerical solutions of the semiconductor Bloch equations (SBE) provide a detailed description of the time-dependent material excitations. Applied to the case of photocurrents, numerical solutions of the SBE for a two-band model including many-body correlations on the second-Born Markov level predict an enhanced damping of the spin current relative to that of the charge current. Interesting effects are obtained when the scattering processes are computed beyond the Markovian limit. Whereas the overall decay of the currents is basically correctly described already within the Markov approximation, quantum-kinetic calculations show that memory effects may lead to additional oscillatory signatures in the current transients. When transitions to coupled heavy- and light-hole valence bands are incorporated into the SBE, additional charge and spin currents, which are not described by the two-band model, appear.

  16. On measurement of charge behavior with super high velocity formed in semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hirao, Toshio; Sakai, Takuro; Hamano, Tsuyoshi; Nashiyama, Isamu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Nemoto, Norio; Matsuda, Sumio

    1996-12-01

    The basic process of single event phenomenon of the semiconductor element consists of formation of electron and positive hole pair due to penetration of incident particle and collection of small number of carrier into pn-junction added with inverse bias. This collecting process has a rapid process of about 200 ps and a late process reaching to some nanoseconds. And, it is an important problem to develop radiation resistant element of superconducting element usable for the space environment to acquire single event parameter by directly observing charge collection using pn-junction diode constructing basic structure of the semiconductor element. At present, an experiment on the single event phenomenon in actual device is executed by using cyclotron of TIARA irradiation facility in Takasaki Radiation Chemistry Research Establishment, JAERI. On the other hand, the experiments on mechanism elucidation of the single event phenomenon and so on are conducting by using heavy ion microbeam installed at tandem accelerator. As an experiment result measured high speed charge collection on irradiating the heavy ion microbeam into the semiconductor element, following items were found: (1) The single event transient current waveform becomes high in its peak and many in collected charge with magnitude of LET, (2) rise of the transient current waveform formed in each ion specie shows a shift to long time side with increase of LET, and so forth. (G.K.)

  17. Analysis of quantum semiconductor heterostructures by ballistic electron emission spectroscopy

    Science.gov (United States)

    Guthrie, Daniel K.

    1998-09-01

    The microelectronics industry is diligently working to achieve the goal of gigascale integration (GSI) by early in the 21st century. For the past twenty-five years, progress toward this goal has been made by continually scaling down device technology. Unfortunately, this trend cannot continue to the point of producing arbitrarily small device sizes. One possible solution to this problem that is currently under intensive study is the relatively new area of quantum devices. Quantum devices represent a new class of microelectronic devices that operate by utilizing the wave-like nature (reflection, refraction, and confinement) of electrons together with the laws of quantum mechanics to construct useful devices. One difficulty associated with these structures is the absence of measurement techniques that can fully characterize carrier transport in such devices. This thesis addresses this need by focusing on the study of carrier transport in quantum semiconductor heterostructures using a relatively new and versatile measurement technique known as ballistic electron emission spectroscopy (BEES). To achieve this goal, a systematic approach that encompasses a set of progressively more complex structures is utilized. First, the simplest BEES structure possible, the metal/semiconductor interface, is thoroughly investigated in order to provide a foundation for measurements on more the complex structures. By modifying the semiclassical model commonly used to describe the experimental BEES spectrum, a very complete and accurate description of the basic structure has been achieved. Next, a very simple semiconductor heterostructure, a Ga1-xAlxAs single-barrier structure, was measured and analyzed. Low-temperature measurements on this structure were used to investigate the band structure and electron-wave interference effects in the Ga1-xAlxAs single barrier structure. These measurements are extended to a simple quantum device by designing, measuring, and analyzing a set of

  18. Photoreduction of carbon dioxide and water into formaldehyde and methanol on semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Aurian-Blajeni, B; Halmann, M; Manassen, J

    1980-01-01

    Heterogeneous photoassisted reduction of aqueous carbon dioxide was achieved using semiconductor powders, with either high-pressure Hg-lamps or sunlight as energy sources. The products were methanol, formaldehyde and methane. The reaction was carried out either as a gas-solid process, by passing carbon dioxide and water vapor over illuminated semiconductor surfaces, or as a liquid-solid reaction, by illuminating aqueous suspensions of semiconductor powders through which carbon dioxide was bubbled. Best results, under illumination by Hg-lamps, were obtained with aqueous suspensions of strontium titanate, SrTiO3, tungsten oxide, WO3, and titanium oxide, TiO2, resulting in absorbed energy conversion efficiencies of 6, 5.9 and 1.2 per cent, respectively.

  19. Mechanisms of current flow in metal-semiconductor ohmic contacts

    International Nuclear Information System (INIS)

    Blank, T. V.; Gol'dberg, Yu. A.

    2007-01-01

    Published data on the properties of metal-semiconductor ohmic contacts and mechanisms of current flow in these contacts (thermionic emission, field emission, thermal-field emission, and also current flow through metal shunts) are reviewed. Theoretical dependences of the resistance of an ohmic contact on temperature and the charge-carrier concentration in a semiconductor were compared with experimental data on ohmic contacts to II-VI semiconductors (ZnSe, ZnO), III-V semiconductors (GaN, AlN, InN, GaAs, GaP, InP), Group IV semiconductors (SiC, diamond), and alloys of these semiconductors. In ohmic contacts based on lightly doped semiconductors, the main mechanism of current flow is thermionic emission with the metal-semiconductor potential barrier height equal to 0.1-0.2 eV. In ohmic contacts based on heavily doped semiconductors, the current flow is effected owing to the field emission, while the metal-semiconductor potential barrier height is equal to 0.3-0.5 eV. In alloyed In contacts to GaP and GaN, a mechanism of current flow that is not characteristic of Schottky diodes (current flow through metal shunts formed by deposition of metal atoms onto dislocations or other imperfections in semiconductors) is observed

  20. Donors in Semiconductors - are they Understood in Electronic Era?

    International Nuclear Information System (INIS)

    Dmochowski, Janusz E

    2007-01-01

    The physics of semiconductors and contemporary electronics cannot be understood without impurities. The hydrogen-like shallow donor (and acceptor) state of electron (hole) bound by Coulomb electrostatic force of excess charge of impurity is used to control conductivity of semiconductors and construct semiconductor diodes, transistors and numerous types of semiconductor electronic and optoelectronic devices, including lasers. Recently, surprisingly, the physics of impurity donors appeared to be much reacher. Experimental evidence has been provided for universal existence of other types of electronic states of the same donor impurity: i) mysterious, deep, DX-type state resulting in metastability - slow hysteresis phenomena - understood as two-electron, acceptor-like state of donor impurity, formed upon large lattice distortion or rearrangement around impurity and accompanying capture of second electron, resulting in negative electron correlation energy U; ii) deep, localized, fully symmetric, A1, one-electron donor state of substitutional impurity. The latter state can be formed from the 'ordinary' shallow hydrogen-like state in the process of strong localization of electron by short range, local potential of impurity core, preserving full (A 1 ) symmetry of the substitutional impurity in the host lattice. The 'anticrossing' of the two A 1 (shallow hydrogenic and deep localized) energy levels upon transformation is observed. All types of electronic states of impurity can be universally observed for the same donor impurity and mutual transformation between different states occur upon changing experimental conditions. The knowledge about existence and properties of these n ew , molecular type, donor states in semiconductors seems still await general recognition and positive application in contemporary material and device science and engineering

  1. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  2. Apparatus for testing semiconductor devices and capacitors

    International Nuclear Information System (INIS)

    York, R.A.

    1984-01-01

    An apparatus is provided for testing semiconductor devices. The apparatus tests the impedance of the semiconductor devices in both conducting and non-conducting states to detect semiconductors whose impedance in the conducting state is too high or whose impedance in the non-conducting state is too low. The apparatus uses a battery source for low voltage d.c. The circuitry for detecting when the impedance is too high in the conducting state includes a lamp in series with the battery source and the semiconductor device, whereby the impedance of the semiconductor device determines whether sufficient current will flow through the lamp to cause the lamp to illuminate. A d.c. to d.c. converter is provided to boost the voltage from the battery source to a relatively high voltage d.c. The relatively high voltage d.c. can be connected by a switch to circuitry for detecting when the impedance of the semiconductor device in the non-conducting state is too low. The circuitry for detecting when the impedance of the semiconductor device is too low includes a resistor which senses the current flowing in the device and converts the current into a voltage proportional to the leakage current. This voltage is then compared against a fixed reference. Further circuitry is provided for providing a visual indication when the voltage representative of leakage in relation to the reference signal indicates that there is excessive current flow through the semiconductor device

  3. Rectification at Graphene-Semiconductor Interfaces: Zero-Gap Semiconductor-Based Diodes

    Directory of Open Access Journals (Sweden)

    S. Tongay

    2012-01-01

    Full Text Available Using current-voltage (I-V, capacitance-voltage (C-V, and electric-field-modulated Raman measurements, we report on the unique physics and promising technical applications associated with the formation of Schottky barriers at the interface of a one-atom-thick zero-gap semiconductor (graphene and conventional semiconductors. When chemical-vapor-deposited graphene is transferred onto n-type Si, GaAs, 4H-SiC, and GaN semiconductor substrates, there is a strong van-der-Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky barrier. Thermionic-emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. Applications can be made to sensors, where in forward bias there is exponential sensitivity to changes in the Schottky-barrier height due to the presence of absorbates on the graphene, and to analog devices, for which Schottky barriers are integral components. Such applications are promising because of graphene’s mechanical stability, its resistance to diffusion, its robustness at high temperatures, and its demonstrated capability to embrace multiple functionalities.

  4. Reflection technique for thermal mapping of semiconductors

    Science.gov (United States)

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  5. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  6. Emission and Absorption Entropy Generation in Semiconductors

    DEFF Research Database (Denmark)

    Reck, Kasper; Varpula, Aapo; Prunnila, Mika

    2013-01-01

    While emission and absorption entropy generation is well known in black bodies, it has not previously been studied in semiconductors, even though semiconductors are widely used for solar light absorption in modern solar cells [1]. We present an analysis of the entropy generation in semiconductor...... materials due to emission and absorption of electromagnetic radiation. It is shown that the emission and absorption entropy generation reduces the fundamental limit on the efficiency of any semiconductor solar cell even further than the Landsberg limit. The results are derived from purely thermodynamical...

  7. Application of positron annihilation techniques for semiconductor studies

    International Nuclear Information System (INIS)

    Karwasz, G.P.; Zecca, A.; Brusa, R.S.; Pliszka, D.

    2004-01-01

    Positron annihilation techniques, being non-destructive, allowing depth profiling down to a few micrometers and detecting open-volume defects (vacancies, dislocations etc.) at single ppm concentrations constitute a valuable and complementary method, compared to other solid-state-physics studies. We give examples of investigation in the field of semiconductors with different techniques, both with and without use of positron low-energy beams. The Doppler broadening of the 511 keV annihilation line method and the slow positron beam were used to study helium-implanted silicon and the surface reduction processes in semiconducting glasses. The positron lifetime technique and coincidence spectra of the Doppler broadening were used for systematic studies of metals and semiconductors. Doppler-coincidence method was then used to identify the kinetics of oxygen precipitates in Czochralski-grown silicon

  8. Liquid Crystalline Semiconductors Materials, properties and applications

    CERN Document Server

    Kelly, Stephen; O'Neill, Mary

    2013-01-01

    This is an exciting stage in the development of organic electronics. It is no longer an area of purely academic interest as increasingly real applications are being developed, some of which are beginning to come on-stream. Areas that have already been commercially developed or which are under intensive development include organic light emitting diodes (for flat panel displays and solid state lighting), organic photovoltaic cells, organic thin film transistors (for smart tags and flat panel displays) and sensors. Within the family of organic electronic materials, liquid crystals are relative newcomers. The first electronically conducting liquid crystals were reported in 1988 but already a substantial literature has developed. The advantage of liquid crystalline semiconductors is that they have the easy processability of amorphous and polymeric semiconductors but they usually have higher charge carrier mobilities. Their mobilities do not reach the levels seen in crystalline organics but they circumvent all of t...

  9. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  10. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  11. Facile synthesis and enhanced visible-light photocatalysis of graphitic carbon nitride composite semiconductors.

    Science.gov (United States)

    Li, Huiquan; Liu, Yuxing; Gao, Xing; Fu, Cong; Wang, Xinchen

    2015-04-13

    The semiconductor heterojunction has been an effective architecture to enhance photocatalytic activity by promoting photogenerated charge separation. Here, graphitic carbon nitride (CN) and B-modified graphitic carbon nitride (CNB) composite semiconductors were fabricated by a facile calcination process using cheap, sustainable, and easily available sodium tetraphenylboron and urea as precursors. The synthetic CN-CNB-25 semiconductor with a suitable CNB content showed the highest visible-light activity. Its degradation ratio for methyl orange and phenol was more than twice that of CN and CNB and its H2 evolution rate was ∼3.4 and ∼1.8 times higher than that of CN and CNB, respectively. It also displayed excellent stability and reusability. The enhanced activity of CN-CNB-25 was attributed predominantly to the efficient separation of photoinduced electrons and holes. This paper describes a visible-light-responsive CN composite semiconductor with great potential in environmental and energy applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Photoelectronic properties of semiconductors

    CERN Document Server

    Bube, Richard H

    1992-01-01

    The interaction between light and electrons in semiconductors forms the basis for many interesting and practically significant properties. This book examines the fundamental physics underlying this rich complexity of photoelectronic properties of semiconductors, and will familiarise the reader with the relatively simple models that are useful in describing these fundamentals. The basic physics is also illustrated with typical recent examples of experimental data and observations. Following introductory material on the basic concepts, the book moves on to consider a wide range of phenomena, including photoconductivity, recombination effects, photoelectronic methods of defect analysis, photoeffects at grain boundaries, amorphous semiconductors, photovoltaic effects and photoeffects in quantum wells and superlattices. The author is Professor of Materials Science and Electrical Engineering at Stanford University, and has taught this material for many years. He is an experienced author, his earlier books having fo...

  13. A process for developing and revising a learning progression on sea level rise using learners' explanations

    Science.gov (United States)

    McDonald, Robert Christopher

    The purpose of this study was to explore the process of developing a learning progression (LP) on constructing explanations about sea level rise. I used a learning progressions theoretical framework informed by the situated cognition learning theory. During this exploration, I explicitly described my decision-making process as I developed and revised a hypothetical learning progression. Correspondingly, my research question was: What is a process by which a hypothetical learning progression on sea level rise is developed into an empirical learning progression using learners' explanations? To answer this question, I used a qualitative descriptive single case study with multiple embedded cases (Yin, 2014) that employed analytic induction (Denzin, 1970) to analyze data collected on middle school learners (grades 6-8). Data sources included written artifacts, classroom observations, and semi-structured interviews. Additionally, I kept a researcher journal to track my thinking about the learning progression throughout the research study. Using analytic induction to analyze collected data, I developed eight analytic concepts: participant explanation structures varied widely, global warming and ice melt cause sea level rise, participants held alternative conceptions about sea level rise, participants learned about thermal expansion as a fundamental aspect of sea level rise, participants learned to incorporate authentic scientific data, participants' mental models of the ocean varied widely, sea ice melt contributes to sea level rise, and participants held vague and alternative conceptions about how pollution impacts the ocean. I started with a hypothetical learning progression, gathered empirical data via various sources (especially semi-structured interviews), revised the hypothetical learning progression in response to those data, and ended with an empirical learning progression comprising six levels of learner thinking. As a result of developing an empirically based LP

  14. Progress in surface and membrane science

    CERN Document Server

    Danielli, J F; Cadenhead, D A

    1973-01-01

    Progress in Surface and Membrane Science, Volume 7 covers the developments in the study of surface and membrane science. The book discusses the theoretical and experimental aspects of the van der Waals forces; the electric double layer on the semiconductor-electrolyte interface; and the long-range and short-range order in adsorbed films. The text also describes the hydrodynamical theory of surface shear viscosity; the structure and properties of monolayers of synthetic polypeptides at the air-water interface; and the structure and molecular dynamics of water. The role of glycoproteins in cell

  15. Plasmon-induced carrier polarization in semiconductor nanocrystals

    Science.gov (United States)

    Yin, Penghui; Tan, Yi; Fang, Hanbing; Hegde, Manu; Radovanovic, Pavle V.

    2018-06-01

    Spintronics1 and valleytronics2 are emerging quantum electronic technologies that rely on using electron spin and multiple extrema of the band structure (valleys), respectively, as additional degrees of freedom. There are also collective properties of electrons in semiconductor nanostructures that potentially could be exploited in multifunctional quantum devices. Specifically, plasmonic semiconductor nanocrystals3-10 offer an opportunity for interface-free coupling between a plasmon and an exciton. However, plasmon-exciton coupling in single-phase semiconductor nanocrystals remains challenging because confined plasmon oscillations are generally not resonant with excitonic transitions. Here, we demonstrate a robust electron polarization in degenerately doped In2O3 nanocrystals, enabled by non-resonant coupling of cyclotron magnetoplasmonic modes11 with the exciton at the Fermi level. Using magnetic circular dichroism spectroscopy, we show that intrinsic plasmon-exciton coupling allows for the indirect excitation of the magnetoplasmonic modes, and subsequent Zeeman splitting of the excitonic states. Splitting of the band states and selective carrier polarization can be manipulated further by spin-orbit coupling. Our results effectively open up the field of plasmontronics, which involves the phenomena that arise from intrinsic plasmon-exciton and plasmon-spin interactions. Furthermore, the dynamic control of carrier polarization is readily achieved at room temperature, which allows us to harness the magnetoplasmonic mode as a new degree of freedom in practical photonic, optoelectronic and quantum-information processing devices.

  16. Effect of different conductivity between the spin polarons on spin injection in a ferromagnet/organic semiconductor system

    International Nuclear Information System (INIS)

    Mi Yilin; Zhang Ming; Yan Hui

    2008-01-01

    Spin injection across ferromagnet/organic semiconductor system with finite width of the layers was studied theoretically considering spin-dependent conductivity in the organic-semiconductor. It was found that the spin injection efficiency is directly dependent on the difference between the conductivity of the up-spin and down-spin polarons in the spin-injected organic system. Furthermore, the finite width of the structure, interfacial electrochemical-potential and conductivity mismatch have great influence on the spin injection process across ferromagnet/organic semiconductor interface

  17. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  18. Terahertz Nonlinear Optics in Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias C.

    2013-01-01

    We demonstrate the nonlinear optical effects – selfphase modulation and saturable absorption of a single-cycle THz pulse in a semiconductor. Resulting from THz-induced modulation of Drude plasma, these nonlinear optical effects, in particular, lead to self-shortening and nonlinear spectral...... breathing of a single-cycle THz pulse in a semiconductor....

  19. Effect of interface roughness on Auger recombination in semiconductor quantum wells

    Science.gov (United States)

    Tan, Chee-Keong; Sun, Wei; Wierer, Jonathan J.; Tansu, Nelson

    2017-03-01

    Auger recombination in a semiconductor is a three-carrier process, wherein the energy from the recombination of an electron and hole pair promotes a third carrier to a higher energy state. In semiconductor quantum wells with increased carrier densities, the Auger recombination becomes an appreciable fraction of the total recombination rate and degrades luminescence efficiency. Gaining insight into the variables that influence Auger recombination in semiconductor quantum wells could lead to further advances in optoelectronic and electronic devices. Here we demonstrate the important role that interface roughness has on Auger recombination within quantum wells. Our computational studies find that as the ratio of interface roughness to quantum well thickness is increased, Auger recombination is significantly enhanced. Specifically, when considering a realistic interface roughness for an InGaN quantum well, the enhancement in Auger recombination rate over a quantum well with perfect heterointerfaces can be approximately four orders of magnitude.

  20. Squeezing in an injection-locked semiconductor laser

    Science.gov (United States)

    Inoue, S.; Machida, S.; Yamamoto, Y.; Ohzu, H.

    1993-09-01

    The intensity-noise properties of an injection-locked semiconductor laser were studied experimentally. The constant-current-driven semiconductor laser producing the amplitude-squeezed state whose intensity noise was reduced below the standard quantum limit (SQL) by 0.72 dB was injection-locked by an external master laser. The measured intensity-noise level of the injection-locked semiconductor laser was 0.91 dB below the SQL. This experimental result indicates that a phase-coherent amplitude-squeezed state or squeezed vacuum state together with a reference local oscillator wave can be generated directly by semiconductor laser systems.

  1. Quantum dot-decorated semiconductor micro- and nanoparticles: A review of their synthesis, characterization and application in photocatalysis.

    Science.gov (United States)

    Bajorowicz, Beata; Kobylański, Marek P; Gołąbiewska, Anna; Nadolna, Joanna; Zaleska-Medynska, Adriana; Malankowska, Anna

    2018-06-01

    Quantum dot (QD)-decorated semiconductor micro- and nanoparticles are a new class of functional nanomaterials that have attracted considerable interest for their unique structural, optical and electronic properties that result from the large surface-to-volume ratio and the quantum confinement effect. In addition, because of QDs' excellent light-harvesting capacity, unique photoinduced electron transfer, and up-conversion behaviour, semiconductor nanoparticles decorated with quantum dots have been used widely in photocatalytic applications for the degradation of organic pollutants in both the gas and aqueous phases. This review is a comprehensive overview of the recent progress in synthesis methods for quantum dots and quantum dot-decorated semiconductor composites with an emphasis on their composition, morphology and optical behaviour. Furthermore, various approaches used for the preparation of QD-based composites are discussed in detail with respect to visible and UV light-induced photoactivity. Finally, an outlook on future development is proposed with the goal of overcoming challenges and stimulating further research into this promising field. Copyright © 2018 Elsevier B.V. All rights reserved.

  2. On the Sustainability and Progress of Energy Neutral Mineral Processing

    Directory of Open Access Journals (Sweden)

    Frederik Reitsma

    2018-01-01

    Full Text Available A number of primary ores such as phosphate rock, gold-, copper- and rare earth ores contain considerable amounts of accompanying uranium and other critical materials. Energy neutral mineral processing is the extraction of unconventional uranium during primary ore processing to use it, after enrichment and fuel production, to generate greenhouse gas lean energy in a nuclear reactor. Energy neutrality is reached if the energy produced from the extracted uranium is equal to or larger than the energy required for primary ore processing, uranium extraction, -conversion, -enrichment and -fuel production. This work discusses the sustainability of energy neutral mineral processing and provides an overview of the current progress of a multinational research project on that topic conducted under the umbrella of the International Atomic Energy Agency.

  3. Mobility as Progressivity: Ranking Income Processes According to Equality of Opportunity

    OpenAIRE

    Roland Benabou; Efe A. Ok

    2001-01-01

    Interest in economic mobility stems largely from its perceived role as an equalizer of opportunities, though not necessarily of outcomes. In this paper we show that this view leads very naturally to a methodology for the measurement of social mobility which has strong parallels with the theory of progressive taxation. We characterize opportunity--equalizing mobility processes, and provide simple criteria to determine when one process is more equalizing than another. We then explain how this m...

  4. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  5. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  6. Introductory semiconductor device physics

    CERN Document Server

    Parker, Greg

    2004-01-01

    ATOMS AND BONDINGThe Periodic TableIonic BondingCovalent BondingMetallic bondingvan der Waals BondingStart a DatabaseENERGY BANDS AND EFFECTIVE MASSSemiconductors, Insulators and MetalsSemiconductorsInsulatorsMetalsThe Concept of Effective MassCARRIER CONCENTRATIONS IN SEMICONDUCTORSDonors and AcceptorsFermi-LevelCarrier Concentration EquationsDonors and Acceptors Both PresentCONDUCTION IN SEMICONDUCTORSCarrier DriftCarrier MobilitySaturated Drift VelocityMobility Variation with TemperatureA Derivation of Ohm's LawDrift Current EquationsSemiconductor Band Diagrams with an Electric Field Presen

  7. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  8. Dispersion-induced nonlinearities in semiconductors

    DEFF Research Database (Denmark)

    Mørk, Jesper; Mecozzi, A.

    2002-01-01

    A dispersive and saturable medium is shown, under very general conditions, to possess ultrafast dynamic behaviour due to non-adiabatic polarisation dynamics. Simple analytical expressions relating the effect to the refractive index dispersion of a semiconductor ire derived and the magnitude...... of the equivalent Kerr coefficient is shown to be in qualitative agreement with measurements on active semiconductor waveguides....

  9. Lattice Location of Transition Metals in Semiconductors

    CERN Multimedia

    2002-01-01

    %IS366 %title\\\\ \\\\Transition metals (TMs) in semiconductors have been the subject of considerable research for nearly 40 years. This is due both to their role as important model impurities for deep centers in semiconductors, and to their technological impact as widespread contaminants in Si processing, where the miniaturization of devices requires to keep their sheet concentration below 10$^{10}$ cm$^{-2}$. As a consequence of the low TM solubility, conventional ion beam methods for direct lattice location have failed completely in identifying the lattice sites of isolated transition metals. Although electron paramagnetic resonance (EPR) has yielded valuable information on a variety of TM centers, it has been unable to detect certain defects considered by theory, e.g., isolated interstitial or substitutional Cu in Si. The proposed identity of other EPR centers such as substitutional Fe in Si, still needs confirmation by additional experimental methods. As a consequence, the knowledge on the structural propert...

  10. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  11. Novel swirl-flow reactor for kinetic studies of semiconductor photocatalysis

    NARCIS (Netherlands)

    Ray, A.K; Beenackers, A.A C M

    1997-01-01

    A new two-phase swirl-flow monolithic-type reactor was designed to study the kinetics of heterogeneous photocatalytic processes on immobilized semiconductor catalysts. True kinetic rate constants for destruction of a textile dye were measured as a function of wavelength of light intensity and angle

  12. Semiconductor quantum-dot lasers and amplifiers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Borri, Paola; Ledentsov, N. N.

    2002-01-01

    -power surface emitting VCSELs. We investigated the ultrafast dynamics of quantum-dot semiconductor optical amplifiers. The dephasing time at room temperature of the ground-state transition in semiconductor quantum dots is around 250 fs in an unbiased amplifier, decreasing to below 50 fs when the amplifier...... is biased to positive net gain. We have further measured gain recovery times in quantum dot amplifiers that are significantly lower than in bulk and quantum-well semiconductor optical amplifiers. This is promising for future demonstration of quantum dot devices with high modulation bandwidth...

  13. Surface Passivation for 3-5 Semiconductor Processing: Stable Gallium Sulphide Films by MOCVD

    Science.gov (United States)

    Macinnes, Andrew N.; Jenkins, Phillip P.; Power, Michael B.; Kang, Soon; Barron, Andrew R.; Hepp, Aloysius F.; Tabib-Azar, Massood

    1994-01-01

    Gallium sulphide (GaS) has been deposited on GaAs to form stable, insulating, passivating layers. Spectrally resolved photoluminescence and surface recombination velocity measurements indicate that the GaS itself can contribute a significant fraction of the photoluminescence in GaS/GaAs structures. Determination of surface recombination velocity by photoluminescence is therefore difficult. By using C-V analysis of metal-insulator-semiconductor structures, passivation of the GaAs with GaS films is quantified.

  14. Field-effect magnetization reversal in ferromagnetic semiconductor quantum wellls

    Czech Academy of Sciences Publication Activity Database

    Lee, B.; Jungwirth, Tomáš; MacDonald, A. H.

    2002-01-01

    Roč. 65, č. 19 (2002), s. 193311-1-193311-4 ISSN 0163-1829 R&D Projects: GA MŠk OC P5.10 Institutional research plan: CEZ:AV0Z1010914 Keywords : ferromagnetic semiconductor quantum wells * magnetization reversal process Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.327, year: 2002

  15. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  16. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  17. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  18. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  19. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser; Construccion de un amplificador optico de semiconductor a partir de un laser de semiconductor Fabry-Perot

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N. [Departamento de Electronica y Telecomunicaciones, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada. Km. 107, Carretera Tijuana-Ensenada, 22860 Ensenada, Baja California (Mexico)

    2000-07-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  20. Is There a Better Semiconductor Firm in Taiwan?

    Directory of Open Access Journals (Sweden)

    Cheng-Wen LEE

    2017-06-01

    Full Text Available The authors investigate the firm value of semiconductor industry in Taiwan in order to differentiate between outstanding semiconductor company and weak semiconductor company. The authors use GAP which is analytical tool to perform four steps: the original maps, sorting maps with clustering trees, summary sufficient maps, and sediment maps. The findings offer a good instruction for policymakers to make related policies in semiconductor firms. Additionally, the paper helps to find firms needed to be reformed through classification by GAP.

  1. Simulation of an Aspheric Glass Lens Forming Behavior in Progressive GMP Process

    International Nuclear Information System (INIS)

    Chang, Sung Ho; Lee, Young Min; Kang, Jeong Jin; Hong, Seok Kwan; Shin, Gwang Ho; Heo, Young Moo; Jung, Tae Sung

    2007-01-01

    Recently, GMP(Glass Molding Press) process is mainly used to produce aspheric glass lenses. Because glass lens is heated at high temperature above Tg (Transformation Temperature) for forming the glass, the quality of aspheric glass lens is deteriorated by residual stresses which are generated in a aspheric glass lens after forming. In this study, as a fundamental study to develop the mold for progressive GMP process, we conducted a aspheric glass lens forming simulation. Prior to a aspheric glass lens forming simulation, compression and thermal conductivity tests were carried out to obtain mechanical and thermal properties of K-PBK40 which is newly developed material for precision molding, and flow characteristics of K-PBK40 were obtained at high temperature. Then, using the flow characteristics obtained, compression simulation was carried out and compared with the experimental result for the purpose of verifying the obtained flow characteristics. Finally, a glass lens press simulation in progressive GMP process was carried out and we could forecast the shape of deformed glass lenses and residual stresses contribution in the structure of deformed glass lenses after forming

  2. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  3. Thienoacene-based organic semiconductors.

    Science.gov (United States)

    Takimiya, Kazuo; Shinamura, Shoji; Osaka, Itaru; Miyazaki, Eigo

    2011-10-11

    Thienoacenes consist of fused thiophene rings in a ladder-type molecular structure and have been intensively studied as potential organic semiconductors for organic field-effect transistors (OFETs) in the last decade. They are reviewed here. Despite their simple and similar molecular structures, the hitherto reported properties of thienoacene-based OFETs are rather diverse. This Review focuses on four classes of thienoacenes, which are classified in terms of their chemical structures, and elucidates the molecular electronic structure of each class. The packing structures of thienoacenes and the thus-estimated solid-state electronic structures are correlated to their carrier transport properties in OFET devices. With this perspective of the molecular structures of thienoacenes and their carrier transport properties in OFET devices, the structure-property relationships in thienoacene-based organic semiconductors are discussed. The discussion provides insight into new molecular design strategies for the development of superior organic semiconductors. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Mechanical Properties of Organic Semiconductors for Stretchable, Highly Flexible, and Mechanically Robust Electronics.

    Science.gov (United States)

    Root, Samuel E; Savagatrup, Suchol; Printz, Adam D; Rodriquez, Daniel; Lipomi, Darren J

    2017-05-10

    Mechanical deformability underpins many of the advantages of organic semiconductors. The mechanical properties of these materials are, however, diverse, and the molecular characteristics that permit charge transport can render the materials stiff and brittle. This review is a comprehensive description of the molecular and morphological parameters that govern the mechanical properties of organic semiconductors. Particular attention is paid to ways in which mechanical deformability and electronic performance can coexist. The review begins with a discussion of flexible and stretchable devices of all types, and in particular the unique characteristics of organic semiconductors. It then discusses the mechanical properties most relevant to deformable devices. In particular, it describes how low modulus, good adhesion, and absolute extensibility prior to fracture enable robust performance, along with mechanical "imperceptibility" if worn on the skin. A description of techniques of metrology precedes a discussion of the mechanical properties of three classes of organic semiconductors: π-conjugated polymers, small molecules, and composites. The discussion of each class of materials focuses on molecular structure and how this structure (and postdeposition processing) influences the solid-state packing structure and thus the mechanical properties. The review concludes with applications of organic semiconductor devices in which every component is intrinsically stretchable or highly flexible.

  5. Solution processing of polymer semiconductor: Insulator blends-Tailored optical properties through liquid-liquid phase separation control

    KAUST Repository

    Hellmann, Christoph; Treat, Neil D.; Scaccabarozzi, Alberto D.; Razzell Hollis, Joseph; Fleischli, Franziska D.; Bannock, James H.; de Mello, John; Michels, Jasper J.; Kim, Ji-Seon; Stingelin, Natalie

    2014-01-01

    © 2014 Wiley Periodicals, Inc. It has been demonstrated that the 0-0 absorption transition of poly(3-hexylthiophene) (P3HT) in blends with poly(ethylene oxide) (PEO) could be rationally tuned through the control of the liquid-liquid phase separation process during solution deposition. Pronounced J-like aggregation behavior, characteristic for systems of a low exciton band width, was found for blends where the most pronounced liquid-liquid phase separation occurred in solution, leading to domains of P3HT and PEO of high phase purity. Since liquid-liquid phase separation could be readily manipulated either by the solution temperature, solute concentration, or deposition temperature, to name a few parameters, our findings promise the design from the out-set of semiconductor:insulator architectures of pre-defined properties by manipulation of the interaction parameter between the solutes as well as the respective solute:solvent system using classical polymer science principles.

  6. Solution processing of polymer semiconductor: Insulator blends-Tailored optical properties through liquid-liquid phase separation control

    KAUST Repository

    Hellmann, Christoph

    2014-12-17

    © 2014 Wiley Periodicals, Inc. It has been demonstrated that the 0-0 absorption transition of poly(3-hexylthiophene) (P3HT) in blends with poly(ethylene oxide) (PEO) could be rationally tuned through the control of the liquid-liquid phase separation process during solution deposition. Pronounced J-like aggregation behavior, characteristic for systems of a low exciton band width, was found for blends where the most pronounced liquid-liquid phase separation occurred in solution, leading to domains of P3HT and PEO of high phase purity. Since liquid-liquid phase separation could be readily manipulated either by the solution temperature, solute concentration, or deposition temperature, to name a few parameters, our findings promise the design from the out-set of semiconductor:insulator architectures of pre-defined properties by manipulation of the interaction parameter between the solutes as well as the respective solute:solvent system using classical polymer science principles.

  7. Progress and safety aspects in process heat utilization from nuclear systems

    International Nuclear Information System (INIS)

    Barnert, H.

    1995-01-01

    Report about the Status and the Progress in the Various Programs and Projects in the Federal Republic of Germany in Process Heat Utilization from the High Temperature Reactor and on Recent Changes of the Atomic Law in the Federal Republic of Germany with Big Influence on the Safety of Nuclear Energy Technology. (author)

  8. Luminescence in colloidal Mn2+-doped semiconductor nanocrystals

    International Nuclear Information System (INIS)

    Beaulac, Remi; Archer, Paul I.; Gamelin, Daniel R.

    2008-01-01

    Recent advances in nanocrystal doping chemistries have substantially broadened the variety of photophysical properties that can be observed in colloidal Mn 2+ -doped semiconductor nanocrystals. A brief overview is provided, focusing on Mn 2+ -doped II-VI semiconductor nanocrystals prepared by direct chemical synthesis and capped with coordinating surface ligands. These Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation. A brief outlook on future research directions is provided. - Graphical abstract: Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation

  9. Semiconductor photocatalysis principles and applications

    CERN Document Server

    Kisch, Horst

    2014-01-01

    Focusing on the basic principles of semiconductor photocatalysis, this book also gives a brief introduction to photochemistry, photoelectrochemistry, and homogeneous photocatalysis. In addition, the author - one of the leading authorities in the field - presents important environmental and practical aspects. A valuable, one-stop source for all chemists, material scientists, and physicists working in this area, as well as novice researchers entering semiconductor photocatalysis.

  10. Two-fluid hydrodynamic model for semiconductors

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2018-01-01

    The hydrodynamic Drude model (HDM) has been successful in describing the optical properties of metallic nanostructures, but for semiconductors where several different kinds of charge carriers are present an extended theory is required. We present a two-fluid hydrodynamic model for semiconductors...

  11. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning.

    Science.gov (United States)

    Schlesinger, R; Bianchi, F; Blumstengel, S; Christodoulou, C; Ovsyannikov, R; Kobin, B; Moudgil, K; Barlow, S; Hecht, S; Marder, S R; Henneberger, F; Koch, N

    2015-04-15

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach.

  12. Efficient light emission from inorganic and organic semiconductor hybrid structures by energy-level tuning

    Science.gov (United States)

    Schlesinger, R.; Bianchi, F.; Blumstengel, S.; Christodoulou, C.; Ovsyannikov, R.; Kobin, B.; Moudgil, K.; Barlow, S.; Hecht, S.; Marder, S.R.; Henneberger, F.; Koch, N.

    2015-01-01

    The fundamental limits of inorganic semiconductors for light emitting applications, such as holographic displays, biomedical imaging and ultrafast data processing and communication, might be overcome by hybridization with their organic counterparts, which feature enhanced frequency response and colour range. Innovative hybrid inorganic/organic structures exploit efficient electrical injection and high excitation density of inorganic semiconductors and subsequent energy transfer to the organic semiconductor, provided that the radiative emission yield is high. An inherent obstacle to that end is the unfavourable energy level offset at hybrid inorganic/organic structures, which rather facilitates charge transfer that quenches light emission. Here, we introduce a technologically relevant method to optimize the hybrid structure's energy levels, here comprising ZnO and a tailored ladder-type oligophenylene. The ZnO work function is substantially lowered with an organometallic donor monolayer, aligning the frontier levels of the inorganic and organic semiconductors. This increases the hybrid structure's radiative emission yield sevenfold, validating the relevance of our approach. PMID:25872919

  13. The 1989 progress report: Physics of the condensed matter

    International Nuclear Information System (INIS)

    Sapoval, B.

    1989-01-01

    The 1989 progress report of the laboratory of Condensed Matter Physics of the Polytechnic School (France) is presented. The laboratory research fields are the physics of semiconductors and the physics of disordered states. The 1989 main results were the determination of the fractal dimension of silicon aerogels by means of nuclear magnetic resonance and the observation of local vibrations of a fractal drum. The published papers, the conferences and Laboratory staff are listed [fr

  14. Increasing Mn substitution in magnetic semiconductors through controlled ambient annealing processes

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, J. [Materials Science Program, Department of Mechanical and Aerospace Engineering, UC San Diego, La Jolla, CA 92093-0411 (United States); Bandaru, P.R. [Materials Science Program, Department of Mechanical and Aerospace Engineering, UC San Diego, La Jolla, CA 92093-0411 (United States)], E-mail: pbandaru@ucsd.edu

    2008-06-25

    We report on a controlled ambient annealing technique aimed at increasing the amount of Mn incorporation in III-V semiconductors. The aim is to reduce the number of hole carrier and magnetic element compensating entities, such as Mn interstitials and anti-site defects, to increase the magnetic Curie temperature. The idea is (a) to increase the number of Group III vacancies through annealing in Group V vapor rich conditions and (b) judicious use of crystal field theory to reduce/stabilize Mn interstitials. Our experimental results constitute the highest reportedT{sub c} ({approx}130 K) in Mn doped InSb and Mn doped InP. The possibility of ferrimagnetism in Mn and Cr incorporated GaAs, was noted.

  15. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  16. All-semiconductor metamaterial-based optical circuit board at the microscale

    International Nuclear Information System (INIS)

    Min, Li; Huang, Lirong

    2015-01-01

    The newly introduced metamaterial-based optical circuit, an analogue of electronic circuit, is becoming a forefront topic in the fields of electronics, optics, plasmonics, and metamaterials. However, metals, as the commonly used plasmonic elements in an optical circuit, suffer from large losses at the visible and infrared wavelengths. We propose here a low-loss, all-semiconductor metamaterial-based optical circuit board at the microscale by using interleaved intrinsic GaAs and doped GaAs, and present the detailed design process for various lumped optical circuit elements, including lumped optical inductors, optical capacitors, optical conductors, and optical insulators. By properly combining these optical circuit elements and arranging anisotropic optical connectors, we obtain a subwavelength optical filter, which can always hold band-stop filtering function for various polarization states of the incident electromagnetic wave. All-semiconductor optical circuits may provide a new opportunity in developing low-power and ultrafast components and devices for optical information processing

  17. Progression Analysis and Stage Discovery in Continuous Physiological Processes Using Image Computing

    Directory of Open Access Journals (Sweden)

    Ferrucci Luigi

    2010-01-01

    Full Text Available We propose an image computing-based method for quantitative analysis of continuous physiological processes that can be sensed by medical imaging and demonstrate its application to the analysis of morphological alterations of the bone structure, which correlate with the progression of osteoarthritis (OA. The purpose of the analysis is to quantitatively estimate OA progression in a fashion that can assist in understanding the pathophysiology of the disease. Ultimately, the texture analysis will be able to provide an alternative OA scoring method, which can potentially reflect the progression of the disease in a more direct fashion compared to the existing clinically utilized classification schemes based on radiology. This method can be useful not just for studying the nature of OA, but also for developing and testing the effect of drugs and treatments. While in this paper we demonstrate the application of the method to osteoarthritis, its generality makes it suitable for the analysis of other progressive clinical conditions that can be diagnosed and prognosed by using medical imaging.

  18. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  19. Ultra-fast relaxation kinetics in semiconductors

    International Nuclear Information System (INIS)

    Luzzi, R.

    1983-01-01

    It is presented a brief description of relaxation processes in highly excited semiconductor plasmas (HESP). Comparison with experimental data obtained by means of ultra-fast laser light spectroscopy (UFLS) is made. Some aspects of response funtion theory in systems far-from-equilibrium are reviewed in Section II. In Section III we present some comments on the question of nonequilibrium thermodynamics relevant to the problem to be considered. In last section we present a brief summary of the different aspects of the subject. (author) [pt

  20. Ultra-fast relaxation kinetics in semiconductors

    International Nuclear Information System (INIS)

    Luzzi, R.

    1983-01-01

    It is presented a brief description of relaxation processes in highly excited semiconductor plasmas (HESP). Comparison with experimental data obtained by means of ultra-fast laser light spectroscopy (UFLS) is made. Some aspects of response function theory in systems far-from-equilibrium are reviewed in Section II. In Section III some comments on the question of nonequilibrium thermodynamics relevant to the problem to be considered are presented. In last Section a brief summary of the different aspects of the subject is also presented. (Author) [pt