WorldWideScience

Sample records for semiconductor processing apparatus

  1. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  2. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  3. Apparatus for testing semiconductor devices and capacitors

    International Nuclear Information System (INIS)

    York, R.A.

    1984-01-01

    An apparatus is provided for testing semiconductor devices. The apparatus tests the impedance of the semiconductor devices in both conducting and non-conducting states to detect semiconductors whose impedance in the conducting state is too high or whose impedance in the non-conducting state is too low. The apparatus uses a battery source for low voltage d.c. The circuitry for detecting when the impedance is too high in the conducting state includes a lamp in series with the battery source and the semiconductor device, whereby the impedance of the semiconductor device determines whether sufficient current will flow through the lamp to cause the lamp to illuminate. A d.c. to d.c. converter is provided to boost the voltage from the battery source to a relatively high voltage d.c. The relatively high voltage d.c. can be connected by a switch to circuitry for detecting when the impedance of the semiconductor device in the non-conducting state is too low. The circuitry for detecting when the impedance of the semiconductor device is too low includes a resistor which senses the current flowing in the device and converts the current into a voltage proportional to the leakage current. This voltage is then compared against a fixed reference. Further circuitry is provided for providing a visual indication when the voltage representative of leakage in relation to the reference signal indicates that there is excessive current flow through the semiconductor device

  4. Testing of defects in Si semiconductor apparatus by using single-photon detection

    International Nuclear Information System (INIS)

    Zhongliang, Pan; Ling, Chen; Guangju, Chen

    2013-01-01

    The failure analysis of semiconductor apparatus is very needed for ensuring product quality, which can find several types of defects in the semiconductor apparatus. A new testing method for the defects in Si semiconductor apparatus is presented in this paper, the method makes use of photon emissions to find out the failure positions or failure components by taking advantage of the infrared photo emission characteristics of semiconductor apparatus. These emitted photons carry the information of the apparatus structure. If there are defects in the apparatus, these photons can help in understanding the apparatus properties and detecting the defects. An algorithm for the generation of circuit input vectors are presented in this paper to enhance the strength of the emitted photons for the given components in the semiconductor apparatus. The multiple-valued logic, the static timing analysis and path sensitizations, are used in the algorithm. A lot of experimental results for the Si semiconductor apparatus show that many types of defects such as contact spiking and latchup failure etc., can be detected accurately by the method proposed in this paper

  5. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  6. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  7. Laser apparatus for surgery and force therapy based on high-power semiconductor and fibre lasers

    International Nuclear Information System (INIS)

    Minaev, V P

    2005-01-01

    High-power semiconductor lasers and diode-pumped lasers are considered whose development qualitatively improved the characteristics of laser apparatus for surgery and force therapy, extended the scope of their applications in clinical practice, and enhanced the efficiency of medical treatment based on the use of these lasers. The characteristics of domestic apparatus are presented and their properties related to the laser emission wavelength used in them are discussed. Examples of modern medical technologies based on these lasers are considered. (invited paper)

  8. Laser-based irradiation apparatus and method to measure the functional dose-rate response of semiconductor devices

    Science.gov (United States)

    Horn, Kevin M [Albuquerque, NM

    2008-05-20

    A broad-beam laser irradiation apparatus can measure the parametric or functional response of a semiconductor device to exposure to dose-rate equivalent infrared laser light. Comparisons of dose-rate response from before, during, and after accelerated aging of a device, or from periodic sampling of devices from fielded operational systems can determine if aging has affected the device's overall functionality. The dependence of these changes on equivalent dose-rate pulse intensity and/or duration can be measured with the apparatus. The synchronized introduction of external electrical transients into the device under test can be used to simulate the electrical effects of the surrounding circuitry's response to a radiation exposure while exposing the device to dose-rate equivalent infrared laser light.

  9. Process and apparatus for cracking petroleum, etc

    Energy Technology Data Exchange (ETDEWEB)

    1931-04-25

    Process for catalytic cracking of petroleum and similar material at normal pressure, characterized by the oil vaporized in a vaporizer being treated in a first catalyst-chamber and in a separator attached to it, light constituents being separated, and then its being run into a second apparatus-group (vaporizer, catalyst-chamber, and separator), further groups following in series, whereby the catalyst works in a separate catalyst apparatus with ever-increasing activity time on the always lesser but always more difficult to crack material, so that in the whole apparatus a methodical cracking process is effected.

  10. Apparatus and method for radiation processing of materials

    International Nuclear Information System (INIS)

    Neuberg, W.B.; Luniewski, R.

    1983-01-01

    A method and apparatus for radiation degradation processing of polytetrafluoroethylene makes use of a simultaneous irradiation, agitation and cooling. The apparatus is designed to make efficent use of radiation in the processing. (author)

  11. Radioactive waste processing apparatus

    Science.gov (United States)

    Nelson, R.E.; Ziegler, A.A.; Serino, D.F.; Basnar, P.J.

    1985-08-30

    Apparatus for use in processing radioactive waste materials for shipment and storage in solid form in a container is disclosed. The container includes a top, and an opening in the top which is smaller than the outer circumference of the container. The apparatus includes an enclosure into which the container is placed, solution feed apparatus for adding a solution containing radioactive waste materials into the container through the container opening, and at least one rotatable blade for blending the solution with a fixing agent such as cement or the like as the solution is added into the container. The blade is constructed so that it can pass through the opening in the top of the container. The rotational axis of the blade is displaced from the center of the blade so that after the blade passes through the opening, the blade and container can be adjusted so that one edge of the blade is adjacent the cylindrical wall of the container, to insure thorough mixing. When the blade is inside the container, a substantially sealed chamber is formed to contain vapors created by the chemical action of the waste solution and fixant, and vapors emanating through the opening in the container. The chamber may be formed by placing a removable extension over the top of the container. The extension communicates with the apparatus so that such vapors are contained within the container, extension and solution feed apparatus. A portion of the chamber includes coolant which condenses the vapors. The resulting condensate is returned to the container by the force of gravity.

  12. Construction apparatus for thermochemical hydrogen production process

    Energy Technology Data Exchange (ETDEWEB)

    Kubo, S.; Nakajima, H.; Higashi, S.; Onuki, K.; Akino, S.S.N. [Japan Atomic Energy Research Inst., Ibaraki-ken (Japan). Nuclear Heat Utilization Engineering Lab

    2001-06-01

    Studies have been carried out at the Japan Atomic Energy Research Institute (JAERI) on hydrogen production through thermochemical processes such as water-splitting. These studies are classified with iodine-sulphur cycle studies using heat from high temperature gas-cooled reactors. An experimental apparatus was constructed with fluorine resin, glass and quartz. It can produce hydrogen at a rate of 50 litres per hour. Electricity provides the heat required for the operation. The closed chemical process requires special control techniques. The process flow diagram for the apparatus was designed based on the results of previous studies including one where hydrogen production was successfully achieved at a rate of one liter per hour for 48 hours. Experimental operations under atmospheric pressure will be carried out for the next four years to develop the process. The data will be used in the next research and development programs aimed at designing a bench-scale apparatus. 7 refs., 1 tab., 8 figs.

  13. Apparatus and process for controlling fluidized beds

    Science.gov (United States)

    Rehmat, Amirali G.; Patel, Jitendra G.

    1985-10-01

    An apparatus and process for control and maintenance of fluidized beds under non-steady state conditions. An ash removal conduit is provided for removing solid particulates from a fluidized bed separate from an ash discharge conduit in the lower portion of the grate supporting such a bed. The apparatus and process of this invention is particularly suitable for use in ash agglomerating fluidized beds and provides control of the fluidized bed before ash agglomeration is initiated and during upset conditions resulting in stable, sinter-free fluidized bed maintenance.

  14. Apparatus and process for passivating an SRF cavity

    Science.gov (United States)

    Myneni, Ganapati Rao; Wallace, John P

    2014-12-02

    An apparatus and process for the production of a niobium cavity exhibiting high quality factors at high gradients is provided. The apparatus comprises a first chamber positioned within a second chamber, an RF generator and vacuum pumping systems. The process comprises placing the niobium cavity in a first chamber of the apparatus; thermally treating the cavity by high temperature in the first chamber while maintaining high vacuum in the first and second chambers; and applying a passivating thin film layer to a surface of the cavity in the presence of a gaseous mixture and an RF field. Further a niobium cavity exhibiting high quality factors at high gradients produced by the method of the invention is provided.

  15. Calculation of period processing solution syrup in vacuum apparatus

    Directory of Open Access Journals (Sweden)

    A. A. Slavyanskii

    2016-01-01

    Full Text Available Important and crucial element in the management of the technological flow of production of sugar product standards is the period of time the enrichment of massecuite, since its neutralization in the process of crystal formation in vacuum apparatus, excess sugar solution. Although currently proposed and implemented in the industry, including as a front-end accompany the process, a number of ways in the real world sugar production in many cases have to resort to the services of an experienced operator. It is obvious that in any case it is necessary to have a surround-dependent glucose solution data on time for the excess sugar solution into the vacuum apparatus. With regard to the period of the enrichment of depleted sucrose solution are entered into this substance excess sucrose solution, it should be noted that this problem is theoretically still insufficiently developed. It is obvious that for practical purposes it is desirable to have a simple and convenient for engineering calculation of sugar processing time dependencies of the specified volume of water from the operating parameters of the process (the required concentration of sucrose, temperature of the solution stirring. The problem is the quantitative analysis of sucrose crystallization in vacuum apparatus, including the timing of enrichment solution to the excess syrup, period of time processing massecuite total this apparatus has been investigated in many works. However, due to its importance to the task of obtaining commercial sugar high standards this issue required further in-depth examination. In the article to support the enrichment process solution sucrose due to neutralize this solvent system in vacuum apparatus, from the standpoint of diffusion theory provides a more reasonable compared to known so far, quantitative analysis of this process. Where as sucrose crystals team are considering a system of balls, uniformly distributed in vacuum apparatus. On the basis of the solution

  16. Process and apparatus for conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2006-01-01

    The invention is directed to a process for the conversion of biomass, in particular lignocellulose-containing biomass into a product that may be further processes in a fermentation step. The invention is further directed to apparatus suitable for carrying out such processes. According to the

  17. Complex nuclear geophysical methods and apparatus to increase the efficiency of prospecting extracting and processing nonradioactive minerals as examplified by tin ores

    International Nuclear Information System (INIS)

    Baldin, S.A.; Voloshchuk, S.N.; Egiazarov, B.G.; Zernov, L.V.; Luchin, I.A.; Matveev, V.V.; Pukhal'skij, L.Ch.; Chesnokov, N.I.

    1979-01-01

    Described is the complex of nuclear geophysical methods and apparatus, with the help of which the problem of the industrial control at all stages of ore concentrating industry are being solved. γ resonance and X-ray radiometric methods and apparatus providing express and not less accurate determination of general tin and tin in the form of cassiterite are used in the complex. The devices developed on the base of semiconductor spectrometers and used both under industrial conditions and in production regimes are used for the first time in the practice of ore concentrating industry. The essential positive effect of the complex on technical economical indices of the industry is found out; it allows to use more effective methods of extracting and processing technology. The similar complexes may be developed for other kinds of nonradioactive minerals

  18. Pyrolysis process and apparatus

    Science.gov (United States)

    Lee, Chang-Kuei

    1983-01-01

    This invention discloses a process and apparatus for pyrolyzing particulate coal by heating with a particulate solid heating media in a transport reactor. The invention tends to dampen fluctuations in the flow of heating media upstream of the pyrolysis zone, and by so doing forms a substantially continuous and substantially uniform annular column of heating media flowing downwardly along the inside diameter of the reactor. The invention is particularly useful for bituminous or agglomerative type coals.

  19. Spectroscopic Chemical Analysis Methods and Apparatus

    Science.gov (United States)

    Hug, William F. (Inventor); Reid, Ray D. (Inventor); Bhartia, Rohit (Inventor); Lane, Arthur L. (Inventor)

    2018-01-01

    Spectroscopic chemical analysis methods and apparatus are disclosed which employ deep ultraviolet (e.g. in the 200 nm to 300 nm spectral range) electron beam pumped wide bandgap semiconductor lasers, incoherent wide bandgap semiconductor light emitting devices, and hollow cathode metal ion lasers to perform non-contact, non-invasive detection of unknown chemical analytes. These deep ultraviolet sources enable dramatic size, weight and power consumption reductions of chemical analysis instruments. In some embodiments, Raman spectroscopic detection methods and apparatus use ultra-narrow-band angle tuning filters, acousto-optic tuning filters, and temperature tuned filters to enable ultra-miniature analyzers for chemical identification. In some embodiments Raman analysis is conducted along with photoluminescence spectroscopy (i.e. fluorescence and/or phosphorescence spectroscopy) to provide high levels of sensitivity and specificity in the same instrument.

  20. Process and apparatus for conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2006-01-01

    The invention is directed to a process for the conversion of cellulosic biomass, in particular lignocellulose-containing biomass into fermentable sugars. The invention is further directed to apparatus suitable for carrying out such processes. According to the invention biomass is converted into

  1. Method and apparatus for measuring electromagnetic radiation

    Science.gov (United States)

    Been, J. F. (Inventor)

    1973-01-01

    An apparatus and method are described in which the capacitance of a semiconductor junction subjected to an electromagnetic radiation field is utilized to indicate the intensity or strength of the radiation.

  2. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  3. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Light Emitting, Photovoltaic or Other Electronic Apparatus and System

    Science.gov (United States)

    Ray, William Johnstone (Inventor); Lowenthal, Mark D. (Inventor); Shotton, Neil O. (Inventor); Blanchard, Richard A. (Inventor); Lewandowski, Mark Allan (Inventor); Fuller, Kirk A. (Inventor); Frazier, Donald Odell (Inventor)

    2018-01-01

    The present invention provides an electronic apparatus, such as a lighting device comprised of light emitting diodes (LEDs) or a power generating apparatus comprising photovoltaic diodes, which may be created through a printing process, using a semiconductor or other substrate particle ink or suspension and using a lens particle ink or suspension. An exemplary apparatus comprises a base; at least one first conductor; a plurality of diodes coupled to the at least one first conductor; at least one second conductor coupled to the plurality of diodes; and a plurality of lenses suspended in a polymer deposited or attached over the diodes. The lenses and the suspending polymer have different indices of refraction. In some embodiments, the lenses and diodes are substantially spherical, and have a ratio of mean diameters or lengths between about 10:1 and 2:1. The diodes may be LEDs or photovoltaic diodes, and in some embodiments, have a junction formed at least partially as a hemispherical shell or cap.

  5. Process and apparatus for the conversion of biomass

    NARCIS (Netherlands)

    Bakker, R.R.C.; Hazewinkel, J.H.O.; Groenestijn, van J.W.

    2008-01-01

    The invention is directed to a process for the conversion of cellulosic biomass, in particular lignocellulose-containing biomass into fermentable sugars. The invention is further directed to apparatus suitable for carrying out such processes. According to the invention biomass is converted into

  6. Modeling of column apparatus processes

    CERN Document Server

    Boyadjiev, Christo; Boyadjiev, Boyan; Popova-Krumova, Petya

    2016-01-01

    This book presents a new approach for the modeling of chemical and interphase mass transfer processes in industrial column apparatuses, using convection-diffusion and average-concentration models. The convection-diffusion type models are used for a qualitative analysis of the processes and to assess the main, small and slight physical effects, and then reject the slight effects. As a result, the process mechanism can be identified. It also introduces average concentration models for quantitative analysis, which use the average values of the velocity and concentration over the cross-sectional area of the column. The new models are used to analyze different processes (simple and complex chemical reactions, absorption, adsorption and catalytic reactions), and make it possible to model the processes of gas purification with sulfur dioxide, which form the basis of several patents.

  7. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  8. Processes, data structures, and apparatuses for representing knowledge

    Science.gov (United States)

    Hohimer, Ryan E [West Richland, WA; Thomson, Judi R [Guelph, CA; Harvey, William J [Richland, WA; Paulson, Patrick R [Pasco, WA; Whiting, Mark A [Richland, WA; Tratz, Stephen C [Richland, WA; Chappell, Alan R [Seattle, WA; Butner, R Scott [Richland, WA

    2011-09-20

    Processes, data structures, and apparatuses to represent knowledge are disclosed. The processes can comprise labeling elements in a knowledge signature according to concepts in an ontology and populating the elements with confidence values. The data structures can comprise knowledge signatures stored on computer-readable media. The knowledge signatures comprise a matrix structure having elements labeled according to concepts in an ontology, wherein the value of the element represents a confidence that the concept is present in an information space. The apparatus can comprise a knowledge representation unit having at least one ontology stored on a computer-readable medium, at least one data-receiving device, and a processor configured to generate knowledge signatures by comparing datasets obtained by the data-receiving devices to the ontologies.

  9. Process and apparatus for controlling control rods

    International Nuclear Information System (INIS)

    Gebelin, B.; Couture, R.

    1987-01-01

    This process and apparatus is characterized by 2 methods, for examination of cluster of nuclear control rods. Foucault current analyzer which examines fraction by fraction all the control rods. This examination is made by rotation of the cluster. Doubtful rods are then analysed by ultrasonic probe [fr

  10. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  11. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  12. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  13. Method and apparatus for processing algae

    Science.gov (United States)

    Chew, Geoffrey; Reich, Alton J.; Dykes, Jr., H. Waite; Di Salvo, Roberto

    2012-07-03

    Methods and apparatus for processing algae are described in which a hydrophilic ionic liquid is used to lyse algae cells. The lysate separates into at least two layers including a lipid-containing hydrophobic layer and an ionic liquid-containing hydrophilic layer. A salt or salt solution may be used to remove water from the ionic liquid-containing layer before the ionic liquid is reused. The used salt may also be dried and/or concentrated and reused. The method can operate at relatively low lysis, processing, and recycling temperatures, which minimizes the environmental impact of algae processing while providing reusable biofuels and other useful products.

  14. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  15. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  16. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  17. Processes And Apparatus For Inhibiting Membrane Bio-fouling

    KAUST Repository

    Missimer, Thomas M.

    2012-12-20

    Certain embodiments are directed to a process and apparatus for cleaning and/or regeneration of permeable or semipermeable membranes comprising modulating pressure of a feed stream feeding the permeable or semipermeable membrane and providing intermittent pressure pulses for cleaning and/or regeneration of the permeable or semipermeable membrane.

  18. Processes And Apparatus For Inhibiting Membrane Bio-fouling

    KAUST Repository

    Missimer, Thomas M.; Ng, Kim Choon; Amy, Gary

    2012-01-01

    Certain embodiments are directed to a process and apparatus for cleaning and/or regeneration of permeable or semipermeable membranes comprising modulating pressure of a feed stream feeding the permeable or semipermeable membrane and providing intermittent pressure pulses for cleaning and/or regeneration of the permeable or semipermeable membrane.

  19. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  20. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  1. Apparatus and method X-ray image processing

    International Nuclear Information System (INIS)

    1984-01-01

    The invention relates to a method for X-ray image processing. The radiation passed through the object is transformed into an electric image signal from which the logarithmic value is determined and displayed by a display device. Its main objective is to provide a method and apparatus that renders X-ray images or X-ray subtraction images with strong reduction of stray radiation. (Auth.)

  2. Apparatus for storing and processing fissionable substances

    International Nuclear Information System (INIS)

    Dubovsky, B.G.; Bogatyrev, V.K.; Vladykov, G.M.; Sviridenko, V.Y.

    1974-01-01

    An apparatus is described for storing and processing fissionable substances in which there is provided a protective shield in the form of a layer of neutron absorbing material located in direct proximity to a vessel with a fissionable substance contained therein. The layer of neutron retarding material according to the present invention has alternating projections and depressions facing the layer of neutron-absorbing material. (author)

  3. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  4. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  5. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  6. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  7. Computerized dosimetric system for studying radiation fields of afterloading apparatus

    International Nuclear Information System (INIS)

    Andryushin, O.S.; Gorshkov, M.I.

    1988-01-01

    Works on designing a computerized dosimetric scanner (CODOS) for studying radiation fields of remote therapeutic apparatus, providing dosimetric data input from semiconductor transducers and ionization chambers directly into the computer memory were carried out. The basic problems were to provide reproducibility and accuracy of the initial dosimetric data, formation of the data bank on LUEhV-15M1 accelerator bremsstrahlung and electron radiation fields. An extra problem was to provide isodose curves for manual scheduling of radiotherapy. The 15 VUMS-28-025 complex based on Elektronika-60 computer was chosen as a host computer, photodiodes were used as a semiconductor detector, the 70108 rod chamber and VA-J-18 dosemeters were used as an ionization chamber. The results of studies with the CODOS system have been shown that it meets the dosimetric requirements for therapeutic apparatus

  8. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  9. Methods and apparatuses for detection of radiation with semiconductor image sensors

    Science.gov (United States)

    Cogliati, Joshua Joseph

    2018-04-10

    A semiconductor image sensor is repeatedly exposed to high-energy photons while a visible light obstructer is in place to block visible light from impinging on the sensor to generate a set of images from the exposures. A composite image is generated from the set of images with common noise substantially removed so the composite image includes image information corresponding to radiated pixels that absorbed at least some energy from the high-energy photons. The composite image is processed to determine a set of bright points in the composite image, each bright point being above a first threshold. The set of bright points is processed to identify lines with two or more bright points that include pixels therebetween that are above a second threshold and identify a presence of the high-energy particles responsive to a number of lines.

  10. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  11. Method and apparatus for lysing and processing algae

    Science.gov (United States)

    Chew, Geoffrey; Reich, Alton J.; Dykes, Jr., H. Waite H.; Di Salvo, Roberto

    2013-03-05

    Methods and apparatus for processing algae are described in which a hydrophilic ionic liquid is used to lyse algae cells at lower temperatures than existing algae processing methods. A salt or salt solution is used as a separation agent and to remove water from the ionic liquid, allowing the ionic liquid to be reused. The used salt may be dried or concentrated and reused. The relatively low lysis temperatures and recycling of the ionic liquid and salt reduce the environmental impact of the algae processing while providing biofuels and other useful products.

  12. Apparatus for sectioning demountable semiconductor samples

    Science.gov (United States)

    Sopori, B.L.; Wolf, A.

    1984-01-01

    Apparatus for use during polishing and sectioning operations of a ribbon sample is described. The sample holder includes a cylinder having an axially extending sample cavity terminated in a first funnel-shaped opening and a second slot-like opening. A spring-loaded pressure plunger is located adjacent the second opening of the sample cavity for frictional engagement of the sample cavity. A heat softenable molding medium is inserted in the funnel-shaped opening, to surround the sample. After polishing, the heater is energized to allow draining of the molding medium from the sample cavity. During manual polishing, the second end of the sample holder is inserted in a support ring which provides mechanical support as well as alignment of the sample holder during polishing. A gauge block for measuring the protrusion of a sample beyond the second wall of the holder is also disclosed.

  13. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  14. Miniature pulsed vacuum arc plasma gun and apparatus for thin-film fabrication

    Science.gov (United States)

    Brown, Ian G.; MacGill, Robert A.; Galvin, James E.; Ogletree, David F.; Salmeron, Miquel

    1998-01-01

    A miniature (dime-size in cross-section) vapor vacuum arc plasma gun is described for use in an apparatus to produce thin films. Any conductive material can be layered as a film on virtually any substrate. Because the entire apparatus can easily be contained in a small vacuum chamber, multiple dissimilar layers can be applied without risk of additional contamination. The invention has special applications in semiconductor manufacturing.

  15. Outline of an experimental apparatus for the study on the advanced voloxidation process

    International Nuclear Information System (INIS)

    Uchiyama, Gunzo; Sugikawa, Susumu; Maeda, Mitsuru; Tsujino, Takeshi; Torikai, Seishi; Kitamura, Masafumi; Yamazaki, Kazunobu.

    1990-02-01

    The experimental apparatus (VULCAN, the capacity; 2 kg-UO 2 /batch) was constructed to study on the advanced voloxidation process, which was proposed to reduce amount of tritium released from fuel reprocessing facilities. Using this equipment, a process study was conducted on behaviors of oxidation-reduction of simulated fuels and of release of tritium, and on confinement function of rotary seal of the reactor. An outline of the experimental apparatus is described. (author)

  16. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  17. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    Science.gov (United States)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  18. Process and apparatus for distilling bituminous minerals

    Energy Technology Data Exchange (ETDEWEB)

    Veyrier, J A

    1922-03-27

    This process of distillation of bituminous minerals and particularly bituminous limestone is characterized by the fact that the minerals are introduced into the retort where they stand only the temperature necessary to distill the water and lighter oils that they contain and then are drawn out into the hearth and serve for heating the retort. The apparatus is characterized by the fact that the retort has a screw conveyor, placed in the flue of the hearth, supplied with a chamber for evacuation below this hearth.

  19. Safety measures for integrity test apparatus for IS process. Sulfuric acid decomposition section

    International Nuclear Information System (INIS)

    Noguchi, Hiroki; Kubo, Shinji; Iwatsuki, Jin; Onuki, Kaoru

    2013-07-01

    Hazardous substances such as sulfuric acid, sulfur dioxide and hydrogen iodide acid are employed in thermochemical Iodine-Sulfur (IS) process. It is necessary to take safety measure against workers and external environments to study experimentally on IS process. Presently we have been conducting to verify the soundness of main components made of engineering material in actual corrosive condition. An integrity test apparatus for the components of sulfuric acid decomposition was set up. We will use the hazardous substances such as sulfuric acid and sulfur dioxide and perform the experiment in pressurized condition in this integrity test. Safety measures for the test apparatus, operation and abnormal situation were considered prior to starting the test. This report summarized the consideration results for the safety measures on the integrity test apparatus for the components of sulfuric acid decomposition. (author)

  20. Foam generator and viscometer apparatus and process

    Science.gov (United States)

    Reed, Troy D.; Pickell, Mark B.; Volk, Leonard J.

    2004-10-26

    An apparatus and process to generate a liquid-gas-surfactant foam and to measure its viscosity and enable optical and or electronic measurements of physical properties. The process includes the steps of pumping selected and measured liquids and measured gases into a mixing cell. The mixing cell is pressurized to a desired pressure and maintained at a desired pressure. Liquids and gas are mixed in the mixing cell to produce a foam of desired consistency. The temperature of the foam in the mixing cell is controlled. Foam is delivered from the mixing cell through a viscometer under controlled pressure and temperature conditions where the viscous and physical properties of the foam are measured and observed.

  1. Laser apparatus and method for microscopic and spectroscopic analysis and processing of biological cells

    Science.gov (United States)

    Gourley, P.L.; Gourley, M.F.

    1997-03-04

    An apparatus and method are disclosed for microscopic and spectroscopic analysis and processing of biological cells. The apparatus comprises a laser having an analysis region within the laser cavity for containing one or more biological cells to be analyzed. The presence of a cell within the analysis region in superposition with an activated portion of a gain medium of the laser acts to encode information about the cell upon the laser beam, the cell information being recoverable by an analysis means that preferably includes an array photodetector such as a CCD camera and a spectrometer. The apparatus and method may be used to analyze biomedical cells including blood cells and the like, and may include processing means for manipulating, sorting, or eradicating cells after analysis. 20 figs.

  2. Automated defect spatial signature analysis for semiconductor manufacturing process

    Science.gov (United States)

    Tobin, Jr., Kenneth W.; Gleason, Shaun S.; Karnowski, Thomas P.; Sari-Sarraf, Hamed

    1999-01-01

    An apparatus and method for performing automated defect spatial signature alysis on a data set representing defect coordinates and wafer processing information includes categorizing data from the data set into a plurality of high level categories, classifying the categorized data contained in each high level category into user-labeled signature events, and correlating the categorized, classified signature events to a present or incipient anomalous process condition.

  3. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  4. Three dimensional strained semiconductors

    Science.gov (United States)

    Voss, Lars; Conway, Adam; Nikolic, Rebecca J.; Leao, Cedric Rocha; Shao, Qinghui

    2016-11-08

    In one embodiment, an apparatus includes a three dimensional structure comprising a semiconductor material, and at least one thin film in contact with at least one exterior surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the three dimensional structure. In another embodiment, a method includes forming a three dimensional structure comprising a semiconductor material, and depositing at least one thin film on at least one surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the structure.

  5. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  6. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  7. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  8. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  9. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  10. Development of an on-line radon monitoring apparatus and design of the on-line radon monitoring platform based on CAN bus

    International Nuclear Information System (INIS)

    Guo Huiping; Lu Ning; Shang Aiguo; Zhou Chunlin; Chen Yingfen; Yu Hongwei

    2004-12-01

    For actual demand, an idea of 'on-line monitoring' is put forward as a way of radon monitoring, instead of traditional so called 'off-line monitoring'. In this way, the apparatus has some automatic functions such as continuous monitoring, real-time alarm; thereby, there is no need for operators' intervention in each monitoring process. With technique of hardware and software design in automation's field, the authors have successfully developed the prototype and finished the scale of it in a standard radon-chamber. This apparatus is composed of detector part and secondary-instrument. The detector part is made up of a passive diffusion collecting chamber, high voltage static electricity, semiconductor detector, charge-sensitive preamplifier and forming circuit. The secondary-instrument is actually a micro-controller system, which consists of a single-chip micro-controller cored measure-controlling unit, display unit, printing unit and alarming unit. Taking this apparatus as a cell, a 'on-line Radon Monitoring Platform' based on CAN bus has been put forward, which can realize multi-points environmental radioactivity real-time monitoring radioactivity and data process. (authors)

  11. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  12. Spectroscopic analysis of optoelectronic semiconductors

    CERN Document Server

    Jimenez, Juan

    2016-01-01

    This book deals with standard spectroscopic techniques which can be used to analyze semiconductor samples or devices, in both, bulk, micrometer and submicrometer scale. The book aims helping experimental physicists and engineers to choose the right analytical spectroscopic technique in order to get specific information about their specific demands. For this purpose, the techniques including technical details such as apparatus and probed sample region are described. More important, also the expected outcome from experiments is provided. This involves also the link to theory, that is not subject of this book, and the link to current experimental results in the literature which are presented in a review-like style. Many special spectroscopic techniques are introduced and their relationship to the standard techniques is revealed. Thus the book works also as a type of guide or reference book for people researching in optical spectroscopy of semiconductors.

  13. Evaluation of co-processed excipients used for direct compression of orally disintegrating tablets (ODT) using novel disintegration apparatus.

    Science.gov (United States)

    Brniak, Witold; Jachowicz, Renata; Krupa, Anna; Skorka, Tomasz; Niwinski, Krzysztof

    2013-01-01

    The compendial method of evaluation of orodispersible tablets (ODT) is the same disintegration test as for conventional tablets. Since it does not reflect the disintegration process in the oral cavity, alternative methods are proposed that are more related to in vivo conditions, e.g. modified dissolution paddle apparatus, texture analyzer, rotating shaft apparatus, CCD camera application, or wetting time and water absorption ratio measurement. In this study, three different co-processed excipients for direct compression of orally disintegrating tablets were compared (Ludiflash, Pharmaburst, F-Melt). The properties of the prepared tablets such as tensile strength, friability, wetting time and water absorption ratio were evaluated. Disintegration time was measured using the pharmacopoeial method and the novel apparatus constructed by the authors. The apparatus was based on the idea of Narazaki et al., however it has been modified. Magnetic resonance imaging (MRI) was applied for the analysis of the disintegration mechanism of prepared tablets. The research has shown the significant effect of excipients, compression force, temperature, volume and kind of medium on the disintegration process. The novel apparatus features better correlation of disintegration time with in vivo results (R(2) = 0.9999) than the compendial method (R(2) = 0.5788), and presents additional information on the disintegration process, e.g. swelling properties.

  14. Process and apparatus to analyze high-boiling products by distillation

    Energy Technology Data Exchange (ETDEWEB)

    Goupil, J.; Mouton, M.; Fischer, W.

    1982-05-19

    In the described process to analyze high-boiling petroleum products by distillation, contents of these products with atmospheric boiling points above 500/sup 0/C can be isolated as distillates. For this purpose the continuous shortway distillation process is employed and at least a part of the components of the apparatus which serve to introduce the raw product are heated seperately and held at different temperatures. The raw product is distributed on the combustion surface of the shortway distiller by a roller wiping system.

  15. Shallow Melt Apparatus for Semicontinuous Czochralski Crystal Growth

    Science.gov (United States)

    Wang, T.; Ciszek, T. F.

    2006-01-10

    In a single crystal pulling apparatus for providing a Czochralski crystal growth process, the improvement of a shallow melt crucible (20) to eliminate the necessity supplying a large quantity of feed stock materials that had to be preloaded in a deep crucible to grow a large ingot, comprising a gas tight container a crucible with a deepened periphery (25) to prevent snapping of a shallow melt and reduce turbulent melt convection; source supply means for adding source material to the semiconductor melt; a double barrier (23) to minimize heat transfer between the deepened periphery (25) and the shallow melt in the growth compartment; offset holes (24) in the double barrier (23) to increase melt travel length between the deepened periphery (25) and the shallow growth compartment; and the interface heater/heat sink (22) to control the interface shape and crystal growth rate.

  16. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  17. Apparatus for electrical-assisted incremental forming and process thereof

    Science.gov (United States)

    Roth, John; Cao, Jian

    2018-04-24

    A process and apparatus for forming a sheet metal component using an electric current passing through the component. The process can include providing an incremental forming machine, the machine having at least one arcuate tipped tool and at least electrode spaced a predetermined distance from the arcuate tipped tool. The machine is operable to perform a plurality of incremental deformations on the sheet metal component using the arcuate tipped tool. The machine is also operable to apply an electric direct current through the electrode into the sheet metal component at the predetermined distance from the arcuate tipped tool while the machine is forming the sheet metal component.

  18. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  19. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  20. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  1. Apparatus and method for implementing power saving techniques when processing floating point values

    Science.gov (United States)

    Kim, Young Moon; Park, Sang Phill

    2017-10-03

    An apparatus and method are described for reducing power when reading and writing graphics data. For example, one embodiment of an apparatus comprises: a graphics processor unit (GPU) to process graphics data including floating point data; a set of registers, at least one of the registers of the set partitioned to store the floating point data; and encode/decode logic to reduce a number of binary 1 values being read from the at least one register by causing a specified set of bit positions within the floating point data to be read out as 0s rather than 1s.

  2. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  3. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  4. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  5. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  6. Process and apparatus for detecting presence of plant substances

    International Nuclear Information System (INIS)

    Kirby, J.A.

    1991-01-01

    This patent describes an apparatus and process for detecting the presence of plant substances in a particular environment. It comprises: measuring the background K40 gamma ray radiation level in a particular environment with a 1.46 MeV gamma ray counter system; measuring the amount of K40 gamma ray radiation emanating from a package containing a plant substance being passed through an environment with a counter; and generating an alarm signal when the total K40 gamma ray radiation reaches a predetermined level over and above the background level

  7. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  8. Laser-based irradiation apparatus and methods for monitoring the dose-rate response of semiconductor devices

    Science.gov (United States)

    Horn, Kevin M [Albuquerque, NM

    2006-03-28

    A scanned, pulsed, focused laser irradiation apparatus can measure and image the photocurrent collection resulting from a dose-rate equivalent exposure to infrared laser light across an entire silicon die. Comparisons of dose-rate response images or time-delay images from before, during, and after accelerated aging of a device, or from periodic sampling of devices from fielded operational systems allows precise identification of those specific age-affected circuit structures within a device that merit further quantitative analysis with targeted materials or electrical testing techniques. Another embodiment of the invention comprises a broad-beam, dose rate-equivalent exposure apparatus. The broad-beam laser irradiation apparatus can determine if aging has affected the device's overall functionality. This embodiment can be combined with the synchronized introduction of external electrical transients into a device under test to simulate the electrical effects of the surrounding circuitry's response to a radiation exposure.

  9. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  10. He-atom surface scattering apparatus for studies of crystalline surface dynamics. Progress report, May 1, 1985-April 30, 1986

    International Nuclear Information System (INIS)

    1986-01-01

    The primary goal of this grant is the construction of a state-of-the-art He atom-crystal surface scattering apparatus which will be capable of measuring both elastic and inelastic scattering of He atoms from crystal surfaces of metals, semiconductors and insulators. First, the apparatus will be constructed and characterized, after which a program of studies on the surface dynamics of a variety of crystal surfaces will be started. 6 refs., 2 figs

  11. Intensification of Evaporation and Condensation Processes in Heat Exchange Apparatus

    Directory of Open Access Journals (Sweden)

    L. L. Vasiliev

    2005-01-01

    Full Text Available The paper describes proposed design solutions for an intensification of heat transfer in evaporation and condensation heat exchangers. Complex experimental research of heat and mass transfer processes in flat and round cross-section miniature heat pipes is carried out. Optimization, development, manufacturing and an experimental investigation of copper miniature heat pipes with sintered powder are executed. Investigation results of capillary-porous structure properties that are used in evaporation and condensation heat-exchange apparatus are presented.

  12. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  13. X-ray spectral meter of high voltages for X-ray apparatuses

    International Nuclear Information System (INIS)

    Zubkov, I.P.; Larchikov, Yu.V.

    1993-01-01

    Design of the X-ray spectral meter of high voltages (XRSMHV) for medical X-ray apparatuses permitting to conduct the voltage measurements without connection to current circuits. The XRSMHV consists of two main units: the detector unit based on semiconductor detector and the LP4900B multichannel analyzer (Afora, Finland). The XRSMYV was tested using the pilot plant based on RUM-20 X-ray diagnostic apparatus with high-voltage regulator. It was shown that the developed XRSMHV could be certify in the range of high constant voltages form 40 up to 120 kV with the basic relative error limits ±0.15%. The XRSMHV is used at present as the reference means for calibration of high-voltage medical X-ray equipment

  14. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  15. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  16. Magnetic filter apparatus and method for generating cold plasma in semicoductor processing

    Science.gov (United States)

    Vella, Michael C.

    1996-01-01

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a "cold plasma" which is diffused in the region of the process surface while the ion implantation process takes place.

  17. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  18. Method and apparatus for real-time measurement of fuel gas compositions and heating values

    Science.gov (United States)

    Zelepouga, Serguei; Pratapas, John M.; Saveliev, Alexei V.; Jangale, Vilas V.

    2016-03-22

    An exemplary embodiment can be an apparatus for real-time, in situ measurement of gas compositions and heating values. The apparatus includes a near infrared sensor for measuring concentrations of hydrocarbons and carbon dioxide, a mid infrared sensor for measuring concentrations of carbon monoxide and a semiconductor based sensor for measuring concentrations of hydrogen gas. A data processor having a computer program for reducing the effects of cross-sensitivities of the sensors to components other than target components of the sensors is also included. Also provided are corresponding or associated methods for real-time, in situ determination of a composition and heating value of a fuel gas.

  19. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  20. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  1. Process and apparatus for examination by penetrating radiations, particularly by tomography

    International Nuclear Information System (INIS)

    Taylor, S.K.; Erker, J.W.; Carper, R.L.

    1980-01-01

    This invention concerns a process and apparatus for examination by penetrating radiation, particularly by tomography. Specifically, the invention refers to the 'tacography' or computer assisted axial tomography machines and, in particular, the machines working by translational and rotational displacement. Such a translational and rotational scanner is designed so that the radiation source and detectors move by translation on a carriage at non constant speed. Data samples are taken, for unit distances in space and not during unit times [fr

  2. Process and apparatus for sealing nuclear reactor fuel

    International Nuclear Information System (INIS)

    Duncan, R.; Barna, R.P.

    1978-01-01

    A process and apparatus for simultaneously pressurizing a fuel rod having a plug in one end, welding a plug in the other end and sealing a gas pressurizing orifice therein in a single operation is described. A weld chamber is provided which accommodates one end of a seal rod having a plug fixed in the rod end by a friction fit. A mechanism pushes the fuel rod into the weld chamber which is then pressurized to force gas through a plug orifice into the fuel rod. During subsequent rotation of the rod, an electrode in the weld chamber forms a weld puddle which bridges the end plug-fuel rod interface and the plug orifice to thereby weld the plug in the rod and seal the plug orifice in a single operation. 6 claims, 3 figures

  3. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  4. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  5. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  6. Apparatus and process for deposition of hard carbon films

    Science.gov (United States)

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  7. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  8. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  9. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  10. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  11. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  12. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  13. Apparatus and method for materials processing utilizing a rotating magnetic field

    Science.gov (United States)

    Muralidharan, Govindarajan; Angelini, Joseph A.; Murphy, Bart L.; Wilgen, John B.

    2017-04-11

    An apparatus for materials processing utilizing a rotating magnetic field comprises a platform for supporting a specimen, and a plurality of magnets underlying the platform. The plurality of magnets are configured for rotation about an axis of rotation intersecting the platform. A heat source is disposed above the platform for heating the specimen during the rotation of the plurality of magnets. A method for materials processing utilizing a rotating magnetic field comprises providing a specimen on a platform overlying a plurality of magnets; rotating the plurality of magnets about an axis of rotation intersecting the platform, thereby applying a rotating magnetic field to the specimen; and, while rotating the plurality of magnets, heating the specimen to a desired temperature.

  14. Process for forming thin film, heat treatment process of thin film sheet, and heat treatment apparatus therefor

    International Nuclear Information System (INIS)

    Watanabe, S.

    1984-01-01

    The invention provides a process for forming a magnetic thin film on a base film, a heat treatment process of a thin film sheet consisting of the base film and the magnetic thin film, and an apparatus for performing heat treatment of the thin film sheet. Tension applied to the thin film sheet is substantially equal to that applied to the base film when the magnetic thin film is formed thereon. Then, the thin film sheet is treated with heat. The thin film sheet is heated with a given temperature gradient to a reactive temperature at which heat shrinkage occurs, while the tension is being applied thereto. Thereafter, the thin film sheet to which the tension is still applied is cooled with substantially the same temperature gradient as applied in heating. The heat treatment apparatus has a film driving unit including a supply reel, a take-up reel, a drive source and guide rollers; a heating unit including heating plates, heater blocks and a temperature controller for heating the sheet to the reactive temperature; and a heat insulating unit including a thermostat and another temperature controller for maintaining the sheet at the nonreactive temperature which is slightly lower than the reactive temperature

  15. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  16. Ultrasonic stir welding process and apparatus

    Science.gov (United States)

    Ding, R. Jeffrey (Inventor)

    2009-01-01

    An ultrasonic stir welding device provides a method and apparatus for elevating the temperature of a work piece utilizing at least one ultrasonic heater. Instead of relying on a rotating shoulder to provide heat to a workpiece an ultrasonic heater is utilized to provide ultrasonic energy to the workpiece. A rotating pin driven by a motor assembly performs the weld on the workpiece. A handheld version can be constructed as well as a fixedly mounted embodiment.

  17. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  18. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  19. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  20. Monitoring apparatus

    International Nuclear Information System (INIS)

    Keats, A.B.

    1981-01-01

    An improved monitoring apparatus for use with process plants, such as nuclear reactors, is described. System failure in the acquisition of data from the plant, owing to stuck signals, is avoided by arranging input signals from transducers in the plant in a test pattern. (U.K.)

  1. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  2. Apparatus for controlling fluidized beds

    Science.gov (United States)

    Rehmat, A.G.; Patel, J.G.

    1987-05-12

    An apparatus and process are disclosed for control and maintenance of fluidized beds under non-steady state conditions. An ash removal conduit is provided for removing solid particulates from a fluidized bed separate from an ash discharge conduit in the lower portion of the grate supporting such a bed. The apparatus and process of this invention is particularly suitable for use in ash agglomerating fluidized beds and provides control of the fluidized bed before ash agglomeration is initiated and during upset conditions resulting in stable, sinter-free fluidized bed maintenance. 2 figs.

  3. Method and apparatus for monitoring plasma processing operations

    Science.gov (United States)

    Smith, Jr., Michael Lane; Ward, Pamela Denise Peardon; Stevenson, Joel O'Don

    2002-01-01

    The invention generally relates to various aspects of a plasma process, and more specifically the monitoring of such plasma processes. One aspect relates in at least some manner to calibrating or initializing a plasma monitoring assembly. This type of calibration may be used to address wavelength shifts, intensity shifts, or both associated with optical emissions data obtained on a plasma process. A calibration light may be directed at a window through which optical emissions data is being obtained to determine the effect, if any, that the inner surface of the window is having on the optical emissions data being obtained therethrough, the operation of the optical emissions data gathering device, or both. Another aspect relates in at least some manner to various types of evaluations which may be undertaken of a plasma process which was run, and more typically one which is currently being run, within the processing chamber. Plasma health evaluations and process identification through optical emissions analysis are included in this aspect. Yet another aspect associated with the present invention relates in at least some manner to the endpoint of a plasma process (e.g., plasma recipe, plasma clean, conditioning wafer operation) or discrete/discernible portion thereof (e.g., a plasma step of a multiple step plasma recipe). Another aspect associated with the present invention relates to how one or more of the above-noted aspects may be implemented into a semiconductor fabrication facility, such as the distribution of wafers to a wafer production system. A final aspect of the present invention relates to a network a plurality of plasma monitoring systems, including with remote capabilities (i.e., outside of the clean room).

  4. Radioactive decontamination apparatus and process

    International Nuclear Information System (INIS)

    Jackson, O.L.

    1983-01-01

    Apparatus for removing radioactive contamination from metal objects is disclosed, consisting of three of three separate pieces. The first is an electro- polishing tank, pump and filter assembly, ventilation duct and filter assembly, and DC power supply. The second is a rinse tank and a pump and filter assembly therefor. The third is a divot crane. The electro-polishing tank assembly and the rinse tank assembly are each separately mounted on pallets to facilitate moving. The filter systems of the electro-polishing tank and the rinse tank are designed to remove the radioactive contamination from the fluids in those tanks. Heavy items or highly contaminated items are handled with the divot crane constructed of stainless steel. The electro- polishing tank and the rinse tank are also made of stainless steel. The ventilation system on the electro- polishing tank exhausts acid fumes resulting from the tank heaters and the electro-polishing process. Inside the electro-polishing tank are two swinging arms that carry two stainless steel probes that hang down in the electrolyte fluid. These negative DC probes and are electrically isolated from the tank and the rest of the system. Across the top center of the tank is a copper pipe, which is also electrically isolated from the tank. This is the positive side of the DC system. To decontaminate a metal object, it is suspended from the positive copper pipe, with good electrical contact, into the electrolyte fluid. The negative probes are then moved on their swinging arms to a close proximity to the object being decontaminated, without making contact

  5. Apparatus for filtering radioactive fluids

    International Nuclear Information System (INIS)

    Gischel, E.H.

    1975-01-01

    Apparatus is provided for filtering radioactive particles from the cooling and/or auxiliary process water of a nuclear reactor, or nuclear fuel processing plant, or other installations wherein radioactive fluid systems are known to exist. The apparatus affords disposal of the captured particles in a manner which minimizes the exposure of operating personnel to radioactivity. The apparatus comprises a housing adapted to contain a removable filter cartridge assembly, a valve normally closing the lower end of the housing, an upwardly-open shipping cask located below the valve, and an elongated operating rod assembly projecting upwardly from the filter cartridge assembly and through the upper end of the housing to enable a workman to dismount the filter cartridge assembly from its housing and to lower the filter cartridge assembly through the valve and into the cask from a remote location above the housing. (U.S.)

  6. Method and apparatus for producing microspherical particles

    International Nuclear Information System (INIS)

    Egli, W.; Bailey, W.H.; Leary, D.F.; Lansley, R.J.

    1979-01-01

    This invention relates generally to a method and apparatus for producing microspherical particles and more particularly to a method and apparatus which are particularly useful in connection with the sol-gel process for the production of nuclear fuel kernels. (U.K.)

  7. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  8. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  9. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  10. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  11. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  12. Testing in a stratospheric balloon of a semiconductor detector altimeter

    International Nuclear Information System (INIS)

    Gilly, L.; Jourdan, P.

    1968-01-01

    An altimeter containing a semiconductor detector has been operated on flight. We have used a stratospheric balloon launched from AIRE-SUR-ADOUR with the C.N.E.S. collaboration. During this assay two apparatus have been used. The first allowed to follow the balloon during its ascension and descent, the second to follow its evolution at its maximum altitude. Informations transmitted by radio and recorded on Magnetophon, have been studied after the flight. Results are identical with these given by the barometer used by the C.N.E.S. in this essay. (authors) [fr

  13. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  14. Apparatus and method of manufacture for an imager equipped with a cross-talk barrier

    Science.gov (United States)

    Pain, Bedabrata (Inventor)

    2012-01-01

    An imager apparatus and associated starting material are provided. In one embodiment, an imager is provided including a silicon layer of a first conductivity type acting as a junction anode. Such silicon layer is adapted to convert light to photoelectrons. Also included is a semiconductor well of a second conductivity type formed in the silicon layer for acting as a junction cathode. Still yet, a barrier is formed adjacent to the semiconductor well. In another embodiment, a starting material is provided including a first silicon layer and an oxide layer disposed adjacent to the first silicon layer. Also included is a second silicon layer disposed adjacent to the oxide layer opposite the first silicon layer. Such second silicon layer is further equipped with an associated passivation layer and/or barrier.

  15. The Apparatus of Digital Archaeology

    Directory of Open Access Journals (Sweden)

    Jeremy Huggett

    2017-06-01

    Full Text Available Digital Archaeology is predicated upon an ever-changing set of apparatuses – technological, methodological, software, hardware, material, immaterial – which in their own ways and to varying degrees shape the nature of Digital Archaeology. Our attention, however, is perhaps inevitably more closely focused on research questions, choice of data, and the kinds of analyses and outputs. In the process we tend to overlook the effects the tools themselves have on the archaeology we do beyond the immediate consequences of the digital. This article introduces cognitive artefacts as a means of addressing the apparatus more directly within the context of the developing archaeological digital ecosystem. It argues that a critical appreciation of our computational cognitive artefacts is key to understanding their effects on both our own cognition and on the creation of archaeological knowledge. In the process, it defines a form of cognitive digital archaeology in terms of four distinct methods for extracting cognition from the digital apparatus layer by layer.

  16. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  17. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  18. The construction of the ATLAS semi-conductor tracker

    International Nuclear Information System (INIS)

    Jones, Tim

    2006-01-01

    The ATLAS (A Toroidal LHC ApparatuS) experiment at the Large Hadron Collider (LHC) at CERN has been designed to explore physics at the TeV energy scale and will be commissioned in 2007. In the innermost region of the experiment is a charged particle tracker, the Inner Detector of which the Semiconductor Tracker (SCT) is a major component. The SCT comprises a central barrel section enclosed by two endcaps (A and C). The construction of the major components of the ATLAS Semi-conductor tracker (SCT) is now nearing completion. Following a brief description of the design of the SCT, the logistics and organisation of the construction phase of the project are discussed. Central to the delivery of a high quality detector is the testing of large numbers of modules both during assembly and after they are mounted on their final support structures. The results of these tests for endcap C are presented showing that the electrical performance of the 988 modules to be installed in ATLAS is compatible with the specifications required

  19. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  20. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  1. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  2. Thermal treatment of starch slurry in Couette-Taylor flow apparatus

    Directory of Open Access Journals (Sweden)

    Hubacz Robert

    2017-09-01

    Full Text Available In this paper, thermal processing of starch slurry in a Couette-Taylor flow (CTF apparatus was investigated. Gelatinized starch dispersion, after treatment in the CTF apparatus, was characterized using such parameters like starch granule diameters (or average diameter, starch granule swelling degree (quantifying the amount of water absorbed by starch granules and concentration of dissolved starch. These parameters were affected mostly by the process temperature, although the impact of the axial flow or rotor rotation on them was also observed. Moreover, the analysis of results showed a relatively good correlation between these parameters, as well as, between those parameter and apparent viscosity of gelatinized starch dispersion. Meanwhile, the increase in the value of the apparent viscosity and in shear-tinning behaviour of dispersion was associated with the progress of starch processing in the CTF apparatus. Finally, the CTF apparatuses of different geometries were compared using numerical simulation of the process. The results of the simulation indicated that the apparatus scaling-up without increasing the width of the gap between cylinders results in higher mechanical energy consumption per unit of processed starch slurry.

  3. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Apparatus and process for continuous measurement of moisture in moving coal by neutron thermalization

    International Nuclear Information System (INIS)

    Stewart, R.F.

    1967-01-01

    The invention relates to an apparatus and process for the measurement of moisture contents in solid materials. More particularly, the invention makes available a continuous moisture analysis of a moving mass of material, such as coal, by penetrating such material with neutrons emitted from a source of fast neutrons and detecting, counting, and recording slowed or thermalized neutrons reflected from the internal structure of the material. (U.S.)

  5. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  6. Apparatus and method for plasma processing of SRF cavities

    Science.gov (United States)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  7. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  8. Radiographic scanner apparatus

    International Nuclear Information System (INIS)

    Wake, R.H.

    1980-01-01

    The preferred embodiment of this invention includes a hardware system, or processing means, which operates faster than software. Moreover the computer needed is less expensive and smaller. Radiographic scanner apparatus is described for measuring the intensity of radiation after passage through a planar region and for reconstructing a representation of the attenuation of radiation by the medium. There is a source which can be rotated, and detectors, the output from which forms a data line. The detectors are disposed opposite the planar region from the source to produce a succession of data lines corresponding to the succession of angular orientations of the source. There is a convolver means for convolving each of these data lines, with a filter function, and a means of processing the convolved data lines to create the representation of the radiation attenuation in the planar region. There is also apparatus to generate a succession of data lines indicating radiation attenuation along a determinable path with convolver means. (U.K.)

  9. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  10. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  11. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  12. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  13. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  14. Tomographic scanning apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    Details are given of a tomographic scanning apparatus, with particular reference to the means of adjusting the apparent gain of the signal processing means for receiving output signals from the detectors, to compensate for drift in the gain characteristics, including means for passing a reference signal. (U.K.)

  15. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  16. Uranium dioxide calcining apparatus

    International Nuclear Information System (INIS)

    Cole, E.A.; Peterson, R.S.

    1978-01-01

    This invention relates to an improved continuous calcining apparatus for consistently and controllably producing from calcinable reactive solid compounds of uranium, such as ammonium diuranate, uranium dioxide (UO 2 ) having an oxygen to uranium ratio of less than 2.2. The apparatus comprises means at the outlet end of a calciner kiln for receiving hot UO 2 , means for cooling the UO 2 to a temperature of below 100 deg C and conveying the cooled UO 2 to storage or to subsequent UO 2 processing apparatus where it finally comes into contact with air, the means for receiving cooling and conveying being sealed to the outlet end of the calciner and being maintained full of UO 2 and so operable as to exclude atmospheric oxygen from coming into contact with any UO 2 which is at elevated temperatures where it would readily oxidize, without the use of extra hydrogen gas in said means. (author)

  17. Apparatus and process for handling dangerous fluent material

    International Nuclear Information System (INIS)

    Stock, A.J.; Christofer, D.E.; Brinza, J.E.

    1976-01-01

    Systems, apparatus and methods are disclosed for disposing of radioactive waste materials by placing them into a container such as a steel drum, together with cement or other solidifying agent and water or other suitable liquid in amounts sufficient to provide eventually a solidified mixture of predetermined amounts of cement or other solidifying agent and radioactive material, closing the drum, agitating the mixture in the drum for mixing the contents, and then storing the drum for at least a period of time sufficient to permit partial decay of radioactive materials or to await available time for shipment. Also disclosed are remotely controlled apparatus for handling both empty and filled drums, for placing the drums in and removing drums from enclosed drumming equipment where they have been filled and agitated, for accurately placing the drums containing radioactive material in storage, and for removing the drums from storage and loading them on a vehicle for transportation. All of these operations are done by remote control with a high degree of safety to the operators and maintenance personnel from radiation and freedom of the ambient from radiation pollution

  18. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  19. Grinding assembly, grinding apparatus, weld joint defect repair system, and methods

    Science.gov (United States)

    Larsen, Eric D.; Watkins, Arthur D.; Bitsoi, Rodney J.; Pace, David P.

    2005-09-27

    A grinding assembly for grinding a weld joint of a workpiece includes a grinder apparatus, a grinder apparatus includes a grinding wheel configured to grind the weld joint, a member configured to receive the grinding wheel, the member being configured to be removably attached to the grinder apparatus, and a sensor assembly configured to detect a contact between the grinding wheel and the workpiece. The grinding assembly also includes a processing circuitry in communication with the grinder apparatus and configured to control operations of the grinder apparatus, the processing circuitry configured to receive weld defect information of the weld joint from an inspection assembly to create a contour grinding profile to grind the weld joint in a predetermined shape based on the received weld defect information, and a manipulator having an end configured to carry the grinder apparatus, the manipulator further configured to operate in multiple dimensions.

  20. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  1. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  2. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  3. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  4. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  5. Apparatus and Process for Controlled Nanomanufacturing Using Catalyst Retaining Structures

    Science.gov (United States)

    Nguyen, Cattien (Inventor)

    2013-01-01

    An apparatus and method for the controlled fabrication of nanostructures using catalyst retaining structures is disclosed. The apparatus includes one or more modified force microscopes having a nanotube attached to the tip portion of the microscopes. An electric current is passed from the nanotube to a catalyst layer of a substrate, thereby causing a localized chemical reaction to occur in a resist layer adjacent the catalyst layer. The region of the resist layer where the chemical reaction occurred is etched, thereby exposing a catalyst particle or particles in the catalyst layer surrounded by a wall of unetched resist material. Subsequent chemical vapor deposition causes growth of a nanostructure to occur upward through the wall of unetched resist material having controlled characteristics of height and diameter and, for parallel systems, number density.

  6. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  7. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  8. EFFICIENCY INCREASE OF MASTERING PROCESS OF PHYSICS CONCEPTUAL APPARATUS BY STUDENTS THOUGHT THE INSTRUMENTALITY OF MULTIMEDIA FACILITIES

    Directory of Open Access Journals (Sweden)

    Olga P. Pinchuk

    2010-09-01

    Full Text Available The relevance of the article material specified the change of accent in school subjects teaching from the transmission of knowledge to forming of students’ ability and willingness to use this knowledge in the real vital situations. The elements of forming method of students’ conceptual apparatus on the lessons of physics are offered. The process of mastering of scientific knowledge system by students with the use of different working methods with conceptual apparatus of physics is described. Use of multimedia technologies on the different stages of teacher’s activity is studied. The author considers research of possibilities of combination of the free communication with an audience with the use of computer device and co-operation of means of network technologies and telecommunications with an educational purpose to be perspective.

  9. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  10. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  11. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  12. Radiography apparatus

    International Nuclear Information System (INIS)

    Redmayne, I.G.B.

    1985-01-01

    Apparatus for the inspection of pipe welds comprises a radiation source for transmitting radiation, say as X-rays, through a pipe weld and a detector in a box arranged diametrically opposite the source, with respect to the pipe, for detecting the transmitted radiation and providing electrical signals which are processed to produce an image of the weld. The source and detector are mounted on a frame which is rotatable about an inner frame clamped to the pipe. (author)

  13. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  14. ROLLER FILTRATION APPARATUS

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates to the field of filtering, more precisely the present invention concerns an apparatus and a method for the separation of dry matter from a medium and the use of said apparatus. One embodiment discloses an apparatus for the separation of dry matter and liquid from a m...

  15. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  16. Multipactor discharge apparatus

    International Nuclear Information System (INIS)

    1976-01-01

    The invention deals with a multipactor discharge apparatus which can be used for tuning microwave organs such as magnetron oscillators and other cavity resonators. This apparatus is suitable for delivering an improved tuning effect in a resonation organ wherefrom the working frequency must be set. This apparatus is equipped with two multipactor discharge electrodes set in a configuration such to that a net current flows from one electrode to another. These electrodes are parallel and flat. The apparatus can be used in magnetron devices as well for continuous waves as for impulses

  17. Gamma tomography apparatus

    International Nuclear Information System (INIS)

    Span, F.J.

    1988-01-01

    The patent concerns a gamma tomography apparatus for medical diagnosis. The apparatus comprises a gamma scintillation camera head and a suspension system for supporting and positioning the camera head with respect for the patient. Both total body scanning and single photon emission tomography can be carried out with the apparatus. (U.K.)

  18. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  19. Model of Coupled Drives Apparatus – Static and Dynamic Characteristics

    Directory of Open Access Journals (Sweden)

    Chalupa Petr

    2016-01-01

    Full Text Available The paper presents an initial part of a modelling the Coupled Drives Apparatus CE108 developed by TecQuipment Ltd. The final model should be used in the process of control design for the apparatus. The model derived by the developers of the apparatus using first-principle modelling is used as a starting point for comparison of its properties with measured characteristics. The static and dynamic characteristics of the real-time apparatus are presented and discussed. Special attention is paid to principal differences between the model and the real-time apparatus. Real-time measurements are obtained using MATLAB / Simulink environment in connection with a Real-time Toolbox. Evaluation of the experiments is also performed in the MATLAB environment

  20. Process and apparatus for removing layers of liquids floating on the surface of water

    Energy Technology Data Exchange (ETDEWEB)

    1968-11-12

    This apparatus is towed or pushed by suitable means and collects a suitable thickness of the floating liquid and of water. The 2 liquids are then separated, the purified water is rejected outboard, and the polluting liquid is collected in a reservoir of the apparatus, from which it can easily be pumped and recovered in tanks.

  1. Apparatus for control of mercury

    Science.gov (United States)

    Downs, William; Bailey, Ralph T.

    2001-01-01

    A method and apparatus for reducing mercury in industrial gases such as the flue gas produced by the combustion of fossil fuels such as coal adds hydrogen sulfide to the flue gas in or just before a scrubber of the industrial process which contains the wet scrubber. The method and apparatus of the present invention is applicable to installations employing either wet or dry scrubber flue gas desulfurization systems. The present invention uses kraft green liquor as a source for hydrogen sulfide and/or the injection of mineral acids into the green liquor to release vaporous hydrogen sulfide in order to form mercury sulfide solids.

  2. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  3. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  4. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  5. Apparatuses, Systems and Methods for Cleaning Photovoltaic Devices

    KAUST Repository

    Eitelhuber, Georg

    2013-02-14

    Embodiments of solar panel cleaning apparatuses, solar panel cleaning systems, and solar panel cleaning methods are disclosed. In certain embodiments, the disclosed solar panel cleaning apparatuses, systems and methods do may not require any water or other cleaning liquids in the whole cleaning process, which makes them prominent well suited in for water-deficit environments such as deserts. In one embodiment, the solar panel cleaning apparatus comprises one or more rotatable brushes each having a rotational axis and a drive configured to move each of the one or more rotatable brushes in a direction that is not perpendicular to the rotational axis. The solar panel cleaning apparatus is may be configured such that the angle of the rotational axis of at least one of the one or more rotatable brushes is adjustable relative to the direction of travel.

  6. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  7. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  8. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  9. Examination on the actual situation of safety measures for the gas used in semiconductor industry of U. S. A. Amerika no handotai kogyoyo gas no anzen taisaku no jitsujo wo mite

    Energy Technology Data Exchange (ETDEWEB)

    Horiguchi, S [National Inst. of Materials and Chemical Research, Tsukuba (Japan)

    1993-06-15

    In compliance with the request of the Compressed Gas Safety Association of Japan, the visits to the Department of Transportation (DOT), the Occupational Safety and Health Administration, Department of Labor (OSHA), which are the apparatus of government, the Compressed Gas Association (CGA), the makers of gas used in the semiconductor industry, the makers of cylinder cabinet, and the semiconductor manufacturing factories run by Japanese were made by the present authors in order to examine the actual situations of the safety measure to the gas used in semiconductor industry of U.S.A. In this paper, the viewpoints as well as the actual situation relating to the safety measure to the compressed gas in said visited apparatus and enterprises are introduced. Especially, a number of points which should be referred to are indicated that recently in America, the control of the dangerous materials are regulated rigorously due to the environmental problems, and additionally the files of material safety data sheet based on Hazard communication of OSHA are ranged in the passageways for being read freely, the certain safety educations are given to the employees in offices and the visiting traders or marketers concerned as the duty. 1 fig., 2 tabs.

  10. On-line data processing apparatus for spectroscopic measurements of atomic uranium

    International Nuclear Information System (INIS)

    Miron, E.; Levin, L.A.; Erez, G; Baumatz, D; Goren, I.; Shpancer, I.

    1977-01-01

    A computer-based apparatus for on-line spectroscopic measurements of atomic uranium is described. The system is capable of enhancing the signal-to-noise ratio by averaging, and performing calculations. Computation flow charts and programs are included

  11. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de

  12. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  13. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  14. Influence of energy bands on the Hall effect in degenerate semiconductors

    International Nuclear Information System (INIS)

    Wu, Chhi-Chong; Tsai, Jensan

    1989-01-01

    The influence of energy bands on the Hall effect and transverse magnetoresistance has been investigated according to the scattering processes of carriers in degenerate semiconductors such as InSb. Results show that the Hall angle, Hall coefficient, and transverse magnetoresistance depend on the dc magnetic field for both parabolic and nonparabolic band structures of semiconductors and also depend on the scattering processes of carriers in semiconductors due to the energy-dependent relaxation time. From their numerical analysis for the Hall effect, it is shown that the conduction electrons in degenerate semiconductors play a major role for the carrier transport phenomenon. By comparing with experimental data of the transverse magnetoresistance, it shows that the nonparabolic band model is better in agreement with the experimental work than the parabolic band model of semiconductors

  15. Borehole sealing method and apparatus

    International Nuclear Information System (INIS)

    Hartley, J.N.; Jansen, G. Jr.

    1977-01-01

    A method and apparatus is described for sealing boreholes in the earth. The borehole is blocked at the sealing level, and a sealing apparatus capable of melting rock and earth is positioned in the borehole just above seal level. The apparatus is heated to rock-melting temperature and powdered rock or other sealing material is transported down the borehole to the apparatus where it is melted, pooling on the mechanical block and allowed to cool and solidify, sealing the hole. Any length of the borehole can be sealed by slowly raising the apparatus in the borehole while continuously supplying powdered rock to the apparatus to be melted and added to the top of the column of molten and cooling rock, forming a continuous borehole seal. The sealing apparatus consists of a heater capable of melting rock, including means for supplying power to the heater, means for transporting powdered rock down the borehole to the heater, means for cooling the apparatus and means for positioning the apparatus in the borehole. 5 claims, 1 figure

  16. Apparatus and method for stabilization or oxidation of polymeric materials

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; Sherman, Daniel M [Knoxville, TN

    2010-01-19

    An apparatus for treating polymeric materials comprises a treatment chamber adapted to maintain a selected atmosphere at a selected temperature; a means for supporting the polymeric material within the chamber; and, a source of ozone-containing gas, which decomposes at the selected temperature yielding at least one reactive oxidative species whereby the polymer is stabilized and cross linked through exposure to the oxidative species in the chamber at the selected temperature. The ozone may be generated by a plasma discharge or by various chemical processes. The apparatus may be configured for either batch-type or continuous-type processing. The apparatus and method are especially useful for preparing polymer fibers, particularly PAN fibers, for later carbonization treatments as well as to make flame-retardant fabrics.

  17. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  18. Photo-induced transformation process at gold clusters-semiconductor interface: Implications for the complexity of gold clusters-based photocatalysis

    Science.gov (United States)

    Liu, Siqi; Xu, Yi-Jun

    2016-03-01

    The recent thrust in utilizing atomically precise organic ligands protected gold clusters (Au clusters) as photosensitizer coupled with semiconductors for nano-catalysts has led to the claims of improved efficiency in photocatalysis. Nonetheless, the influence of photo-stability of organic ligands protected-Au clusters at the Au/semiconductor interface on the photocatalytic properties remains rather elusive. Taking Au clusters-TiO2 composites as a prototype, we for the first time demonstrate the photo-induced transformation of small molecular-like Au clusters to larger metallic Au nanoparticles under different illumination conditions, which leads to the diverse photocatalytic reaction mechanism. This transformation process undergoes a diffusion/aggregation mechanism accompanied with the onslaught of Au clusters by active oxygen species and holes resulting from photo-excited TiO2 and Au clusters. However, such Au clusters aggregation can be efficiently inhibited by tuning reaction conditions. This work would trigger rational structural design and fine condition control of organic ligands protected-metal clusters-semiconductor composites for diverse photocatalytic applications with long-term photo-stability.

  19. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  20. CVD apparatus and process for the preparation of fiber-reinforced ceramic composites

    Science.gov (United States)

    Caputo, A.J.; Devore, C.E.; Lowden, R.A.; Moeller, H.H.

    1990-01-23

    An apparatus and process for the chemical vapor deposition of a matrix into a preform having circumferentially wound ceramic fibers, comprises heating one surface of the preform while cooling the other surface thereof. The resulting product may have fibers that are wound on radial planes or at an angle from the radial planes. The fibers can also be precoated with pyrolytic carbon before application of the matrix. The matrix is applied by passing reactant gas through the preform thereof to the other side thereof for the initial deposition of matrix near such other surface of the preform. The matrix fills in the preform from the other side surface thereof to the surface of the side of application thereof until a desired amount of matrix has been deposited. 6 figs.

  1. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  2. Photographic inspection apparatus and process to know the shape and the dimensions of the end parts of steam generator tubes

    International Nuclear Information System (INIS)

    Martin, A.

    1986-01-01

    Before any inspection or repair operation of the tubes of a steam generator, one needs to know the shape and the dimension of the hole of the tube in the near the primary face of the tube plate. The photographic inspection apparatus is moved parallel with the tube plate, inside the water box, such as its optical axis keeps parallel to a determined direction during its displacement. One takes successively photographs of the primary face of the tube plate with the photographic apparatus in different positions, to obtain at least two photographs of each tube to be inspected, under different angles. Photographs are developed at a determined scale of the primary face of the tube plate and of the tube ends. The photographs are oriented two by two to obtain a stereophotogrammetric view of the end parts of each tube. Measurements and examinations are done from the stereophotogrammetric view obtained for each tube, outside the steam generator zone. The invention concerns the process and also the photographic apparatus described in the present patent [fr

  3. Portable pulse X-ray micro and nanosecond range apparatus for studying fast-going processes in opaque media

    International Nuclear Information System (INIS)

    Goganov, D.A.; Komyak, N.I.; Pelix, E.A.

    Pulse X-radiography (X-ray flash duration in the order of 10 -6 -10 -9 sec) is the principal method for studying fast-going processes in opaque media by serial and parallel radiographic imaging. Description is given and main features are outlined of pulse X-ray apparatus IRA-4b, 5b, 6b producing X-radiation flashes from 0.3 μsec to 10-20 nsec in duration

  4. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  5. Apparatus for drying sugar cubes

    NARCIS (Netherlands)

    Derckx, H.A.J.; Torringa, H.M.

    1999-01-01

    Device for drying sugar cubes containing a heating apparatus for heating and dehumidifying the sugar cubes, a conditioning apparatus for cooling off and possibly further dehumidifying the sugar cubes and a conveying apparatus for conveying the sugar cubes through the heating apparatus and the

  6. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  7. Reduced filamentation in high power semiconductor lasers

    DEFF Research Database (Denmark)

    Skovgaard, Peter M. W.; McInerney, John; O'Brien, Peter

    1999-01-01

    High brightness semiconductor lasers have applications in fields ranging from material processing to medicine. The main difficulty associated with high brightness is that high optical power densities cause damage to the laser facet and thus require large apertures. This, in turn, results in spatio......-temporal instabilities such as filamentation which degrades spatial coherence and brightness. We first evaluate performance of existing designs with a “top-hat” shaped transverse current density profile. The unstable nature of highly excited semiconductor material results in a run-away process where small modulations...

  8. Quantum Dot Semiconductor Optical Amplifiers - Physics and Applications

    DEFF Research Database (Denmark)

    Berg, Tommy Winther

    2004-01-01

    This thesis describes the physics and applications of quantum dot semiconductor optical amplifiers based on numerical simulations. These devices possess a number of unique properties compared with other types of semiconductor amplifiers, which should allow enhanced performance of semiconductor...... respects is comparable to those of fiber amplifiers. The possibility of inverting the optically active states to a large degree is essential in order to achieve this performance. Optical signal processing through cross gain modulation and four wave mixing is modeled and described. For both approaches...... and QW devices and to experiments on quantum dot amplifiers. These comparisons outline the qualitative differences between the different types of amplifiers. In all cases focus is put on the physical processes responsible the differences....

  9. Uranium dioxide calcining apparatus and method

    International Nuclear Information System (INIS)

    Cole, E.A.; Peterson, R.S.

    1978-01-01

    This invention relates to an improved continuous calcining apparatus for consistently and controllably producing from calcinable reactive solid compounds of uranium, such as ammonium diuranate, uranium dioxide (UO 2 ) having an oxygen to uranium ratio of less than 2.2. The apparatus comprises means at the outlet end of a calciner kiln for receiving hot UO 2 , means for cooling the UO 2 to a temperature of below 100 0 C and conveying the cooled UO 2 to storage or to subsequent UO 2 processing apparatus where it finally comes into contact with air, the means for receiving, cooling and conveying being sealed to the outlet end of the calciner and being maintained full of UO 2 and so operable as to exclude atmospheric oxygen from coming into contact with any UO 2 which is at elevated temperatures where it would readily oxidize, without the use of extra hydrogen gas in said means

  10. Training apparatus

    International Nuclear Information System (INIS)

    Monteith, W.D.

    1983-01-01

    Training apparatus for use in contamination surveillance uses a mathematical model of a hypothetical contamination source (e.g. nuclear, bacteriological or chemical explosion or leak) to determine from input data defining the contamination source, the contamination level at any location within a defined exercise area. The contamination level to be displayed by the apparatus is corrected to real time from a real time clock or may be displayed in response to a time input from a keyboard. In a preferred embodiment the location is defined by entering UTM grid reference coordinates using the keyboard. The mathematical model used by a microprocessor of the apparatus for simulation of contamination levels in the event of a nuclear explosion is described. (author)

  11. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  12. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  13. Pore roller filtration apparatus

    DEFF Research Database (Denmark)

    2014-01-01

    The present invention relates to the field of filtering, more precisely the present invention concerns an apparatus and a method for the separation of dry matter from a medium and the use of said apparatus. One embodiment discloses an apparatus for the separation of dry matter from a medium, comp...

  14. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  15. Evaluation of semiconductor gas sensor system for ethanol determination during fermentation processes

    Energy Technology Data Exchange (ETDEWEB)

    Picque, D; Corrieu, G

    1988-10-01

    Using commercial gas sensitive semi-conductors, an ethanol sensor has been constructed which operates by direct immersion in fermentation media. The calibration range of 0.1 to 10 or 13 % depending on the component. However, they are very often subjected to considerable drift (in the same case up to 10 %/h of the measured value). The electrical resistance of component may vary by a factor of 1 to 5 for a well-defined ethanol concentration. The effects of temperature changes in fermentation media are easily compensated. Other volatile compounds (methanol, ammonia,...) substantially affect component responses. Thus, all work on sensors requires careful calibration. Wine fermentation processes can be monitored satisfactorily, providing the sensor is recalibrated about every six hours.

  16. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  17. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  18. Measurement stand for diagnosis of semiconductor detectors based on IBM PC/XT computer (4-way spectrometric analysis of pulses)

    International Nuclear Information System (INIS)

    Gruszecki, M.

    1990-01-01

    The technical assumptions and partial realization of our technological stand for quality inspection of semiconductor detectors for ionizing radiation manufactured in the INP in Cracow are described. To increase the efficiency of the measurements simultaneous checking of 4 semiconductor chips or finished products is suggested. In order to justify this measurement technique a review of possible variants of the measurement apparatus is presented for the systems consisting of home made units. Comparative parameters for the component modules and for complete measuring systems are given. The construction and operation of data acquisition system based on IBM PC/XT are described. The system ensures simultaneous registration of pulses obtained from 4 detectors with maximal rate of up to 500 x 10 3 pulses/s. 42 refs., 6 figs., 3 tabs. (author)

  19. Hyperentangled photon sources in semiconductor waveguides

    DEFF Research Database (Denmark)

    Kang, Dongpeng; Helt, L. G.; Zhukovsky, Sergei

    2014-01-01

    We propose and analyze the performance of a technique to generate mode and polarization hyperentangled photons in monolithic semiconductor waveguides using two concurrent type-II spontaneous parametric down-conversion (SPDC) processes. These two SPDC processes are achieved by waveguide engineering...

  20. Apparatus and method for the electrolysis of water

    Science.gov (United States)

    Greenbaum, Elias

    2015-04-21

    An apparatus for the electrolytic splitting of water into hydrogen and/or oxygen, the apparatus comprising: (i) at least one lithographically-patternable substrate having a surface; (ii) a plurality of microscaled catalytic electrodes embedded in said surface; (iii) at least one counter electrode in proximity to but not on said surface; (iv) means for collecting evolved hydrogen and/or oxygen gas; (v) electrical powering means for applying a voltage across said plurality of microscaled catalytic electrodes and said at least one counter electrode; and (vi) a container for holding an aqueous electrolyte and housing said plurality of microscaled catalytic electrodes and said at least one counter electrode. Electrolytic processes using the above electrolytic apparatus or functional mimics thereof are also described.

  1. Microelectromechanical acceleration-sensing apparatus

    Science.gov (United States)

    Lee, Robb M [Albuquerque, NM; Shul, Randy J [Albuquerque, NM; Polosky, Marc A [Albuquerque, NM; Hoke, Darren A [Albuquerque, NM; Vernon, George E [Rio Rancho, NM

    2006-12-12

    An acceleration-sensing apparatus is disclosed which includes a moveable shuttle (i.e. a suspended mass) and a latch for capturing and holding the shuttle when an acceleration event is sensed above a predetermined threshold level. The acceleration-sensing apparatus provides a switch closure upon sensing the acceleration event and remains latched in place thereafter. Examples of the acceleration-sensing apparatus are provided which are responsive to an acceleration component in a single direction (i.e. a single-sided device) or to two oppositely-directed acceleration components (i.e. a dual-sided device). A two-stage acceleration-sensing apparatus is also disclosed which can sense two acceleration events separated in time. The acceleration-sensing apparatus of the present invention has applications, for example, in an automotive airbag deployment system.

  2. Apparatus for gamma ray radiography

    International Nuclear Information System (INIS)

    Kobayashi, Masatoshi; Enomoto, Shigemasa; Oga, Hiroshi

    1979-01-01

    This is the standard of Japan Non-Destructive Inspection Society, NDIS 1101-79, which stipulates on the design, construction and testing method of the apparatuses for gamma ray radiography used for taking industrial radiograms. The gamma ray apparatuses stipulated in this standard are those containing sealed radioactive isotopes exceeding 100 μCi, which emit gamma ray. The gamma ray apparatuses are classified into three groups according to their movability. The general design conditions, the irradiation dose rate and the sealed radiation sources for the gamma ray apparatuses are stipulated. The construction of the gamma ray apparatuses must be in accordance with the notification No. 52 of the Ministry of Labor, and safety devices and collimators must be equipped. The main bodies of the gamma ray apparatuses must pass the vibration test, penetration test, impact test and shielding efficiency test. The method of each test is described. The attached equipments must be also tested. The tests according to this standard are carried out by the makers of the apparatuses. The test records must be made when the apparatuses have passed the tests, and the test certificates are attached. The limit of guarantee by the endurance test must be clearly shown. The items to be shown on the apparatuses are stipulated. (Kako, I.)

  3. Quantum dynamical simulation of photoinduced electron transfer processes in dye-semiconductor systems: theory and application to coumarin 343 at TiO₂.

    Science.gov (United States)

    Li, Jingrui; Kondov, Ivan; Wang, Haobin; Thoss, Michael

    2015-04-10

    A recently developed methodology to simulate photoinduced electron transfer processes at dye-semiconductor interfaces is outlined. The methodology employs a first-principles-based model Hamiltonian and accurate quantum dynamics simulations using the multilayer multiconfiguration time-dependent Hartree approach. This method is applied to study electron injection in the dye-semiconductor system coumarin 343-TiO2. Specifically, the influence of electronic-vibrational coupling is analyzed. Extending previous work, we consider the influence of Dushinsky rotation of the normal modes as well as anharmonicities of the potential energy surfaces on the electron transfer dynamics.

  4. Mirror plasma apparatus

    International Nuclear Information System (INIS)

    Moir, R.W.

    1981-01-01

    A mirror plasma apparatus which utilizes shielding by arc discharge to form a blanket plasma and lithium walls to reduce neutron damage to the wall of the apparatus. An embodiment involves a rotating liquid lithium blanket for a tandem mirror plasma apparatus wherein the first wall of the central mirror cell is made of liquid lithium which is spun with angular velocity great enough to keep the liquid lithium against the first material wall, a blanket plasma preventing the lithium vapor from contaminating the plasma

  5. Temperature control of power semiconductor devices in traction applications

    Science.gov (United States)

    Pugachev, A. A.; Strekalov, N. N.

    2017-02-01

    The peculiarity of thermal management of traction frequency converters of a railway rolling stock is highlighted. The topology and the operation principle of the automatic temperature control system of power semiconductor modules of the traction frequency converter are designed and discussed. The features of semiconductors as an object of temperature control are considered; the equivalent circuit of thermal processes in the semiconductors is suggested, the power losses in the two-level voltage source inverters are evaluated and analyzed. The dynamic properties and characteristics of the cooling fan induction motor electric drive with the scalar control are presented. The results of simulation in Matlab are shown for the steady state of thermal processes.

  6. Water intake fish diversion apparatus

    International Nuclear Information System (INIS)

    Taft, E.P. III; Cook, T.C.

    1995-01-01

    A fish diversion apparatus uses a plane screen to divert fish for variety of types of water intakes in order to protect fish from injury and death. The apparatus permits selection of a relatively small screen angle, for example ten degrees, to minimize fish injury. The apparatus permits selection of a high water velocity, for example ten feet per second, to maximize power generation efficiency. The apparatus is especially suitable retrofit to existing water intakes. The apparatus is modular to allow use plural modules in parallel to adjust for water flow conditions. The apparatus has a floor, two opposite side walls, and a roof which define a water flow passage and a plane screen within the passage. The screen is oriented to divert fish into a fish bypass which carries fish to a safe discharge location. The dimensions of the floor, walls, and roof are selected to define the dimensions of the passage and to permit selection of the screen angle. The floor is bi-level with a level upstream of the screen and a level beneath screen selected to provide a uniform flow distribution through the screen. The apparatus may include separation walls to provide a water flow channel between the apparatus and the water intake. Lead walls may be used to adjust water flow conditions into the apparatus. The apparatus features stoplog guides near its upstream and downstream ends to permit the water flow passage to be dewatered. 3 figs

  7. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  8. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  9. Mass transfer apparatus and method for separation of gases

    Energy Technology Data Exchange (ETDEWEB)

    Blount, Gerald C.; Gorensek, Maximilian Boris; Hamm, Luther L.

    2018-01-16

    A process and apparatus for separating components of a source gas is provided in which more soluble components of the source gas are dissolved in an aqueous solvent at high pressure. The system can utilize hydrostatic pressure to increase solubility of the components of the source gas. The apparatus includes gas recycle throughout multiple mass transfer stages to improve mass transfer of the targeted components from the liquid to gas phase. Separated components can be recovered for use in a value added application or can be processed for long-term storage, for instance in an underwater reservoir.

  10. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  11. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  12. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  13. Light shielding apparatus

    Science.gov (United States)

    Miller, Richard Dean; Thom, Robert Anthony

    2017-10-10

    A light shielding apparatus for blocking light from reaching an electronic device, the light shielding apparatus including left and right support assemblies, a cross member, and an opaque shroud. The support assemblies each include primary support structure, a mounting element for removably connecting the apparatus to the electronic device, and a support member depending from the primary support structure for retaining the apparatus in an upright orientation. The cross member couples the left and right support assemblies together and spaces them apart according to the size and shape of the electronic device. The shroud may be removably and adjustably connectable to the left and right support assemblies and configured to take a cylindrical dome shape so as to form a central space covered from above. The opaque shroud prevents light from entering the central space and contacting sensitive elements of the electronic device.

  14. Design of neutral particle incident heating apparatus for large scale helical apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Kaneko, Osamu; Oka, Yoshihide; Osakabe, Masaki; Takeiri, Yasuhiko; Tsumori, Katsuyoshi; Akiyama, Ryuichi; Asano, Eiji; Kawamoto, Toshikazu; Kuroda, Tsutomu [National Inst. for Fusion Science, Nagoya (Japan)

    1997-02-01

    In the Institute of Nuclear Fusion Science, construction of the large scale helical apparatus has been progressed favorably, and constructions of the heating apparatus as well as of electron resonance apparatus were begun in their orders under predetermined manner since 1994 fiscal year. And, on 1995 fiscal year, construction of neutral particle incident heating apparatus, leading heat apparatus, was begun under 3 years planning. The plasma heating study system adopted the study results developed in this institute through the large scale hydrogen negative ion source and also adopted thereafter development on nuclear fusion study by modifying the original specification set at the beginning of the research plan before 7 years. As a result, system design was changed from initial 125 KeV to 180 KeV in the beam energy and to execute 15 MW incidence using two sets beam lines, to begin its manufacturing. Here is described on its new design with reason of its modifications. (G.K.)

  15. NIRS report of investigations for the development of the next generation PET apparatus. FY 2002

    International Nuclear Information System (INIS)

    2003-03-01

    The present status of studies conducted by representative technology fields for the development of the next generation PET apparatus, and the summary of opinions given by investigators of nuclear medicine are reported. The former involves chapters of: Summary of representative technologies for the development of the next generation PET apparatus; Count rate analysis of PET apparatuses for the whole body and small animals by PET simulator; Scintillator; DOI (depth of interaction) detector-evaluation of the detector with 256-ch fluorescence polarization-photomultiplier tubes (FP-PMT) trial apparatus etc; Examination of multi-slice DOI-MR compatible detector for PET; Development of application specific integrated circuit (ASIC) for processing the front-end signals; Detector simulation; Circuit for processing PET detector signals; Signal processing-coincidence circuit; Data collection system; Signal processing technology for the next generation PET; Reconstruction of statistical PET image using DOI signals; Monte Carlo simulation and Unique directions-PET for infants and for the whole body autonomic nervous systems and mental activity; and Actual design and evaluation of image reconstruction by statistical means. Opinions are: Progress of clinical PET apparatus; Desirable PET drugs and apparatuses; From clinical practice for the development of the next generation PET apparatus; From clinical psychiatric studies for the development; From application of drug development and basic researches; From brain PET practice; From clinical PET practice; and The role of National Institute of Radiological Sciences (NIRS) in PET development. Also involved is the publication list. (N.I.)

  16. Quantum control and process tomography of a semiconductor quantum dot hybrid qubit.

    Science.gov (United States)

    Kim, Dohun; Shi, Zhan; Simmons, C B; Ward, D R; Prance, J R; Koh, Teck Seng; Gamble, John King; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, Mark A

    2014-07-03

    The similarities between gated quantum dots and the transistors in modern microelectronics--in fabrication methods, physical structure and voltage scales for manipulation--have led to great interest in the development of quantum bits (qubits) in semiconductor quantum dots. Although quantum dot spin qubits have demonstrated long coherence times, their manipulation is often slower than desired for important future applications, such as factoring. Furthermore, scalability and manufacturability are enhanced when qubits are as simple as possible. Previous work has increased the speed of spin qubit rotations by making use of integrated micromagnets, dynamic pumping of nuclear spins or the addition of a third quantum dot. Here we demonstrate a qubit that is a hybrid of spin and charge. It is simple, requiring neither nuclear-state preparation nor micromagnets. Unlike previous double-dot qubits, the hybrid qubit enables fast rotations about two axes of the Bloch sphere. We demonstrate full control on the Bloch sphere with π-rotation times of less than 100 picoseconds in two orthogonal directions, which is more than an order of magnitude faster than any other double-dot qubit. The speed arises from the qubit's charge-like characteristics, and its spin-like features result in resistance to decoherence over a wide range of gate voltages. We achieve full process tomography in our electrically controlled semiconductor quantum dot qubit, extracting high fidelities of 85 per cent for X rotations (transitions between qubit states) and 94 per cent for Z rotations (phase accumulation between qubit states).

  17. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1982-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices in which the device is rapidly heated to a temperature between 450 and 900 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. (author)

  18. Si-semiconductor device failure mechanisms

    International Nuclear Information System (INIS)

    Clauss, H.

    1976-12-01

    This report presents investigations on failure mechanisms that may cause defects during production and operation of silicon semiconductor devices. The failure analysis of aluminium metallization defects covers topics such as step coverage, dissolution pits and electromigration. Furthermore, the generation of process induced lattice defects was investigated. Improved processes avoiding those defects were developed. (orig.) [de

  19. Gamma apparatuses for radiotherapy

    International Nuclear Information System (INIS)

    Sul'kin, A.G.

    1986-01-01

    Scientific and technical achievements in development and application of gamma therapeutic apparatuses for external and intracavity irradiations are generalized. Radiation-physical parameters of apparatuses providing usability of progressive methods in radiotherapy of onclogical patients are given. Optimization of main apparatus elements, ensurance of its operation reliability, reduction of errors of irradiation plan reproduction are considered. Attention is paid to radiation safety

  20. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  1. Methods and apparatus for controlling rotary machines

    Science.gov (United States)

    Bagepalli, Bharat Sampathkumaran [Niskayuna, NY; Jansen, Patrick Lee [Scotia, NY; Barnes, Gary R [Delanson, NY; Fric, Thomas Frank [Greer, SC; Lyons, James Patrick Francis [Niskayuna, NY; Pierce, Kirk Gee [Simpsonville, SC; Holley, William Edwin [Greer, SC; Barbu, Corneliu [Guilderland, NY

    2009-09-01

    A control system for a rotary machine is provided. The rotary machine has at least one rotating member and at least one substantially stationary member positioned such that a clearance gap is defined between a portion of the rotating member and a portion of the substantially stationary member. The control system includes at least one clearance gap dimension measurement apparatus and at least one clearance gap adjustment assembly. The adjustment assembly is coupled in electronic data communication with the measurement apparatus. The control system is configured to process a clearance gap dimension signal and modulate the clearance gap dimension.

  2. THE CONTROL ALGORITHM OF THE DRYING PROCESS PARTICULATE MATERIALS IN THE APPARATUS WITH THE SWIRLING FLOW OF COOLANT AND MICROWAVE ENERGY SUPPLY

    Directory of Open Access Journals (Sweden)

    S. T. Antipov

    2015-01-01

    Full Text Available The technical task of the process is to improve the drying quality of the final product, increasing the precision and reliability of control, the reduction of specific energy consumption. One of the ways to improve the process is complex and i ts local automation. This paper deals with the problems of development and creation of a new control algorithm drying process of the particulate material. Identified a number of shortcomings of the existing methods of automatic control of the process. As a result, the authors proposed a method for drying particulate materials in the device with swirling flow and the microwave energy supply and its automatic control algorithm. The description of the operating principle of the drying apparatus consists in that the particulate material is wet by using a tangential flow of coolant supplied to the cylinder-drying apparatus which also serves the axial coolant flow, whereby the heat transfer fluid with the particulate material begins to undergo a complex circular movement along the circumference apparatus, thereby increasing its speed and its operation control algorithm. The work of this scheme is carried out at three levels of regulation on the basis of determining the coefficient of efficiency of the dryer, which makes it possible to determine the optimal value of the power equipment and to forecast the cost of electricity. All of the above allows you to get ready for a high quality product while minimizing thermal energy and material costs by optimizing the operating parameters of the drying of the particulate material in the dryer with a combined microwave energy supply and ensure the rational use of heat energy by varying their quantity depending on the characteristics to be dried particulate material and the course of the process.

  3. From Coherently Excited Highly Correlated States to Incoherent Relaxation Processes in Semiconductors

    International Nuclear Information System (INIS)

    Scha''fer, W.; Lo''venich, R.; Fromer, N. A.; Chemla, D. S.

    2001-01-01

    Recent theories of highly excited semiconductors are based on two formalisms, referring to complementary experimental conditions, the real-time nonequilibrium Green's function techniques and the coherently controlled truncation of the many-particle problem. We present a novel many-particle theory containing both of these methods as limiting cases. As a first example of its application, we investigate four-particle correlations in a strong magnetic field including dephasing resulting from the growth of incoherent one-particle distribution functions. Our results are the first rigorous solution concerning formation and decay of four-particle correlations in semiconductors. They are in excellent agreement with experimental data

  4. Non-markovian effects in semiconductor cavity QED: Role of phonon-mediated processes

    DEFF Research Database (Denmark)

    Nielsen, Per Kær; Nielsen, Torben Roland; Lodahl, Peter

    We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from the pola......We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from...... the polaritonic quasi-particle nature of the carrier-photon system interacting with the phonon reservoir....

  5. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  6. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  7. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  8. Apparatus and process for removing a predetermined portion of reflective material from mirror

    Science.gov (United States)

    Perry, Stephen J.; Steinmetz, Lloyd L.

    1994-01-01

    An apparatus and process are disclosed for removal of a stripe of soft reflective material of uniform width from the surface of a mirror by using a blade having a large included angle to inhibit curling of the blade during the cutting operation which could result in damage to the glass substrate of the mirror. The cutting blade is maintained at a low blade angle with respect to the mirror surface to produce minimal chipping along the cut edge and to minimize the force exerted on the coating normal to the glass surface which could deform the flat mirror. The mirror is mounted in a cutting mechanism containing a movable carriage on which the blade is mounted to provide very accurate straightness of the travel of the blade along the mirror.

  9. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  10. Waste Water Treatment Apparatus and Methods

    Science.gov (United States)

    Littman, Howard (Inventor); Plawsky, Joel L. (Inventor); Paccione, John D. (Inventor)

    2014-01-01

    An improved draft tube spout fluid bed (DTSFB) mixing, handling, conveying, and treating apparatus and systems, and methods for operating are provided. The apparatus and systems can accept particulate material and pneumatically or hydraulically conveying the material to mix and/or treat the material. In addition to conveying apparatus, a collection and separation apparatus adapted to receive the conveyed particulate material is also provided. The collection apparatus may include an impaction plate against which the conveyed material is directed to improve mixing and/or treatment. The improved apparatus are characterized by means of controlling the operation of the pneumatic or hydraulic transfer to enhance the mixing and/or reacting by controlling the flow of fluids, for example, air, into and out of the apparatus. The disclosed apparatus may be used to mix particulate material, for example, mortar; react fluids with particulate material; coat particulate material, or simply convey particulate material.

  11. Construction of shallow land simulation apparatuses

    International Nuclear Information System (INIS)

    Yamamoto, Tadatoshi; Ohtsuka, Yoshiro; Takebe, Shinichi; Ohnuki, Toshihiko; Ogawa, Hiromichi; Harada, Yoshikane; Saitoh, Kazuaki; Wadachi, Yoshiki

    1984-07-01

    Shallow land simulation apparatuses in which natural soil can be used as testing soil have been constructed to investigate the migration characteristics of radionuclides in a disposal site. These apparatuses consist of aerated zone apparatus and aquifer zone one. In the aerated zone apparatus, aerated soil upon ground water level is contained in the soil column (d: 30cm x h: 120cm). In the aquifer zone apparatus, aquifer soil laying ground water level is contained in the soil vessel (b: 90cm x l: 270cm x h: 45cm). This report describes the outline of shallow land simulation apparatuses : function of apparatuses and specification of devices, analysis of obstructions, safety rules, analysis of accidents and operation manual. (author)

  12. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  13. High Speed Pump-Probe Apparatus for Observation of Transitional Effects in Ultrafast Laser Micromachining Processes

    Directory of Open Access Journals (Sweden)

    Ilya Alexeev

    2015-12-01

    Full Text Available A pump-probe experimental approach has been shown to be a very efficient tool for the observation and analysis of various laser matter interaction effects. In those setups, synchronized laser pulses are used to create an event (pump and to simultaneously observe it (probe. In general, the physical effects that can be investigated with such an apparatus are restricted by the temporal resolution of the probe pulse and the observation window. The latter can be greatly extended by adjusting the pump-probe time delay under the assumption that the interaction process remains fairly reproducible. Unfortunately, this assumption becomes invalid in the case of high-repetition-rate ultrafast laser material processing, where the irradiation history strongly affects the ongoing interaction process. In this contribution, the authors present an extension of the pump-probe setup that allows to investigate transitional and dynamic effects present during ultrafast laser machining performed at high pulse repetition frequencies.

  14. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  15. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  16. Selection of efficient etchants for nondestructive treatment of semiconductors

    International Nuclear Information System (INIS)

    Tomashik, V.N.; Fomin, A.V.; Tomashik, Z.F.

    1996-01-01

    The scheme for studying etching processes of semiconductor materials and developing new etchants for different semiconductors is proposed. The scheme includes the experiment mathematical planning, computerized physicochemical modeling, kinetic studies, investigation of surface layers, formed by etching. Such on approach makes it possible to optimize the etchant composition in every concrete cage. The scheme is tested in the course of developing optimal methodologies of preepitaxial treatment and selection of etchants composition for semiconductor compounds of the A 1 B 6 and A 3 B 5 type. 13 refs., 4 figs

  17. Methods for enhancing P-type doping in III-V semiconductor films

    Science.gov (United States)

    Liu, Feng; Stringfellow, Gerald; Zhu, Junyi

    2017-08-01

    Methods of doping a semiconductor film are provided. The methods comprise epitaxially growing the III-V semiconductor film in the presence of a dopant, a surfactant capable of acting as an electron reservoir, and hydrogen, under conditions that promote the formation of a III-V semiconductor film doped with the p-type dopant. In some embodiments of the methods, the epitaxial growth of the doped III-V semiconductor film is initiated at a first hydrogen partial pressure which is increased to a second hydrogen partial pressure during the epitaxial growth process.

  18. Identifying the hazard characteristics of powder byproducts generated from semiconductor fabrication processes.

    Science.gov (United States)

    Choi, Kwang-Min; An, Hee-Chul; Kim, Kwan-Sick

    2015-01-01

    Semiconductor manufacturing processes generate powder particles as byproducts which potentially could affect workers' health. The chemical composition, size, shape, and crystal structure of these powder particles were investigated by scanning electron microscopy equipped with an energy dispersive spectrometer, Fourier transform infrared spectrometry, and X-ray diffractometry. The powders generated in diffusion and chemical mechanical polishing processes were amorphous silica. The particles in the chemical vapor deposition (CVD) and etch processes were TiO(2) and Al(2)O(3), and Al(2)O(3) particles, respectively. As for metallization, WO(3), TiO(2), and Al(2)O(3) particles were generated from equipment used for tungsten and barrier metal (TiN) operations. In photolithography, the size and shape of the powder particles showed 1-10 μm and were of spherical shape. In addition, the powders generated from high-current and medium-current processes for ion implantation included arsenic (As), whereas the high-energy process did not include As. For all samples collected using a personal air sampler during preventive maintenance of process equipment, the mass concentrations of total airborne particles were particles less than 10 μm in diameter) using direct-reading aerosol monitor by area sampling were between 0.00 and 0.02 μg/m(3). Although the exposure concentration of airborne particles during preventive maintenance is extremely low, it is necessary to make continuous improvements to the process and work environment, because the influence of chronic low-level exposure cannot be excluded.

  19. Large Rotor Test Apparatus

    Data.gov (United States)

    Federal Laboratory Consortium — This test apparatus, when combined with the National Full-Scale Aerodynamics Complex, produces a thorough, full-scale test capability. The Large Rotor Test Apparatus...

  20. Conduit grinding apparatus

    Science.gov (United States)

    Nachbar, Henry D.; Korytkowski, Alfred S.

    1991-01-01

    A grinding apparatus for grinding the interior portion of a valve stem receiving area of a valve. The apparatus comprises a faceplate, a plurality of cams mounted to an interior face of the faceplate, a locking bolt to lock the faceplate at a predetermined position on the valve, a movable grinder and a guide tube for positioning an optical viewer proximate the area to be grinded. The apparatus can either be rotated about the valve for grinding an area of the inner diameter of a valve stem receiving area or locked at a predetermined position to grind a specific point in the receiving area.

  1. Thermal stir welding apparatus

    Science.gov (United States)

    Ding, R. Jeffrey (Inventor)

    2011-01-01

    A welding method and apparatus are provided for forming a weld joint between first and second elements of a workpiece. The method includes heating the first and second elements to form an interface of material in a plasticized or melted state interface between the elements. The interface material is then allowed to cool to a plasticized state if previously in a melted state. The interface material, while in the plasticized state, is then mixed, for example, using a grinding/extruding process, to remove any dendritic-type weld microstructures introduced into the interface material during the heating process.

  2. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  3. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  4. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  5. Radioactive waste treatment apparatus

    International Nuclear Information System (INIS)

    Abrams, R.F.; Chellis, J.G.

    1983-01-01

    Radioactive waste treatment apparatus is disclosed in which the waste is burned in a controlled combustion process, the ash residue from the combustion process is removed and buried, the gaseous effluent is treated in a scrubbing solution the pH of which is maintained constant by adding an alkaline compound to the solution while concurrently extracting a portion of the scrubbing solution, called the blowdown stream. The blowdown stream is fed to the incinerator where it is evaporated and the combustibles in the blowdown stream burned and the gaseous residue sent to the scrubbing solution. Gases left after the scrubbing process are treated to remove iodides and are filtered and passed into the atmosphere

  6. Pipework inspection apparatus

    International Nuclear Information System (INIS)

    Wrigglesworth, K.J.; Knowles, J.F.

    1987-01-01

    The patent concerns a pipework inspection apparatus, which is capable of negotiating bends in pipework. The apparatus comprises a TV camera system, which contains an optical section and an electronics section, which are connected by a flexible coupling. The system can be pulled or pushed along the bore of the pipework. (U.K.)

  7. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  8. An automatic refolding apparatus for preparative-scale protein production.

    Directory of Open Access Journals (Sweden)

    Yanye Feng

    Full Text Available Protein refolding is an important process to recover active recombinant proteins from inclusion bodies. Refolding by simple dilution, dialysis and on-column refolding methods are the most common techniques reported in the literature. However, the refolding process is time-consuming and laborious due to the variability of the behavior of each protein and requires a great deal of trial-and-error to achieve success. Hence, there is a need for automation to make the whole process as convenient as possible. In this study, we invented an automatic apparatus that integrated three refolding techniques: varying dilution, dialysis and on-column refolding. We demonstrated the effectiveness of this technology by varying the flow rates of the dilution buffer into the denatured protein and testing different refolding methods. We carried out different refolding methods on this apparatus: a combination of dilution and dialysis for human stromal cell-derived factor 1 (SDF-1/CXCL12 and thioredoxin fused-human artemin protein (Trx-ARTN; dilution refolding for thioredoxin fused-human insulin-like growth factor I protein (Trx-IGF1 and enhanced fluorescent protein (EGFP; and on-column refolding for bovine serum albumin (BSA. The protein refolding processes of these five proteins were preliminarily optimized using the slowly descending denaturants (or additives method. Using this strategy of decreasing denaturants concentration, the efficiency of protein refolding was found to produce higher quantities of native protein. The standard refolding apparatus configuration can support different operations for different applications; it is not limited to simple dilution, dialysis and on-column refolding techniques. Refolding by slowly decreasing denaturants concentration, followed by concentration or purification on-column, may be a useful strategy for rapid and efficient recovery of active proteins from inclusion bodies. An automatic refolding apparatus employing this

  9. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  10. A mathematical analysis of drug dissolution in the USP flow through apparatus

    Science.gov (United States)

    McDonnell, David; D'Arcy, D. M.; Crane, L. J.; Redmond, Brendan

    2018-03-01

    This paper applies boundary layer theory to the process of drug dissolution in the USP (United States Pharmacopeia) Flow Through Apparatus. The mass transfer rate from the vertical planar surface of a compact within the device is examined. The theoretical results obtained are then compared with those of experiment. The paper also examines the effect on the dissolution process caused by the interaction between natural and forced convection within the apparatus and the introduction of additional boundaries.

  11. Power electronics cooling apparatus

    Science.gov (United States)

    Sanger, Philip Albert; Lindberg, Frank A.; Garcen, Walter

    2000-01-01

    A semiconductor cooling arrangement wherein a semiconductor is affixed to a thermally and electrically conducting carrier such as by brazing. The coefficient of thermal expansion of the semiconductor and carrier are closely matched to one another so that during operation they will not be overstressed mechanically due to thermal cycling. Electrical connection is made to the semiconductor and carrier, and a porous metal heat exchanger is thermally connected to the carrier. The heat exchanger is positioned within an electrically insulating cooling assembly having cooling oil flowing therethrough. The arrangement is particularly well adapted for the cooling of high power switching elements in a power bridge.

  12. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  13. Gain and Index Dynamics in Semiconductor Lasers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    Semiconductor optical amplifiers (SOAs) provide ultrafast, i.e. broadband components for optical communication systems. They enter not only as signal generators and amplifiers, but also as nonlinear elements for ultrafast signal processing such as wavelength conversion, switching, and regeneration...... changed character from bulk semiconductor to quantum wells and most recently to quantum dots. By quantum confinement of the carriers, the light-matter interactions can be significantly modified and the optical properties, including dynamics, can be engineered to match the required functionalities...

  14. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  15. Nonradiative recombination in semiconductors

    CERN Document Server

    Abakumov, VN; Yassievich, IN

    1991-01-01

    In recent years, great progress has been made in the understandingof recombination processes controlling the number of excessfree carriers in semiconductors under nonequilibrium conditions. As a result, it is now possible to give a comprehensivetheoretical description of these processes. The authors haveselected a number of experimental results which elucidate theunderlying physical problems and enable a test of theoreticalmodels. The following topics are dealt with: phenomenological theory ofrecombination, theoretical models of shallow and deep localizedstates, cascade model of carrier captu

  16. Method and apparatus for preventing agglomeration within fluid hydrocarbons

    International Nuclear Information System (INIS)

    Woodbridge, D.D.

    1979-01-01

    This invention relates to a process for treating a fluid hydrocarbon fuel for retarding the agglomeration between particles thereof and for retarding the growth of bacteria and fungi therein. The process includes that steps of transporting a plurality of unit volumes of said fluid hydrocarbon fuel through an irradiating location and irradiating each unit of the plurality of unit volumes at the irradiating location with either neutron or gamma radiation. An apparatus for treating the fluid hydrocarbon fuels with the nuclear radiation also is provided. The apparatus includes a generally conical central irradiating cavity which is surrounded by a spiral outer irradiating cavity. The fluid hydrocarbon fuel is transported through the cavities while being irradiated by the nuclear radiation

  17. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  18. Elementary steps in electrical doping of organic semiconductors

    KAUST Repository

    Tietze, Max Lutz

    2018-03-15

    Fermi level control by doping is established since decades in inorganic semiconductors and has been successfully introduced in organic semiconductors. Despite its commercial success in the multi-billion OLED display business, molecular doping is little understood, with its elementary steps controversially discussed and mostly-empirical-materials design. Particularly puzzling is the efficient carrier release, despite a presumably large Coulomb barrier. Here we quantitatively investigate doping as a two-step process, involving single-electron transfer from donor to acceptor molecules and subsequent dissociation of the ground-state integer-charge transfer complex (ICTC). We show that carrier release by ICTC dissociation has an activation energy of only a few tens of meV, despite a Coulomb binding of several 100 meV. We resolve this discrepancy by taking energetic disorder into account. The overall doping process is explained by an extended semiconductor model in which occupation of ICTCs causes the classically known reserve regime at device-relevant doping concentrations.

  19. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  20. Apparatus for feeding nuclear fuel pellets to a loading tray

    International Nuclear Information System (INIS)

    Huggins, T.B.

    1979-01-01

    Apparatus for feeding nuclear fuel pellets at a uniform predetermined rate between pellet centering and grinding apparatus and a tray for loading pellets into nuclear fuel rod. Pellets discharged from the grinding apparatus are conveyed by a belt to a drive wheel forcing the pellets in engagement with the belt. The pellets under the drive wheel are capable of pushing a line of about 36 pellets onto a pellet dumping mechanism. As the dumping mechanism is actuated to dump the pellets on to a loading tray, the pellets moving toward the mechanism are stopped and the drive wheel is simultaneously lifted off the pellets until the pellet dumping process is completed. (U.K.)

  1. Apparatus for real-time size and speed measurements of blow-off particles from pulsed irradiation experiments

    International Nuclear Information System (INIS)

    Von Benken, C.; Johnson, E.A.; Nordberg, M.

    1989-01-01

    The authors present an apparatus capable of detecting micron sized particles traveling at speeds up to 10 6 cm/sec. The apparatus uses light scattering methods with automated data processing. Data generated by this apparatus should be extremely useful in radiation damage studies of components in contamination sensitive optical systems

  2. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  3. Tomogram forming process and apparatus using radioactive isotopes

    International Nuclear Information System (INIS)

    Stoddart, H.F.

    1980-01-01

    This invention relates to nuclear medicine and particularly to a tomogram forming apparatus which permits, with great efficiency, the very sensitive quantitative determination and the accurate spatial localization of the radioactivity of a body section of a patient to whom a substance labelled with radioactive isotopes has been administered. This scanner is characterized in that it includes several highly focused collimators placed one after the other, according to an arrangement which surrounds a scanning field. Each collimator is mobile with respect to the adjacent one and a system enables the arrangement to be rotated about the scanning field from one scanning position to another. Another device enables the collimators to be moved so that, for each scanning position, the focus of each collimator uniformly samples at least half the scanning field [fr

  4. THE DEVELOPMENT OF REGIONAL EXPANSION APPARATUS RESOURCE PLACEMENT IN INDONESIA

    Directory of Open Access Journals (Sweden)

    Suraji

    2018-02-01

    Full Text Available This study aimed to describe, analyze and deeply assess the implementation of regional apparatus resource development into new areas of regional expansion in Indonesia. The research was conducted by using qualitative approach, specifically, case study by analyzing descriptive data in the form of interviews, as well as analyzing valid data documentation to support the research problem. This research was conducted in the new area of Pangandaran Regency of West Java, Indonesia. The results of the research could map that there were still very few development programs of regional and apparatus expansion and it had not become the priority program after the expansion; the target of improving the quality of the apparatus resource appeared not to be the main measure, but rather, the main measure was the structuring of the apparatus and the issue of the regional office infrastructure; the instruments used in the development and training process were also less supportive, it caused many stagnant employees did not understand about new issues in the dynamics of work; apparatus development through training activities and scientific forums (seminars, scientific discussions, workshops and improvements was obstructed due to budget constraints.

  5. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  6. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  7. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  8. Radioimmunoassay apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    Apparatus for performing a quantitative radioimmunoassay comprising: a substantially spherical bead for carrying an antibody and a gripper for gripping said bead, said gripper comprising an integrally formed unit having a single elongate handle portion and a plurality of resilient fingers arranged at the base of the handle so that when said bead is secured within said fingers, said bead may be freely rotated about any diametric axis of the bead. In particular the invention relates to an apparatus for a two site immunoradiometric assay for serum ferritin in human blood samples. (author)

  9. TRANSFORMER APPARATUS

    Science.gov (United States)

    Wolfgang, F.; Nicol, J.

    1962-11-01

    Transformer apparatus is designed for measuring the amount of a paramagnetic substance dissolved or suspended in a diamagnetic liquid. The apparatus consists of a cluster of tubes, some of which are closed and have sealed within the diamagnetic substance without any of the paramagnetic material. The remaining tubes are open to flow of the mix- ture. Primary and secondary conductors are wrapped around the tubes in such a way as to cancel noise components and also to produce a differential signal on the secondaries based upon variations of the content of the paramagnetic material. (AEC)

  10. Radiotherapy apparatus

    International Nuclear Information System (INIS)

    Leung, P.M.; Webb, H.P.J.

    1985-01-01

    This invention relates to apparatus for applying intracavitary radiotherapy. In previously-known systems radioactive material is conveyed to a desired location within a patient by transporting a chain of balls pneumatically to and from an appropriately inserted applicator. According to this invention a ball chain for such a purpose comprises several radioactive balls separated by non-radioactive tracer balls of radiographically transparent material of lower density and surface hardness than the radioactive balls. The invention also extends to radiotherapy treatment apparatus comprising a storage, sorting and assembly system

  11. Apparatus for measuring a concentration of radioactivity

    International Nuclear Information System (INIS)

    Tabuchi, H.; Ogushi, A.

    1978-01-01

    Disclosed is an apparatus for measuring concentration of radioactivity in a fluid circulating in a cooling system or a disposal system, etc., of a nuclear power plant (e.g. coolant), the apparatus having a plurality of sampling tubes with different diameters depending on the intensities of radioactivity, and the sampling tubes having valves for switching from one fluid to another fluid. The sampling tubes are connected to the system to a discharge pipe, and are disposed in the proximity of a radiation detector adapted to issue a signal representative of radiation. The issued signal is supplied to a multichannel pulse height analyzer and a data processing system providing an indication of the concentrations of radioactivities for respective radionuclides

  12. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  13. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  14. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  15. Very Low-Power Consumption Analog Pulse Processing ASIC for Semiconductor Radiation Detectors

    International Nuclear Information System (INIS)

    Wessendorf, K.O.; Lund, J.C.; Brunett, B.A.; Laguna, G.R.; Clements, J.W.

    1999-01-01

    We describe a very-low power consumption circuit for processing the pulses from a semiconductor radiation detector. The circuit was designed for use with a cadmium zinc telluride (CZT) detector for unattended monitoring of stored nuclear materials. The device is intended to be battery powered and operate at low duty-cycles over a long period of time. This system will provide adequate performance for medium resolution gamma-ray pulse-height spectroscopy applications. The circuit incorporates the functions of a charge sensitive preamplifier, shaping amplifier, and peak sample and hold circuit. An application specific integrated circuit (ASIC) version of the design has been designed, built and tested. With the exception of the input field effect transistor (FET), the circuit is constructed using bipolar components. In this paper the design philosophy and measured performance characteristics of the circuit are described

  16. Apparatus suitable for plasma surface treating and process for preparing membrane layers

    NARCIS (Netherlands)

    1988-01-01

    The invention relates to an apparatus suitable for plasma surface treating (e.g. forming a membrane layer on a substrate) which comprises a plasma generation section (2) which is in communication via at least one plasma inlet means (4) (e.g. a nozzle) with an enclosed plasma treating section (3)

  17. Apparatus for Teaching Physics.

    Science.gov (United States)

    Gottlieb, Herbert H., Ed.

    1981-01-01

    Describes: (1) a variable inductor suitable for an inductance-capacitance bridge consisting of a fixed cylindrical solenoid and a moveable solenoid; (2) long-range apparatus for demonstrating falling bodies; and (3) an apparatus using two lasers to demonstrate ray optics. (SK)

  18. Method and an apparatus to control the lateral motion of a long metal bar being formed by a mechanical process such as rolling or drawing

    Science.gov (United States)

    Chang, Tzyy-Shuh; Huang, Hsun-Hau; Lin, Chang-Hung

    2007-10-02

    An adjustable guide, includes two or more mechanisms each having a rotatable retaining element containing a retaining groove with a variable radius in its perimeter surface. The grooves form a guidance path to control the lateral, i.e. non-axial, motion of a long bar moving along a longitudinal axis during a production process.The diameter of the guidance path varies according to the variable radii of the grooves. The guidance path increases in size at a predetermined rate, from a point of origin to an end point on the retaining groove. Rotating the retaining elements causes the diameter of the retaining grooves to change so that the size of the guidance path can be changed to match the diameter of the bar being rolled, size of the guidance path can be changed to fit the diameter of a new bar rolled without having to exchange the guide for a different sized guide, reduce fiction between the bar and the guide, a media, such as compressed air, can be injected between the retaining elements via orifices.Each retaining element is attached to a mounting apparatus. The mounting apparatus can be fixed or flexible. The flexible mounting apparatus includes one or more springs and one or more shock absorbers. A force neutral position of the flexible mounting apparatus is designed to be located on the predetermined ideal bar path line. The flexible mounting apparatus dissipates kinetic energy from the bar thereby reducing the bar's lateral motion relative to the ideal bar path line.The damping ratio of the mounting apparatus can be adjustable to alter the product's vibration mode to enable better control of the bar's lateral motion.

  19. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  20. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  1. Method and apparatus of prefetching streams of varying prefetch depth

    Science.gov (United States)

    Gara, Alan [Mount Kisco, NY; Ohmacht, Martin [Yorktown Heights, NY; Salapura, Valentina [Chappaqua, NY; Sugavanam, Krishnan [Mahopac, NY; Hoenicke, Dirk [Seebruck-Seeon, DE

    2012-01-24

    Method and apparatus of prefetching streams of varying prefetch depth dynamically changes the depth of prefetching so that the number of multiple streams as well as the hit rate of a single stream are optimized. The method and apparatus in one aspect monitor a plurality of load requests from a processing unit for data in a prefetch buffer, determine an access pattern associated with the plurality of load requests and adjust a prefetch depth according to the access pattern.

  2. Radiation imaging apparatus

    International Nuclear Information System (INIS)

    1979-01-01

    This invention relates to a radiation imaging apparatus. It relates more particularly to apparatus of this general type which employs stationary X-ray source and detector arrays capable of acquiring multiple ultrafast scans per second to facilitate the dynamic study of moving human organs such as the beating heart. While the invention has many applications, it has particular utility in connection with computerized tomographic (CT) scanners. (Auth.)

  3. Apparatus for controlled mixing in a high intensity mixer

    International Nuclear Information System (INIS)

    Crocker, Z.; Gupta, V.P.

    1982-01-01

    An apparatus and a process is disclosed for controlled mixing of a mixable material in a high intensity mixer. The system enables instantaneous, precise and continual monitoring of a batch in a high intensity mixer which heretofore could not be achieved. The process comprises the steps of feeding a batch of material into a high intensity mixer, agitating the batch in the mixer, monitoring batch temperature separately from mixer temperature and discharging the batch from the mixer when the batch temperature reaches a final predetermined level. The apparatus includes means for monitoring batch temperature in a high intensity mixer separately from mixer temperature, and means responsive to the batch temperature to discharge the batch when the batch temperature reaches a final predetermined level

  4. An apparatus to search for free neutron-antineutron oscillations

    International Nuclear Information System (INIS)

    Bressi, G.; Calligarich, E.; Cambiaghi, M.; Dolfini, R.; Gigli Berzolari, A.; Lanza, A.; Liguori, G.; Mauri, F.; Piazzoli, A.; Ratti, S.P.; Scannicchio, D.; Torre, P.; Conversi, M.; De Zorzi, G.; Massa, F.; Zanello, D.; Cardarelli, R.; Santonico, R.; Terrani, M.

    1987-01-01

    After recalling the phenomenology of neutron-antineutron oscillations expected to occur if the baryon number is not rigorously conserved, the apparatus developed and used in a search for such a process, currently being carried out at the Pavia nuclear reactor, is described in some detail. The apparatus involves a large volume neutron channel (≅ 20 m 3 ) in which the earth magnetic field has been reduced by a factor 50, and large area detectors (scintillators, flash chambers and 'resistive plate counters') operating under conditions of extremely severe background from the reactor. (orig.)

  5. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  6. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  7. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  9. Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.

    Science.gov (United States)

    Xue, Mianqiang; Yan, Guoqing; Li, Jia; Xu, Zhenming

    2012-10-02

    Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e-waste. However, the components of e-waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper), semiconductors (extrinsic silicon), and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88%, respectively. This study contributes to the efficient recycling of valuable resources from e-waste.

  10. Ultrafast laser-semiconductor interactions

    International Nuclear Information System (INIS)

    Schile, L.A.

    1996-01-01

    Studies of the ultrafast (< 100 fs) interactions of infrared, sub-100 fs laser pulses with IR, photosensitive semiconductor materials InGaAs, InSb, and HgCdTe are reported. Both the carrier dynamics and the associated Terahertz radiation from these materials are discussed. The most recent developments of femtosecond (< 100 fs) Optical Parametric Oscillators (OPO) has extended the wavelength range from the visible to 5.2 μm. The photogenerated semiconductor free carrier dynamics are determined in the 77 to 300 degrees K temperature range using the Transmission Correlation Peak (TCP) method. The electron-phonon scattering times are typically 200 - 600 fs. Depending upon the material composition and substrate on which the IR crystalline materials are deposited, the nonlinear TCP absorption gives recombination rates as fast as 10's of picoseconds. For the HgCdTe, there exists a 400 fs electron-phonon scattering process along with a much longer 3600 fs loss process. Studies of the interactions of these ultrashort laser pulses with semiconductors produce Terahertz (Thz) radiative pulses. With undoped InSb, there is a substantial change in the spectral content of this THz radiation between 80 - 260 degrees K while the spectrum of Te-doped InSb remains nearly unchanged, an effect attributed to its mobility being dominated by impurity scattering. At 80 degrees K, the terahertz radiation from undoped InSb is dependent on wavelength, with both a higher frequency spectrum and much larger amplitudes generated at longer wavelengths. No such effect is observed at 260 degrees K. Finally, new results on the dependence of the emitted THz radiation on the InSb crystal's orientation is presented

  11. Apparatus and process for separating end of nuclear fuel assembly

    International Nuclear Information System (INIS)

    Beneck, J.A.; Quayre, C.; Moreau, J.R.M.; Vermeille, D.E.A.

    1989-01-01

    The apparatus for cutting the guide tubes of a nuclear fuel assembly below the bottom nozzle has a framework with removable fixation and centering means on the bottom nozzle. Cutting devices in the form of hollow pins are inserted simultaneously into all the guide tubes. Each pin contains a shaft that is moved axially inside it by the expansion system to deploy or retract a cutting edge near the lower end of the pin. A single motor using a gear wheel system, rotates all the cutting pins simultaneously [fr

  12. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  13. Sludge recovery apparatus

    International Nuclear Information System (INIS)

    Marmo, A.R.

    1979-01-01

    An improved design of a sludge recovery apparatus used in the fabrication of nuclear fuel is described. This apparatus provides for automatic separation of sludge from the grinder coolant, drying of the sludge into a flowable powder and transfer of the dry powder to a salvage container. It can be constructed to comply with criticality-safe-geometry requirements and to obviate need for operating personnel in its immediate vicinity. (UK)

  14. Informationization nuclear apparatus communication technique

    International Nuclear Information System (INIS)

    Yu Tiqi; Fang Zongliang; Wen Qilin

    2006-01-01

    The paper explains the request of communication ability in nuclear technique application area. Based on the actuality of nuclear apparatus communication ability, and mainly combining with the development of communication technique, the authors analyzes the application trend of communication technique applying in nuclear apparatus, for the apparatus and system needing communication ability, they need selecting suitable communication means to make them accomplish the task immediately and effectively. (authors)

  15. Apparatus and methods for memory using in-plane polarization

    Science.gov (United States)

    Liu, Junwei; Chang, Kai; Ji, Shuai-Hua; Chen, Xi; Fu, Liang

    2018-05-01

    A memory device includes a semiconductor layer with an in-plane polarization component switchable between a first direction and a second direction. A writing electrode is employed to apply a writing voltage to the semiconductor layer to change the in-plane polarization component between the first direction and the second direction. A reading electrode is employed to apply a reading voltage to the semiconductor layer to measure a tunneling current substantially perpendicular to the polarization direction of the in-plane polarization component. The directions of the reading voltage and the writing voltage are substantially perpendicular to each other. Therefore, the reading process is non-destructive. Thin films (e.g., one unit cell thick) of ferroelectric material can be used in the memory device to increase the miniaturization of the device.

  16. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  17. Process and apparatus for separating and recovering krypton-85 from exhaust gas of nuclear reactor or the like

    International Nuclear Information System (INIS)

    Yusa, H.; Kamiya, K.; Murata, T.; Yamaki, H.; Hisatomi, S.

    1975-01-01

    An apparatus is described for separating and recovering radioactive krypton-85 contained in an exhaust gas of a nuclear reactor or the like, which comprises a plurality of adsorption beds connected in parallel with respect to a passageway for the exhaust gas, each being packed with activated carbon, wherein adsorption and desorption of krypton-85 in each of the beds are alternatively and repeatedly performed by operating valves disposed between each of the beds and means for reducing pressure in the beds to be desorbed in accordance with a predetermined time schedule. The adsorption and concentration efficiencies are markedly increased by combining the above adsorption apparatus and a distillation apparatus

  18. Data structures and apparatuses for representing knowledge

    Science.gov (United States)

    Hohimer, Ryan E; Thomson, Judi R; Harvey, William J; Paulson, Patrick R; Whiting, Mark A; Tratz, Stephen C; Chappell, Alan R; Butner, Robert S

    2014-02-18

    Data structures and apparatuses to represent knowledge are disclosed. The processes can comprise labeling elements in a knowledge signature according to concepts in an ontology and populating the elements with confidence values. The data structures can comprise knowledge signatures stored on computer-readable media. The knowledge signatures comprise a matrix structure having elements labeled according to concepts in an ontology, wherein the value of the element represents a confidence that the concept is present in an information space. The apparatus can comprise a knowledge representation unit having at least one ontology stored on a computer-readable medium, at least one data-receiving device, and a processor configured to generate knowledge signatures by comparing datasets obtained by the data-receiving devices to the ontologies.

  19. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  20. Radiative heat transfer analysis in pure water heater used for semiconductor processing

    International Nuclear Information System (INIS)

    Liu, L.H.; Kudo, K.; Mochida, A.; Ogawa, T.; Kadotani, K.

    2004-01-01

    A simplified one-dimensional model is presented to analyze the non-gray radiative transfer in pure water heater used in the rinsing processes within semiconductor production lines, and the ray-tracing method is extended to simulate the radiative heat transfer. To examine the accuracy of the simplified model, the distribution of radiation absorption is determined by the ray-tracing method based the simplified model and compared with the data obtained by three-dimensional non-gray model in combination with Monte Carlo method in reference, and the effects of the water thickness on the radiation absorption are analyzed. The results show that the simplified model has a good accuracy in solving the radiation absorption in the pure water heater. The radiation absorption increases with the water thickness, but when the water thickness is greater than 50 mm, the radiation absorption increases very slowly with the water thickness

  1. Heat and mass transfer in semiconductor melts during single-crystal growth processes

    Science.gov (United States)

    Kakimoto, Koichi

    1995-03-01

    The quality of large semiconductor crystals grown from melts is significantly affected by the heat and mass transfer in the melts. The current understanding of the phenomena, especially melt convection, is reviewed starting from the results of visualization using model fluids or silicon melt, and continuing to the detailed numerical calculations needed for quantitative modeling of processing with solidification. The characteristics of silicon flows are also reviewed by focusing on the Coriolis force in the rotating melt. Descriptions of flow instabilities are included that show the level of understanding of melt convection with a low Prandtl number. Based on hydrodynamics, the origin of the silicon flow structure is reviewed, and it is discussed whether silicon flow is completely turbulent or has an ordered structure. The phase transition from axisymmetric to nonaxisymmetric flow is discussed using different geometries. Additionally, surface-tension-driven flow is reviewed for Czochralski crystal growth systems.

  2. Studies of Thermophysical Properties of Metals and Semiconductors by Containerless Processing Under Microgravity

    Science.gov (United States)

    Seidel, A.; Soellner, W.; Stenzel, C.

    2012-01-01

    Electromagnetic levitation under microgravity provides unique opportunities for the investigation of liquid metals, alloys and semiconductors, both above and below their melting temperatures, with minimized disturbances of the sample under investigation. The opportunity to perform such experiments will soon be available on the ISS with the EML payload which is currently being integrated. With its high-performance diagnostics systems EML allows to measure various physical properties such as heat capacity, enthalpy of fusion, viscosity, surface tension, thermal expansion coefficient, and electrical conductivity. In studies of nucleation and solidification phenomena the nucleation kinetics, phase selection, and solidification velocity can be determined. Advanced measurement capabilities currently being studied include the measurement and control of the residual oxygen content of the process atmosphere and a complementary inductive technique to measure thermophysical properties.

  3. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  4. Growth and Characterization of III-V Semiconductors for Device Applications

    Science.gov (United States)

    Williams, Michael D.

    2000-01-01

    The research goal was to achieve a fundamental understanding of the physical processes occurring at the surfaces and interfaces of epitaxially grown InGaAs/GaAs (100) heterostructures. This will facilitate the development of quantum well devices for infrared optical applications and provide quantitative descriptions of key phenomena which impact their performance. Devices impacted include high-speed laser diodes and modulators for fiber optic communications at 1.55 micron wavelengths and intersub-band lasers for longer infrared wavelengths. The phenomenon of interest studied was the migration of indium in InGaAs structures. This work centered on the molecular beam epitaxy reactor and characterization apparatus donated to CAU by AT&T Bell Laboratories. The material characterization tool employed was secondary ion mass spectrometry. The training of graduate and undergraduate students was an integral part of this program. The graduate students received a thorough exposure to state-of-the-art techniques and equipment for semiconductor materials analysis as part of the Master''s degree requirement in physics. The undergraduates were exposed to a minority scientist who has an excellent track record in this area. They also had the opportunity to explore surface physics as a career option. The results of the scientific work was published in a refereed journal and several talks were presented professional conferences and academic seminars.

  5. Apparatuses And Systems For Embedded Thermoelectric Generators

    KAUST Repository

    Hussain, Muhammad M.; Inayat, Salman Bin; Smith, Casey Eben

    2013-01-01

    An apparatus and a system for embedded thermoelectric generators are disclosed. In one embodiment, the apparatus is embedded in an interface where the ambient temperatures on two sides of the interface are different. In one embodiment, the apparatus is fabricated with the interface in integrity as a unitary piece. In one embodiment, the apparatus includes a first thermoelectric material embedded through the interface. The apparatus further includes a second thermoelectric material embedded through the interface. The first thermoelectric material is electrically coupled to the second thermoelectric material. In one embodiment, the apparatus further includes an output structure coupled to the first thermoelectric material and the second thermoelectric material and configured to output a voltage.

  6. Apparatuses And Systems For Embedded Thermoelectric Generators

    KAUST Repository

    Hussain, Muhammad M.

    2013-08-08

    An apparatus and a system for embedded thermoelectric generators are disclosed. In one embodiment, the apparatus is embedded in an interface where the ambient temperatures on two sides of the interface are different. In one embodiment, the apparatus is fabricated with the interface in integrity as a unitary piece. In one embodiment, the apparatus includes a first thermoelectric material embedded through the interface. The apparatus further includes a second thermoelectric material embedded through the interface. The first thermoelectric material is electrically coupled to the second thermoelectric material. In one embodiment, the apparatus further includes an output structure coupled to the first thermoelectric material and the second thermoelectric material and configured to output a voltage.

  7. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  8. CASTING METHOD AND APPARATUS

    Science.gov (United States)

    Gray, C.F.; Thompson, R.H.

    1958-10-01

    An improved apparatus for the melting and casting of uranium is described. A vacuum chamber is positioned over the casting mold and connected thereto, and a rod to pierce the oxide skin of the molten uranium is fitted into the bottom of the melting chamber. The entire apparatus is surrounded by a jacket, and operations are conducted under a vacuum. The improvement in this apparatus lies in the fact that the top of the melting chamber is fitted with a plunger which allows squeezing of the oxide skin to force out any molten uranium remaining after the skin has been broken and the molten charge has been cast.

  9. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  10. Apparatus and method for oxidation and stabilization of polymeric materials

    Science.gov (United States)

    Paulauskas, Felix L [Knoxville, TN; White, Terry L [Knoxville, TN; Sherman, Daniel M [Knoxville, TN

    2009-05-19

    An apparatus for treating polymeric materials comprises a treatment chamber adapted to maintain a selected atmosphere; a means for supporting the polymeric material within the chamber; and, a source of plasma-derived gas containing at least one reactive oxidative species whereby the polymer is stabilized and cross linked through exposure to the oxidative species in the chamber at a selected temperature. The polymer may be directly exposed to the plasma, or alternatively, the plasma may be established in a separate volume from which the reactive species may be extracted and introduced into the vicinity of the polymer. The apparatus may be configured for either batch-type or continuous-type processing. The apparatus and method are especially useful for preparing polymer fibers, particularly PAN fibers, for later carbonization treatments.

  11. Process and apparatus for fractionating close-boiling components of a multi-component system

    International Nuclear Information System (INIS)

    Tsao, U.

    1983-01-01

    A process and apparatus are described for the fractionation of close-boiling components of a multi-component system comprising at least two fractionation columns A, B in series having a plurality of equilibrium stages in which the vapor stream from a downstream fractionation column B is compressed by a compressor and passed into a lower portion of a preceding fractionation column A and a liquid bottom stream from any one of said columns except the last is expanded by an orifice sufficiently to convey the resulting liquid-vapor mixture to the upper portion of the next fractionation column B. In a particularly preferred embodiment, the compressed overhead vapor stream is passed in heat transfer relationship to a liquid stream withdrawn from the preceding fractionation column A prior to introduction into the lower portion of such preceding fractionation column A. In one of the claims, the multi-component close-boiling system is a deuterium oxide-water solution. (author)

  12. Tomographic apparatus for reconstructing planar slices from non-absorbed and non-scattered radiation

    International Nuclear Information System (INIS)

    1980-01-01

    After briefly reviewing the history of computerised tomography, the deficiencies inherent in the various methods that have been adopted are discussed, e.g. slow data collection time, blurring of images and poor spatial resolution. Tomographic apparatus and processing methods are then described which can overcome these problems. The apparatus consists of a fan-shaped source of X-rays and a detector array which both rotate around the patient being examined. The data reduction process is derived in great detail; it is claimed that digital processing using convolution techniques is much faster than conventional methods. (U.K.)

  13. Imaging the motion of electrons across semiconductor heterojunctions

    Science.gov (United States)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  14. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  15. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  16. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  17. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  18. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  19. Low-confinement high-power semiconductor lasers

    NARCIS (Netherlands)

    Buda, M.

    1999-01-01

    This thesis presents the results of studies related to optimisation of high power semiconductor laser diodes using the low confinement concept. This implies a different approach in designing the transversal layer structure before growth and in processing the wafer after growth, for providing the

  20. Self-propelled pulse X-ray apparatus Sirena-1

    International Nuclear Information System (INIS)

    Danil'chenko, N.T.; Ershov, L.S.; Il'chenko, A.V.; Krasil'nikov, S.B.; Kristalinskij, A.L.; Lozovoj, L.N.; Markov, S.N.; Morgovskij, L.Ya.

    1984-01-01

    The structure and specifications of a self-propelled pulse X-ray apparatus ''Sirena-1'' for testing oilt and gas pipelines welded joints are described. The apparatus is designed on the base of pulse X-ray apparatus MIRA. Apparatus control is realized by means of the 137 Cs source or manual control desk. The apparatus ensures perfect control sensitivity

  1. Charge transport in organic semiconductors.

    Science.gov (United States)

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  2. Encephalographic apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    An X-ray apparatus is described for determining the size and location of brain tumours by tomography during pneumoencephalography. The apparatus comprises an image recording device arranged opposite an X-ray source and a frame mounted on a tiltable patient table and rotatable with respect to the table. A patient support is arranged in the frame and is rotatable with respect to the frame. Air injected into the patients' spinal column travels up into the brain and displaces some of the cerebral fluid. Tomographic X-ray exposures are made of the air bubble which moves around in the brain cavities as the patient is rotated. (U.K.)

  3. Radiography apparatus

    International Nuclear Information System (INIS)

    Sashin, D.; Sternglass, E.J.

    1982-01-01

    The apparatus of the present invention provides radiography apparatus wherein the use of a flat, generally rectangular beam or a fan-shaped beam of radiation in combination with a collimator, scintillator and device for optically coupling a self-scanning array of photodiodes to the scintillator means will permit production of images or image data with high contrast sensitivity and detail. It is contemplated that the self-scanning array of photodiodes may contain from about 60 to 2048, and preferably about 256 to 2048, individual photodiode elements per inch of object width, thereby permitting maximum data collection to produce a complete image or complete collection of image data

  4. Positioning calibration apparatus for transducers employed in nuclear reactor vessel inspection apparatus

    International Nuclear Information System (INIS)

    Elsner, H.J.

    1979-01-01

    Calibration apparatus for verifying the position and orientation of transducers used in nuclear reactor vessel inspection apparatus is disclosed. A tank, filled with water, the operating inspection medium, is fitted with a movable mounting assembly adapted to securely accommodate a transducer and the mounting assembly in which it is normally secured during an inspection procedure. The tank is also provided with a slidably mounted target positioned therein at a predetermined distance from the target which is selected to avoid the distortion effects in the near field of the transducer response. The calibration apparatus can be used to check the normal transducer mounting for either perpendicularity or angular orientation by moving the tank's mounting assembly via a lead screw with which it is threadingly engaged. 6 claims

  5. The rates of charge separation and energy destructive charge recombination processes within an organic dyad in presence of metal-semiconductor core shell nanocomposites.

    Science.gov (United States)

    Mandal, Gopa; Bhattacharya, Sudeshna; Das, Subrata; Ganguly, Tapan

    2012-01-01

    Steady state and time resolved spectroscopic measurements were made at the ambient temperature on an organic dyad, 1-(4-Chloro-phenyl)-3-(4-methoxy-naphthalen-1-yl)-propenone (MNCA), where the donor 1-methoxynaphthalene (1 MNT) is connected with the acceptor p-chloroacetophenone (PCA) by an unsaturated olefinic bond, in presence of Ag@TiO2 nanoparticles. Time resolved fluorescence and absorption measurements reveal that the rate parameters associated with charge separation, k(CS), within the dyad increases whereas charge recombination rate k(CR) reduces significantly when the surrounding medium is changed from only chloroform to mixture of chloroform and Ag@TiO2 (noble metal-semiconductor) nanocomposites. The observed results indicate that the dyad being combined with core-shell nanocomposites may form organic-inorganic nanocomposite system useful for developing light energy conversion devices. Use of metal-semiconductor nanoparticles may provide thus new ways to modulate charge recombination processes in light energy conversion devices. From comparison with the results obtained in our earlier investigations with only TiO2 nanoparticles, it is inferred that much improved version of light energy conversion device, where charge-separated species could be protected for longer period of time of the order of millisecond, could be designed by using metal-semiconductor core-shell nanocomposites rather than semiconductor nanoparticles only.

  6. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  7. Apparatus and process for ultrasonic seam welding stainless steel foils

    Science.gov (United States)

    Leigh, Richard W.

    1992-01-01

    An ultrasonic seam welding apparatus having a head which is rotated to form contact, preferably rolling contact, between a metallurgically inert coated surface of the head and an outside foil of a plurality of layered foils or work materials. The head is vibrated at an ultrasonic frequency, preferably along a longitudinal axis of the head. The head is constructed to transmit vibration through a contacting surface of the head into each of the layered foils. The contacting surface of the head is preferably coated with aluminum oxide to prevent the head from becoming welded to layered stainless steel foils.

  8. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  9. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  10. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  11. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  12. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  13. The design of high-temperature thermal conductivity measurements apparatus for thin sample size

    Directory of Open Access Journals (Sweden)

    Hadi Syamsul

    2017-01-01

    Full Text Available This study presents the designing, constructing and validating processes of thermal conductivity apparatus using steady-state heat-transfer techniques with the capability of testing a material at high temperatures. This design is an improvement from ASTM D5470 standard where meter-bars with the equal cross-sectional area were used to extrapolate surface temperature and measure heat transfer across a sample. There were two meter-bars in apparatus where each was placed three thermocouples. This Apparatus using a heater with a power of 1,000 watts, and cooling water to stable condition. The pressure applied was 3.4 MPa at the cross-sectional area of 113.09 mm2 meter-bar and thermal grease to minimized interfacial thermal contact resistance. To determine the performance, the validating process proceeded by comparing the results with thermal conductivity obtained by THB 500 made by LINSEIS. The tests showed the thermal conductivity of the stainless steel and bronze are 15.28 Wm-1K-1 and 38.01 Wm-1K-1 with a difference of test apparatus THB 500 are −2.55% and 2.49%. Furthermore, this apparatus has the capability to measure the thermal conductivity of the material to a temperature of 400°C where the results for the thermal conductivity of stainless steel is 19.21 Wm-1K-1 and the difference was 7.93%.

  14. X-ray apparatus

    International Nuclear Information System (INIS)

    Tomita, Chuji.

    1980-01-01

    A principal object of the present invention is to provide an X-ray apparatus which is such that the distance between the surface of the patient's table and the floor on which the apparatus is installed is sufficiently small in the horizontal position of the patient's table of the roentgenographical pedestal and that the rotation of the pedestal from the horizontal position to a tilted position and further to the vertical position of the table can be carried out smoothly. (auth)

  15. Nuclear core baffling apparatus

    International Nuclear Information System (INIS)

    Cooper, F.W. Jr.; Silverblatt, B.L.; Knight, C.B.; Berringer, R.T.

    1979-01-01

    An apparatus for baffling the flow of reactor coolant fluid into and about the core of a nuclear reactor is described. The apparatus includes a plurality of longitudinally aligned baffle plates with mating surfaces that allow longitudinal growth with temperature increases while alleviating both leakage through the aligned plates and stresses on the components supporting the plates

  16. Improvements in or relating to apparatus for separating particulate solids from liquids

    International Nuclear Information System (INIS)

    Wace, P.F.; Stcokwell, C.L.; Alder, P.J.; Ellis, J.F.

    1977-01-01

    Apparatus is described for the separation of spheroidal nuclear fuel particles formed by gel precipitation from process liquids such as ammonia, which is used as a precipitating medium, and water, which is used for washing the particles after precipitation. It comprises a container having a number of inclined draining screens and weirs, together with means for vibrating the container. Arrangement of the apparatus is shown schematically. (U.K.)

  17. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  18. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  19. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  20. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  1. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  2. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  3. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  4. Noise properties of semiconductor waveguides with alternating sections of saturable gain and absorption

    DEFF Research Database (Denmark)

    Öhman, Filip; Bischoff, Svend; Tromborg, Bjarne

    We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration.......We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration....

  5. Apparatus for concentrating by dual temperature exchange

    International Nuclear Information System (INIS)

    Spevack, J.S.

    1975-01-01

    The dual temperature exchange apparatus, with a dual temperature stage having a hot processing tower and a cold processing tower, is provided with means for transferring heat from the hot processed gas to both liquid and gas being delivered to the hot processing tower. The heat exchange system provides means for effecting direct contact between the hot processed gas and the cold processed liquid being delivered to the hot tower, means for establishing a circulation of the resulting heated processed liquid, and means including an indirect contact exchanger for transferring heat from said circulation to condition the gas being supplied to the hot processing tower. The reactants in the example given are hydrogen sulfide gas and liquid water

  6. Epitaxial growth and processing of InP films in a ``novel`` remote plasma-MOCVD apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G. [Bari Univ. (Italy). Centro di Studio per la Chimica; Losurdo, M. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capezzuto, P. [Bari Univ. (Italy). Centro di Studio per la Chimica; Capozzi, V. [Bari Univ. (Italy). Ist. di Fisica; Lorusso, F.G. [Bari Univ. (Italy). Ist. di Fisica; Minafra, A. [Bari Univ. (Italy). Ist. di Fisica

    1996-06-01

    A new remote plasma MOCVD apparatus for the treatment and deposition of III-V materials and, specifically, of indium phosphide, has been developed. The plasma source is used to produce hydrogen atoms and to predissociate phosphine for, respectively, the reduction of native oxide on InP substrate surface and the InP deposition. In situ diagnostics by optical emission spectroscopy, mass spectrometry, and spectroscopic ellipsometry are used to fingerprint the gas phase and the growth surface. The plasma cleaning process effectively reduce the InP oxide layer without surface damage. Indium phosphide epilayers deposited from trimethylindium and plasma activated PH{sub 3} show singular photoluminescence spectra with signal intensity higher than that of the best InP film deposited under conventional MOCVD condition (without PH{sub 3} plasma preactivation). (orig.)

  7. Process for the exchange of hydrogen isotopes between streams of liquid water and gaseous halohydrocarbon and an apparatus therefor

    International Nuclear Information System (INIS)

    Symons, E.A.; Rolston, J.H.; Clermont, M.J.; Paterson, L.M.

    1983-01-01

    This invention provides a process for the exchange of hydrogen isotopes between streams of liquid water and gaseous halohydrocarbons comprising: (a) bringing into contact a water stream, a halohydrocarbon stream, and a catalytic porous anion exchange resin so that the isotope-deficient halohydrocarbon stream is enriched; (b) decomposing the halohydrocarbon stream photolytically into two gaseous streams, one enriched and the other deficient; (c) removing as a product the first, enriched stream; and (d) recycling the second stream for enrichment. An apparatus is also provided

  8. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  9. Electroless plating apparatus for discrete microsized particles

    International Nuclear Information System (INIS)

    Mayer, A.

    1978-01-01

    Method and apparatus are disclosed for producing very uniform coatings of a desired material on discrete microsized particles by electroless techniques. Agglomeration or bridging of the particles during the deposition process is prevented by imparting a sufficiently random motion to the particles that they are not in contact with each other for a time sufficient for such to occur

  10. Radioactive waste material melter apparatus

    Science.gov (United States)

    Newman, D.F.; Ross, W.A.

    1990-04-24

    An apparatus for preparing metallic radioactive waste material for storage is disclosed. The radioactive waste material is placed in a radiation shielded enclosure. The waste material is then melted with a plasma torch and cast into a plurality of successive horizontal layers in a mold to form a radioactive ingot in the shape of a spent nuclear fuel rod storage canister. The apparatus comprises a radiation shielded enclosure having an opening adapted for receiving a conventional transfer cask within which radioactive waste material is transferred to the apparatus. A plasma torch is mounted within the enclosure. A mold is also received within the enclosure for receiving the melted waste material and cooling it to form an ingot. The enclosure is preferably constructed in at least two parts to enable easy transport of the apparatus from one nuclear site to another. 8 figs.

  11. Radioactive waste material melter apparatus

    International Nuclear Information System (INIS)

    Newman, D.F.; Ross, W.A.

    1990-01-01

    An apparatus for preparing metallic radioactive waste material for storage is disclosed. The radioactive waste material is placed in a radiation shielded enclosure. The waste material is then melted with a plasma torch and cast into a plurality of successive horizontal layers in a mold to form a radioactive ingot in the shape of a spent nuclear fuel rod storage canister. The apparatus comprises a radiation shielded enclosure having an opening adapted for receiving a conventional transfer cask within which radioactive waste material is transferred to the apparatus. A plasma torch is mounted within the enclosure. A mold is also received within the enclosure for receiving the melted waste material and cooling it to form an ingot. The enclosure is preferably constructed in at least two parts to enable easy transport of the apparatus from one nuclear site to another. 8 figs

  12. Quantum theory of the electronic and optical properties of low-dimensional semiconductor systems

    Science.gov (United States)

    Lau, Wayne Heung

    This thesis examines the electronic and optical properties of low-dimensional semiconductor systems. A theory is developed to study the electron-hole generation-recombination process of type-II semimetallic semiconductor heterojunctions based on a 3 x 3 k·p matrix Hamiltonian (three-band model) and an 8 x 8 k·p matrix Hamiltonian (eight-band model). A novel electron-hole generation and recombination process, which is called activationless generation-recombination process, is predicted. It is demonstrated that the current through the type-II semimetallic semiconductor heterojunctions is governed by the activationless electron-hole generation-recombination process at the heterointerfaces, and that the current-voltage characteristics are essentially linear. A qualitative agreement between theory and experiments is observed. The numerical results of the eight-band model are compared with those of the threeband model. Based on a lattice gas model, a theory is developed to study the influence of a random potential on the ionization equilibrium conditions for bound electron-hole pairs (excitons) in III--V semiconductor heterostructures. It is demonstrated that ionization equilibrium conditions for bound electron-hole pairs change drastically in the presence of strong disorder. It is predicted that strong disorder promotes dissociation of excitons in III--V semiconductor heterostructures. A theory of polariton (photon dressed by phonon) spontaneous emission in a III--V semiconductor doped with semiconductor quantum dots (QDs) or quantum wells (QWs) is developed. For the first time, superradiant and subradiant polariton spontaneous emission phenomena in a polariton-QD (QW) coupled system are predicted when the resonance energies of the two identical QDs (QWs) lie outside the polaritonic energy gap. It is also predicted that when the resonance energies of the two identical QDs (QWs) lie inside the polaritonic energy gap, spontaneous emission of polariton in the polariton

  13. Interface evolution and shear strength of Al/Ti bi-metals processed by a spark plasma sintering (SPS) apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Miriyev, Aslan, E-mail: aslan.miriyev@columbia.edu [Department of Mechanical Engineering, Columbia University in the City of New York, 500 W. 120th St., Mudd 220, New York, NY 10027 (United States); Levy, Asaf; Kalabukhov, Sergey; Frage, Nachum [Department of Materials Engineering, Ben-Gurion University of the Negev, P.O.B. 653, Beer Sheva 8410501 (Israel)

    2016-09-05

    Microstructural evolution of the Al/Ti bi-metal interface during heat treatment in a spark plasma sintering (SPS) apparatus was investigated under various conditions for the first time. A mechanism of interfacial layer growth was suggested based on the results of SEM, TEM and X-ray diffraction analysis. A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface even after a processing time as short as about a minute. The TiAl{sub 3} layer grew mainly into the Ti part, while only a few individual grains grew into the Al part. Evolution of the interlayer was determined by Al diffusion through the (TiAl{sub 3}/TiAl{sub 3}) grain boundary. The activation energy of the process was 140 kJ/mol. The shear strength of the interface in the Al/Ti bi-metal was determined after various heat treatments. The shear strength of the bi-metal was limited by the properties of aluminum, with no effect of interlayer thickness or current mode and pulse pattern of the SPS treatment being detected. - Highlights: • Spark plasma sintering apparatus was used for heat treatment of Al/Ti bi-metals. • Microstructural evolution of Al/Ti interface during SPS treatment was investigated. • A continuous TiAl{sub 3} intermetallic layer was formed at the Al/Ti interface. • The bi-metal shear strength was limited by the properties of pure aluminum. • No effect of TiAl{sub 3} thickness or SPS current mode and pulse pattern was detected.

  14. Spin drift and spin diffusion currents in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Idrish Miah, M [Nanoscale Science and Technology Centre and School of Biomolecular and Physical Sciences, Griffith University, Nathan, Brisbane, QLD 4111 (Australia)], E-mail: m.miah@griffith.edu.au

    2008-09-15

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  15. Spin drift and spin diffusion currents in semiconductors

    Directory of Open Access Journals (Sweden)

    M Idrish Miah

    2008-01-01

    Full Text Available On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  16. Spin drift and spin diffusion currents in semiconductors

    International Nuclear Information System (INIS)

    Idrish Miah, M

    2008-01-01

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  17. Fractionation and rectification apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Sauerwald, A

    1932-05-25

    Fractionation and rectifying apparatus with a distillation vessel and a stirring tube, drainage tubes leading from its coils to a central collecting tube, the drainage tubes being somewhat parallel and attached to the outer half of the stirring tube and partly on the inner half of the central collecting tube, whereby distillation and rectification can be effected in a single apparatus.

  18. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  19. Stripline radiation detection apparatus

    International Nuclear Information System (INIS)

    Glasow, P.

    1975-01-01

    A sheet of semiconductor material on the opposite planar sides of which a plurality of spaced parallel stripline electrodes are disposed is described. The electrodes are offset with respect to each other by a predetermined angle, and the resistance of the semiconductor material and the potential applied to the electrodes are selected so that the depth of the field zone created is equal approximately to the distance between the oppositely disposed electrodes at their crosswise points of intersection. The electrodes on the upper side of the sheet are spaced apart by a distance equal to at least twice the combined thickness of the sheet of semiconductor material and the electrodes

  20. Identification of Spatial Fault Patterns in Semiconductor Wafers

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract The semiconductor industry is constantly searching for new ways to increase the rate of both process development and yield learning. As more data is being...

  1. 42 CFR 84.74 - Apparatus containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Apparatus containers; minimum requirements. 84.74...-Contained Breathing Apparatus § 84.74 Apparatus containers; minimum requirements. (a) Apparatus may be equipped with a substantial, durable container bearing markings which show the applicant's name, the type...

  2. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  3. Specifications for surface reaction analysis apparatus

    International Nuclear Information System (INIS)

    Teraoka, Yuden; Yoshigoe, Akitaka

    2001-03-01

    A surface reaction analysis apparatus was installed at the JAERI soft x-ray beamline in the SPring-8 as an experimental end-station for the study of surface chemistry. The apparatus is devoted to the study concerning the influence of translational kinetic energy of incident molecules to chemical reactions on solid surfaces with gas molecules. In order to achieve the research purpose, reactive molecular scattering experiments and photoemission spectroscopic measurements using synchrotron radiation are performed in that apparatus via a supersonic molecular beam generator, an electron energy analyzer and a quadrupole mass analyzer. The detail specifications for the apparatus are described in this report. (author)

  4. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  5. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  6. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  7. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  8. Quantum confined laser devices optical gain and recombination in semiconductors

    CERN Document Server

    Blood, Peter

    2015-01-01

    The semiconductor laser, invented over 50 years ago, has had an enormous impact on the digital technologies that now dominate so many applications in business, commerce and the home. The laser is used in all types of optical fibre communication networks that enable the operation of the internet, e-mail, voice and skype transmission. Approximately one billion are produced each year for a market valued at around $5 billion. Nearly all semiconductor lasers now use extremely thin layers of light emitting materials (quantum well lasers). Increasingly smaller nanostructures are used in the form of quantum dots. The impact of the semiconductor laser is surprising in the light of the complexity of the physical processes that determine the operation of every device. This text takes the reader from the fundamental optical gain and carrier recombination processes in quantum wells and quantum dots, through descriptions of common device structures to an understanding of their operating characteristics. It has a consistent...

  9. Continuous microwave regeneration apparatus for absorption media

    Science.gov (United States)

    Smith, Douglas D.

    1999-01-01

    A method and apparatus for continuously drying and regenerating ceramic beads for use in process gas moisture drying operations such as glove boxes. A microwave energy source is coupled to a process chamber to internally heat the ceramic beads and vaporize moisture contained therein. In a preferred embodiment, the moisture laden ceramic beads are conveyed toward the microwave source by a screw mechanism. The regenerated beads flow down outside of the screw mechanism and are available to absorb additional moisture.

  10. Method and apparatus for combination catalyst for reduction of NO.sub.x in combustion products

    Science.gov (United States)

    Socha, Richard F.; Vartuli, James C.; El-Malki, El-Mekki; Kalyanaraman, Mohan; Park, Paul W.

    2010-09-28

    A method and apparatus for catalytically processing a gas stream passing therethrough to reduce the presence of NO.sub.x therein, wherein the apparatus includes a first catalyst composed of a silver containing alumina that is adapted for catalytically processing the gas stream at a first temperature range, and a second catalyst composed of a copper containing zeolite located downstream from the first catalyst, wherein the second catalyst is adapted for catalytically processing the gas stream at a lower second temperature range relative to the first temperature range.

  11. Switched-mode power supply apparatus and method

    NARCIS (Netherlands)

    2013-01-01

    The present invention relates to a switched-mode power supply apparatus and a corresponding method. For an effective compensation of non-linearities caused by dead- time and voltage drops in the switching power amplifier of the apparatus, an apparatus is proposed comprising a switching power

  12. Switched-mode power supply apparatus and method

    NARCIS (Netherlands)

    2013-01-01

    The present invention relates to a switched-mode power supply apparatus and a corresponding method. For an effective compensation of non-linearities caused by dead-time and voltage drops in the switching power amplifier of the apparatus, an apparatus is proposed comprising a switching power

  13. Analysis of small-signal intensity modulation of semiconductor ...

    Indian Academy of Sciences (India)

    Computer simulation of the model is applied to 1.55-µm ... Semiconductor laser; small-signal modulation; modulation response; gain suppression. ... originates from intraband relaxation processes of charge carriers that extend for times as ...

  14. THE ANALYSIS TOWARDS STATE APPARATUS COMMISSION ROLE IN ASN MANAGEMENT SYSTEM IN INDONESIA

    Directory of Open Access Journals (Sweden)

    Ajib Rakhmawanto

    2015-05-01

    Full Text Available In administrating bureaurcy business process, professional state apparatus are needed. Law number 5 of 2014 about the state civil apparatus mentions management based on merit that supposed to be supervised by an independen institution: State Apparatuss Commision (KASN. This article aims to analyze the KASN, and identify its task, function, and responsibilities. The analysis showed that KASN was formed to create professional state apparatus and supervise the merit system based management. The function of KASN becomes ineffective considering there is NCSA, an establish body with a role to supervise the ASN management. KASN will cause an overlapping and conflict of interest between the two institutions.

  15. Attachment to a mass spectrometer for studying the processes of semiconductor compound deposition from a gaseous phase

    International Nuclear Information System (INIS)

    Belousov, V.I.; Zhuravlev, G.I.; Popenko, N.I.; Novozhilov, A.F.; Matveev, I.V.; Murav'ev, V.V.

    1984-01-01

    An attachment to the mass spectrometer for studying the processes of semiconductor compounds deposition from a gaseous phase at the pressure of 1x10 5 Pa and the temperature of 400-1300 K is described. The attachment consists of the Neer ion source with ionization section cooled upto the temperature of liquid nitrogen, a two-zone vacuum furnace, and a quartz epitaxy reactor of the horzontal type.The attachment is equipped with the systems of process gas distribution in 5 flows and temperature stabilization. The rate of mass spectrum recording constitutes 2 mass/s at the resolution being equal to 1000 at the 10% level. The sensitivity at the steam-gas mixture components partial pressure determination constitutes 1x10 -4 Pa

  16. Gas-phase hydrosilylation of cyclohexene in an experimental radiation-chemical accelerator apparatus

    International Nuclear Information System (INIS)

    Pecherkin, A.S.; Sidorov, V.I.; Chernyshev, E.A.

    1992-01-01

    A process for the synthesis of methylcyclohexyldichlorosilane (a basic monomer for the production of organosilicon photoresists) has been investigated and perfected on an experimental apparatus with an ELV-2 electron accelerator; this synthesis involves gas-phase radiation-induced hydrosilylation of cyclohexene by methyldichlorosilane. Basic characteristics of the yield of the desired product under static conditions were determined. With the help of experiments on the synthesis of methylcyclohexyldichlorosilane in a flow- through mode, the technical features of the process of radiation-chemical hydrosilylation of cyclohexene on an accelerator apparatus were determined and studied, the optimal conditions for the synthesis were determined, and an experimental batch of the desired product was produced

  17. EXTRACTION APPARATUS

    Science.gov (United States)

    Ballard, A.E.; Brigham, H.R.

    1958-10-28

    An apparatus whereby relatlvely volatile solvents may be contacted with volatile or non-volatile material without certaln attendant hazards is described. A suitable apparatus for handling relatively volatlle liqulds may be constructed comprising a tank, and a closure covering the tank and adapted to be securely attached to an external suppont. The closure is provided with a rigidly mounted motor-driven agitator. This agitator is connected from the driving motor lnto the lnterlor of the tank through a gland adapted to be cooled witb inert gas thereby eliminating possible hazard due to frictional heat. The closure is arranged so that the tank may be removed from it without materially dlsturbing the closure which, as described, carrles the motor driven agitator and other parts.

  18. Apparatus for ultrasonic nebulization

    International Nuclear Information System (INIS)

    Olson, K.W.; Haas, W.J. Jr.; Fassel, V.A.

    1978-01-01

    An improved apparatus is described for ultrasonic nebulization of liquid samples or suspensions in which the piezoelectric transducer is protected from chemical attack and erosion. The transducer is protected by being bonded to the inner surface of a glass plate which forms one end wall of a first hollow body provided with apparatus for circulating a fluid for cooling and stabilizing the transducer. The glass plate, which is one-half wavelength in thickness to provide an acoustically coupled outer nebulizing surface, seals an opening in a second hollow body which encloses an aerosol mixing chamber. The second body includes apparatus for delivering the sample solution to the nebulizing surface, a gas inlet for providing a flow of carrier gas for transporting the aerosol of the nebulized sample and an aerosol outlet

  19. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  20. Electrolytic plating apparatus for discrete microsized particles

    International Nuclear Information System (INIS)

    Mayer, A.

    1976-01-01

    Method and apparatus are disclosed for electrolytically producing very uniform coatings of a desired material on discrete microsized particles. Agglomeration or bridging of the particles during the deposition process is prevented by imparting a sufficiently random motion to the particles that they are not in contact with a powered cathode for a time sufficient for such to occur. 4 claims, 2 figures

  1. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  2. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  3. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  4. Method and apparatus for implementing material thermal property measurement by flash thermal imaging

    Science.gov (United States)

    Sun, Jiangang

    2017-11-14

    A method and apparatus are provided for implementing measurement of material thermal properties including measurement of thermal effusivity of a coating and/or film or a bulk material of uniform property. The test apparatus includes an infrared camera, a data acquisition and processing computer coupled to the infrared camera for acquiring and processing thermal image data, a flash lamp providing an input of heat onto the surface of a two-layer sample with an enhanced optical filter covering the flash lamp attenuating an entire infrared wavelength range with a series of thermal images is taken of the surface of the two-layer sample.

  5. Test sample handling apparatus

    International Nuclear Information System (INIS)

    1981-01-01

    A test sample handling apparatus using automatic scintillation counting for gamma detection, for use in such fields as radioimmunoassay, is described. The apparatus automatically and continuously counts large numbers of samples rapidly and efficiently by the simultaneous counting of two samples. By means of sequential ordering of non-sequential counting data, it is possible to obtain precisely ordered data while utilizing sample carrier holders having a minimum length. (U.K.)

  6. Infrared microscope inspection apparatus

    Science.gov (United States)

    Forman, Steven E.; Caunt, James W.

    1985-02-26

    Apparatus and system for inspecting infrared transparents, such as an array of photovoltaic modules containing silicon solar cells, includes an infrared microscope, at least three sources of infrared light placed around and having their axes intersect the center of the object field and means for sending the reflected light through the microscope. The apparatus is adapted to be mounted on an X-Y translator positioned adjacent the object surface.

  7. Method and apparatus for signal processing in a sensor system for use in spectroscopy

    Science.gov (United States)

    O'Connor, Paul [Bellport, NY; DeGeronimo, Gianluigi [Nesconset, NY; Grosholz, Joseph [Natrona Heights, PA

    2008-05-27

    A method for processing pulses arriving randomly in time on at least one channel using multiple peak detectors includes asynchronously selecting a non-busy peak detector (PD) in response to a pulse-generated trigger signal, connecting the channel to the selected PD in response to the trigger signal, and detecting a pulse peak amplitude. Amplitude and time of arrival data are output in first-in first-out (FIFO) sequence. An apparatus includes trigger comparators to generate the trigger signal for the pulse-receiving channel, PDs, a switch for connecting the channel to the selected PD, and logic circuitry which maintains the write pointer. Also included, time-to-amplitude converters (TACs) convert time of arrival to analog voltage and an analog multiplexer provides FIFO output. A multi-element sensor system for spectroscopy includes detector elements, channels, trigger comparators, PDs, a switch, and a logic circuit with asynchronous write pointer. The system includes TACs, a multiplexer and analog-to-digital converter.

  8. Comprehensive and fully self-consistent modeling of modern semiconductor lasers

    International Nuclear Information System (INIS)

    Nakwaski, W.; Sarzał, R. P.

    2016-01-01

    The fully self-consistent model of modern semiconductor lasers used to design their advanced structures and to understand more deeply their properties is given in the present paper. Operation of semiconductor lasers depends not only on many optical, electrical, thermal, recombination, and sometimes mechanical phenomena taking place within their volumes but also on numerous mutual interactions between these phenomena. Their experimental investigation is quite complex, mostly because of miniature device sizes. Therefore, the most convenient and exact method to analyze expected laser operation and to determine laser optimal structures for various applications is to examine the details of their performance with the aid of a simulation of laser operation in various considered conditions. Such a simulation of an operation of semiconductor lasers is presented in this paper in a full complexity of all mutual interactions between the above individual physical processes. In particular, the hole-burning effect has been discussed. The impacts on laser performance introduced by oxide apertures (their sizes and localization) have been analyzed in detail. Also, some important details concerning the operation of various types of semiconductor lasers are discussed. The results of some applications of semiconductor lasers are shown for successive laser structures. (paper)

  9. Plastic Deformation as a Means to Achieve Stretchable Polymer Semiconductors

    Science.gov (United States)

    O'Connor, Brendan

    Developing intrinsically stretchable semiconductors will seamlessly transition traditional devices into a stretchable platform. Polymer semiconductors are inherently soft materials due to the weak van der Waal intermolecular bonding allowing for flexible devices. However, these materials are not typically stretchable and when large strains are applied they either crack or plastically deform. Here, we study the use of repeated plastic deformation as a means of achieving stretchable films. In this talk, critical aspects of polymer semiconductor material selection, morphology and interface properties will be discussed that enable this approach of achieving stretchable films. We show that one can employ high performance donor-acceptor polymer semiconductors that are typically brittle through proper polymer blending to significantly increase ductility to achieve stretchable films. We demonstrate a polymer blend film that can be repeatedly deformed over 65%, while maintaining charge mobility consistently above 0.15 cm2/Vs. During the stretching process we show that the films follow a well-controlled repeated deformation pattern for over 100 stretching cycles.

  10. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  11. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  12. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  13. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  14. Method and apparatus for the separation of solid particles having different densities

    NARCIS (Netherlands)

    Rem, P.C.; Berkhout, S.P.M.

    2011-01-01

    A method and apparatus for separating solid particles of different densities, using a magnetic process fluid. The solid particles are thoroughly mixed in a small partial flow of the process fluid. The small turbulent partial flow is added to a large laminar partial flow of the process fluid, after

  15. Optical properties of hybrid semiconductor-metal structures

    Energy Technology Data Exchange (ETDEWEB)

    Kreilkamp, L.E.; Pohl, M.; Akimov, I.A.; Yakovlev, D.R.; Bayer, M. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Belotelov, V.I.; Zvezdin, A.K. [A.M. Prokhorov General Physics Institute, Russian Academy of Sciences, 119992 Moscow (Russian Federation); Karczewski, G.; Wojtowicz, T. [Institute of Physics, Polish Academy of Sciences, 02668 Warsaw (Poland); Rudzinski, A.; Kahl, M. [Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund (Germany)

    2012-07-01

    We study the optical properties of hybrid nanostructures comprising a semiconductor CdTe quantum well (QW) separated by a thin CdMgTe cap layer of 40 nm from a patterned gold film. The CdTe/CdMgTe QW structure with a well width of 10nm was grown by molecular beam epitaxy. The one-dimensional periodic gold films on top were made using e-beam lithography and lift-off process. The investigated structures can be considered as plasmonic crystals because the metal films attached to the semiconductor are patterned with a period in the range from 475 to 600 nm, which is comparable to the surface plasmon-polariton (SPP) wavelength. Angle dependent reflection spectra at room temperature clearly show plasmonic resonances. PL spectra taken at low temperatures of about 10 K under below- and above-barrier illumination show significant modifications compared to the unstructured QW sample. The number of emission lines and their position shift change depending on the excitation energy. The role of exciton-SPP coupling and Schottky barrier at the semiconductor-metal interface are discussed.

  16. TXRF applications for semiconductor materials and process characterization

    International Nuclear Information System (INIS)

    Zaitz, M.A.

    2000-01-01

    In the past 30 years, the semiconductor industry has undergone a dramatic evolution in technology which now has become part of our daily lives. The density of transistors on a chip has grown exponentially, approximately doubling every 18 months or increasing 3200 times. Early chips from the 1970's had about 2300 components on them compared to 7.5 million on today's sophisticated microprocessors. It is an exhausting pace with no let up in sight. Traditional materials are no longer keeping pace. Smaller and smaller circuits require alternative materials and processes. New materials such as high k and low k dielectric are being evaluated to replace silicon dioxide both as a gate material and as an insulator. Copper wiring which has less resistance thereby increasing signal speed is well into manufacturing. Other technologies such as SOI (silicon on insulator) are good candidates to win the battle of speed and performance. To keep this pace of phenomenal creativity going, material characterization and process development needs novel and innovative techniques. The versatility of total reflection x-ray florescence (TXRF) makes it an ideal analytical instrument for research and development studies for ultra trace metal analysis. TXRF can easily measure the surfaces of thin metallic films, but also both low and high K dielectric materials for ultra trace contamination levels. The multiple element capability provides accurate quantitative data over a wide range of elements. Nontraditional elements such as argon which is easily trapped in films during the sputter deposition process are easily detected by TXRF. Advances in light element; Al, Na, Mg, are providing information that was very difficult and time consuming to obtain by other analytical techniques. TXRF analysis on wafers show aluminum contamination patterns from a brush clean study and an ion implanted, shallow doped study. The silicon wafer is the perfect carrier for a TXRF analysis- smooth and highly polished for

  17. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  18. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  19. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  20. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  1. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  2. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  3. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  4. Problems of linear electron (polaron) transport theory in semiconductors

    CERN Document Server

    Klinger, M I

    1979-01-01

    Problems of Linear Electron (Polaron) Transport Theory in Semiconductors summarizes and discusses the development of areas in electron transport theory in semiconductors, with emphasis on the fundamental aspects of the theory and the essential physical nature of the transport processes. The book is organized into three parts. Part I focuses on some general topics in the theory of transport phenomena: the general dynamical theory of linear transport in dissipative systems (Kubo formulae) and the phenomenological theory. Part II deals with the theory of polaron transport in a crystalline semicon

  5. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  6. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  7. Electronic properties of semiconductor surfaces and metal/semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, M.

    2005-05-15

    This thesis reports investigations of the electronic properties of a semiconductor surface (silicon carbide), a reactive metal/semiconductor interface (manganese/silicon) and a non-reactive metal/semiconductor interface (aluminum-magnesium alloy/silicon). The (2 x 1) reconstruction of the 6H-SiC(0001) surface has been obtained by cleaving the sample along the (0001) direction. This reconstruction has not been observed up to now for this compound, and has been compared with those of similar elemental semiconductors of the fourth group of the periodic table. This comparison has been carried out by making use of photoemission spectroscopy, analyzing the core level shifts of both Si 2p and C 1s core levels in terms of charge transfer between atoms of both elements and in different chemical environments. From this comparison, a difference between the reconstruction on the Si-terminated and the C-terminated surface was established, due to the ionic nature of the Si-C bond. The growth of manganese films on Si(111) in the 1-5 ML thickness range has been studied by means of LEED, STM and photoemission spectroscopy. By the complementary use of these surface science techniques, two different phases have been observed for two thickness regimes (<1 ML and >1 ML), which exhibit a different electronic character. The two reconstructions, the (1 x 1)-phase and the ({radical}3 x {radical}3)R30 -phase, are due to silicide formation, as observed in core level spectroscopy. The growth proceeds via island formation in the monolayer regime, while the thicker films show flat layers interrupted by deep holes. On the basis of STM investigations, this growth mode has been attributed to strain due to lattice mismatch between the substrate and the silicide. Co-deposition of Al and Mg onto a Si(111) substrate at low temperature (100K) resulted in the formation of thin alloy films. By varying the relative content of both elements, the thin films exhibited different electronic properties

  8. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  9. Classification of methods for measuring current-voltage characteristics of semiconductor devices

    Directory of Open Access Journals (Sweden)

    Iermolenko Ia. O.

    2014-06-01

    Full Text Available It is shown that computer systems for measuring current-voltage characteristics are very important for semiconductor devices production. The main criteria of efficiency of such systems are defined. It is shown that efficiency of such systems significantly depends on the methods for measuring current-voltage characteristics of semiconductor devices. The aim of this work is to analyze existing methods for measuring current-voltage characteristics of semiconductor devices and to create the classification of these methods in order to specify the most effective solutions in terms of defined criteria. To achieve this aim, the most common classifications of methods for measuring current-voltage characteristics of semiconductor devices and their main disadvantages are considered. Automated and manual, continuous, pulse, mixed, isothermal and isodynamic methods for measuring current-voltage characteristics are analyzed. As a result of the analysis and generalization of existing methods the next classification criteria are defined: the level of automation, the form of measurement signals, the condition of semiconductor device during the measurements, and the use of mathematical processing of the measurement results. With the use of these criteria the classification scheme of methods for measuring current-voltage characteristics of semiconductor devices is composed and the most effective methods are specified.

  10. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  11. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  12. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  13. Support of nuclear fusion apparatus

    International Nuclear Information System (INIS)

    Watarai, Tetsuo; Ito, Yutaka.

    1975-01-01

    Object: To integrally form a bed for a body for supporting a vacuum container, coil and the like and a bed for a current transformer for supporting the current transformer prior to installation thereof on the floor thereby facilitating assembly and installation. Structure: To provide a base common to a current transformer bed and a body bed without direct installation thereof on the floor. Prior to installation of the current transformer bed and body bed, they are fastened to the base by means of bolts and welded integrally, and the thus formed base is fixed to the floor by means of anchor bolts. Since the current transformer bed and the body bed are formed integrally through the common base, apparatus may easily be carried in and disassembling and re-assembling of apparatus become unnecessary when installed. Further, since the positional relation of the current transformer bed and body bed does not depend on accuracy at the time of installation but depends on accuracy when apparatus manufactured, the toroidal type nuclear fusion apparatus of good accuracy may be obtained. (Yoshihara, H.)

  14. Process and apparatus for decontaminating air

    International Nuclear Information System (INIS)

    Reynolds, W.D.

    1993-01-01

    An apparatus for irradiating a contaminated air stream emanating from contaminated sources which contain mixtures of one or more volatile toxic and hazardous organic solvents or petroleum product vapors with ultraviolet wave energy below 200 nm is described comprising: a first means for passing the contaminated air stream via a tube into an enclosed empty air flow duct to allow free flow of said contaminated air stream; a second means for introducing a secondary fresh air stream into an inlet of said first means to dilute and maintain the contaminated air stream at a predetermined concentration level; a means for measuring a flow rate of said contaminated air stream; said air duct containing at least a first and second residence chambers separated by a wall divider; said divider containing at least one opening in which is mounted at least one centrally located ultraviolet wave energy source extending into said air stream to allow said contaminated air stream to pass around and in close contact with said wave energy source, wherein said contaminated air stream is irradiated by said wave energy source; an analyzer means for conducting on-line real time analysis of said diluted contaminated air stream in said first residence chamber, said analyzer means being capable of analyzing any residual contaminated mixtures in an effluent air stream from said second residence chamber; whereby said ultraviolet wave energy source functions to generate oxygen atom free radicals, other free radicals, ions, and ozone to react with the contaminated air strewn to produce unwanted acid gases, and wherein said effluent air stream containing the unwanted acid gases, being passed from said second residence chamber, can be disposed of in any manner known to the art

  15. Air-cleaning apparatus

    International Nuclear Information System (INIS)

    Howard, A.G.

    1981-01-01

    An air-cleaning, heat-exchange apparatus includes a main housing portion connected by means of an air inlet fan to the kitchen exhaust stack of a restaurant. The apparatus includes a plurality of heat exchangers through which a heat-absorptive fluid is circulated, simultaneously, by means of a suitable fluid pump. These heat exchangers absorb heat from the hot exhaust gas, out of the exhaust stack of the restaurant, which flows over and through these heat exchangers and transfers this heat to the circulating fluid which communicates with remote heat exchangers. These remote heat exchangers further transfer this heat to a stream of air, such as that from a cold-air return duct for supplementing the conventional heating system of the restaurant. Due to the fact that such hot exhaust gas is heavily grease laden , grease will be deposited on virtually all internal surfaces of the apparatus which this exhaust gas contacts. Consequently, means are provided for spraying these contacted internal surfaces , as well as the hot exhaust gas itself, with a detergent solution in which the grease is soluble, thereby removing grease buildup from these internal surfaces

  16. A Study on Test Technology to Diagnose the Power Apparatus

    Energy Technology Data Exchange (ETDEWEB)

    Kim, K H; Kang, Y S; Jeon, Y K; Lee, W Y; Kang, D S; Kyu, H S; Sun, J H; Jo, K H [Korea Electrotechnology Research Institute (Korea, Republic of); Jung, J S; Mun, Y T; Lee, K H; Jung, E H; Kim, J H [Korea Water Resources Corporation (Korea, Republic of)

    1997-02-01

    In this study, we have educated KOWACO(Korea Water Resources Corporation) specialists about the insulation diagnostic technology and trained them the insulation diagnostic test and estimation method of power apparatus. The main results of this study are as follows; A. Education of basic high-voltage engineering. B. Research of insulation characteristic and deterioration mechanism in power apparatus C. Discussion on high-voltage test standard specifications. D. Study on insulation deterioration diagnostics in power apparatus. E. Field testing of insulation diagnosis in power apparatus. F. Engineering of insulation diagnostic testing apparatus to diagnose power apparatus. KOWACO specialists are able to diagnose insulation diagnostic test of power apparatus through this study. As they have instruments to diagnose power apparatus, they can do the test and estimation of the power apparatus insulation diagnosis. (author). refs., figs., tabs.

  17. Investigation into the cause of leak in the pipe of the corrosion test apparatus of IS process

    International Nuclear Information System (INIS)

    Tanaka, Nobuyuki; Furukawa, Tomohiro; Inagaki, Yoshiyuki; Suwa, Hirokazu

    2008-12-01

    The thermochemical water-splitting hydrogen production IS process utilizes corrosive chemicals such as sulfuric acid and hydriodic acid. Corrosion tests in IS process environments have been carried out to get the corrosion data of materials. In the corrosion test in 90wt% sulfuric acid at 400degC, the leak of sulfuric acid was observed in a pipe connected with a reflux condenser. The cause of the leakage is a significant knowledge for the operation of the test apparatus. Therefore the cause was investigated. A 1mm wide through hole was detected in the pipe around the welding bead. By visual observation after cutting the pipe, the wall thickness of the pipe became thin at the inside welding bead around the through hole. In addition, EMPA showed that the inhomogeneous distribution of the constituent elements of the pipe was observed around the through hole. For these reasons, it is estimated that the lowering of the corrosion resistance by the sensitization at the welding caused the leakage. (author)

  18. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  19. Apparatus Named after Our Academic Ancestors--I

    Science.gov (United States)

    Greenslade, Thomas B., Jr.

    2010-01-01

    Let us now praise famous physicists, and the apparatus named after them, with apologies to the writer of Ecclesiastes. I once compiled a list of about 300 pieces of apparatus known to us as X's Apparatus. Some of the values of X are familiar, like Wheatstone and Kelvin and Faraday, but have you heard of Pickering or Rhumkorff or Barlow? In an…

  20. Long-duration heat load measurement approach by novel apparatus design and highly efficient algorithm

    Science.gov (United States)

    Zhu, Yanwei; Yi, Fajun; Meng, Songhe; Zhuo, Lijun; Pan, Weizhen

    2017-11-01

    Improving the surface heat load measurement technique for vehicles in aerodynamic heating environments is imperative, regarding aspects of both the apparatus design and identification efficiency. A simple novel apparatus is designed for heat load identification, taking into account the lessons learned from several aerodynamic heating measurement devices. An inverse finite difference scheme (invFDM) for the apparatus is studied to identify its surface heat flux from the interior temperature measurements with high efficiency. A weighted piecewise regression filter is also proposed for temperature measurement prefiltering. Preliminary verification of the invFDM scheme and the filter is accomplished via numerical simulation experiments. Three specific pieces of apparatus have been concretely designed and fabricated using different sensing materials. The aerodynamic heating process is simulated by an inductively coupled plasma wind tunnel facility. The identification of surface temperature and heat flux from the temperature measurements is performed by invFDM. The results validate the high efficiency, reliability and feasibility of heat load measurements with different heat flux levels utilizing the designed apparatus and proposed method.

  1. Long-duration heat load measurement approach by novel apparatus design and highly efficient algorithm

    International Nuclear Information System (INIS)

    Zhu, Yanwei; Yi, Fajun; Meng, Songhe; Zhuo, Lijun; Pan, Weizhen

    2017-01-01

    Improving the surface heat load measurement technique for vehicles in aerodynamic heating environments is imperative, regarding aspects of both the apparatus design and identification efficiency. A simple novel apparatus is designed for heat load identification, taking into account the lessons learned from several aerodynamic heating measurement devices. An inverse finite difference scheme (invFDM) for the apparatus is studied to identify its surface heat flux from the interior temperature measurements with high efficiency. A weighted piecewise regression filter is also proposed for temperature measurement prefiltering. Preliminary verification of the invFDM scheme and the filter is accomplished via numerical simulation experiments. Three specific pieces of apparatus have been concretely designed and fabricated using different sensing materials. The aerodynamic heating process is simulated by an inductively coupled plasma wind tunnel facility. The identification of surface temperature and heat flux from the temperature measurements is performed by invFDM. The results validate the high efficiency, reliability and feasibility of heat load measurements with different heat flux levels utilizing the designed apparatus and proposed method. (paper)

  2. Nature of radiative recombination processes in layered semiconductor PbCdI{sub 2} nanostructural scintillation material

    Energy Technology Data Exchange (ETDEWEB)

    Bukivskii, A.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gnatenko, Yu.P., E-mail: yuriygnatenko@ukr.net [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Piryatinskii, Yu.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gamernyk, R.V. [Lviv National University, 8 Kyryl o and Mefodiy Str., 29005 Lviv (Ukraine)

    2017-05-15

    We report on the efficient photoluminescence (PL) and radioluminescence (RL) of the PbI{sub 2} nanoclusters (NCLs), which are naturally formed in the nanostructured Pb{sub 1-X}Cd{sub x}I{sub 2} alloys (X=0.70). Here, we carried out the studies of the nature of radiative recombination processes in the NCLs of various sizes by measuring PL temperature evolution. Our results indicate that at low temperatures the PL is mainly caused by exciton emission and recombination of donor-acceptor pairs, generated in volume of large NCLs. The broad bands, which are associated with the deep intrinsic surface states, including self-trapped excitons (STEs), are dominant in the PL spectra at higher temperature (>100 K). Our work shows that the nature of emission, associated with RL bands is analogous to that for PL bands. It was shown that the investigated nanostructured material is strongly radiation-resistant. Thus, the Pb{sub 1-X}Cd{sub X}I{sub 2} alloys can be considered as new effective layered semiconductor nanostructured materials which can be suitable for the elaboration of perspective semiconductor scintillators. These nanomaterials have promising prospects for applications in new generations of devices for biomedical diagnostics and industrial imaging applications. - Highlights: •The intense PL and RL of nanostructural PbCdI{sub 2} alloys were observed. •The nature of recombination processes of the nanoscintillators was established. •The low temperature PL is caused by exciton and donor-acceptor pairs recombination. •The broad PL bands are due to the deep intrinsic states formed on the NCLs surface. •The PL associated with STEs for NCLs of different sizes was analyzed in detail. •It was shown that the nature of PL and RL spectra is same.

  3. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  4. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  5. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    Science.gov (United States)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  6. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    International Nuclear Information System (INIS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W.H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 10 16 cm -2 ) and sulfur (200 keV, 10 14 cm -2 ) in silicon wafers using ''white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 10 14 cm -2 . Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular

  7. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  8. 21 CFR 886.4100 - Radiofrequency electrosurgical cautery apparatus.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Radiofrequency electrosurgical cautery apparatus... SERVICES (CONTINUED) MEDICAL DEVICES OPHTHALMIC DEVICES Surgical Devices § 886.4100 Radiofrequency electrosurgical cautery apparatus. (a) Identification. A radiofrequency electrosurgical cautery apparatus is an AC...

  9. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  10. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  11. Development of apparatus and procedures for evaluating radon-resistant construction materials

    International Nuclear Information System (INIS)

    Pugh, T.D.; Greenfield, M.B.; MacKenzie, J.; Meijer, R.J. de

    1992-01-01

    Laboratory facilities and apparatus have been constructed to measure radon exhalation from, and radon permeability through, various construction materials. This phase of the project has focused on development of test apparatus and evaluation of instrumentation. Results indicate significant spatial variability in the radon permeability of polyethylene, even when all test samples were selected from the same roll of material, and when no visible differentiation could be made regarding sample quality. Implications for code enforcement are described, and recommendations are offered for refinement of equipment and the measurement process, prioritization of future materials testing, and specific building code provisions, based on our results

  12. APPARATUS FOR ELECTRON BEAM HEATING CONTROL

    Science.gov (United States)

    Jones, W.H.; Reece, J.B.

    1962-09-18

    An improved electron beam welding or melting apparatus is designed which utilizes a high voltage rectifier operating below its temperature saturation region to decrease variations in electron beam current which normally result from the gas generated in such apparatus. (AEC)

  13. Instantaneous amplitude and frequency dynamics of coherent wave mixing in semiconductor quantum wells

    International Nuclear Information System (INIS)

    Chemla, D.S.

    1993-01-01

    This article reviews recent investigations of nonlinear optical processes in semiconductors. Section II discusses theory of coherent wave mixing in semiconductors, with emphasis on resonant excitation with only one exciton state. Section III reviews recent experimental investigations of amplitude and phase of coherent wave-mixing resonant with quasi-2d excitons in GaAs quantum wells

  14. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  15. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  16. Induced Charge Fluctuations in Semiconductor Detectors with a Cylindrical Geometry

    Science.gov (United States)

    Samedov, Victor V.

    2018-01-01

    Now, compound semiconductors are very appealing for hard X-ray room-temperature detectors for medical and astrophysical applications. Despite the attractive properties of compound semiconductors, such as high atomic number, high density, wide band gap, low chemical reactivity and long-term stability, poor hole and electron mobility-lifetime products degrade the energy resolution of these detectors. The main objective of the present study is in development of a mathematical model of the process of the charge induction in a cylindrical geometry with accounting for the charge carrier trapping. The formulae for the moments of the distribution function of the induced charge and the formulae for the mean amplitude and the variance of the signal at the output of the semiconductor detector with a cylindrical geometry were derived. It was shown that the power series expansions of the detector amplitude and the variance in terms of the inverse bias voltage allow determining the Fano factor, electron mobility lifetime product, and the nonuniformity level of the trap density of the semiconductor material.

  17. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  18. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  19. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  20. Experimental study on the characteristics of semiconductor opening switch

    CERN Document Server

    Su Jian Cang; Ding Yong Zhong; Song Zhi Min; Ding Zhen Jie; Liu Guo Zhi

    2002-01-01

    An experimental set-up is developed to measure the characteristics of semiconductor opening switch (SOS). The parameters, such as interruption impedance, current int eruption time, voltage gain, pulse duration and energy transfer efficiency, are studied experimentally. The experimental results show that forward pumping time and reverse pumping time are important parameters for semiconductor opening switches. The influences of forward pumping time and reverse pumping time on interruption time, voltage gain, and energy transfer efficiency are obtained. In the interruption process, the impedance variation is divided into three phases: that is rapid increasing phase, slow change phase and completely interruption phase

  1. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Apparatus with moderating material for microwave heat treatment of manufactured components

    Science.gov (United States)

    Ripley, Edward B [Knoxville, TN

    2011-05-10

    An apparatus for heat treating manufactured components using microwave energy and microwave susceptor material. Heat treating medium such as eutectic salts may be employed. A fluidized bed introduces process gases which may include carburizing or nitriding gases The process may be operated in a batch mode or continuous process mode. A microwave heating probe may be used to restart a frozen eutectic salt bath.

  3. 21 CFR 868.5165 - Nitric oxide administration apparatus.

    Science.gov (United States)

    2010-04-01

    ... apparatus. (a) Identification. The nitric oxide administration apparatus is a device used to add nitric oxide to gases that are to be breathed by a patient. The nitric oxide administration apparatus is to be used in conjunction with a ventilator or other breathing gas administration system. (b) Classification...

  4. Semiconductor Modeling For Simulating Signal, Power, and Electromagneticintegrity

    CERN Document Server

    Leventhal, Roy

    2006-01-01

    Assists engineers in designing high-speed circuits. The emphasis is on semiconductor modeling, with PCB transmission line effects, equipment enclosure effects, and other modeling issues discussed as needed. This text addresses practical considerations, including process variation, model accuracy, validation and verification, and signal integrity.

  5. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  6. Lidiam - direct-link computer for the photo measurement apparatus of a bubble chamber

    International Nuclear Information System (INIS)

    Deler, B.

    1964-01-01

    The treatment of bubble chamber pictures can be considerably improved by connecting conventional measuring machines to a small computer providing continuous control of the later as well as the immediate detection of errors and their immediate corrections. The computer will also perform first processing of the measured data. In addition the system described will improve the effective yield of each apparatus and facilitates the control of the data. A description is given here of the apparatus and of some future extensions. (author) [fr

  7. Dosimeter charging apparatus

    International Nuclear Information System (INIS)

    Reuter, F.A.; Moorman, Ch.J.

    1985-01-01

    An apparatus for charging a dosimeter which has a capacitor connected between first and second electrodes and a movable electrode in a chamber electrically connected to the first electrode. The movable electrode deflects varying amounts depending upon the charge present on said capacitor. The charger apparatus includes first and second charger electrodes couplable to the first and second dosimeter electrodes. To charge the dosimeter, it is urged downwardly into a charging socket on the charger apparatus. The second dosimeter electrode, which is the dosimeter housing, is electrically coupled to the second charger electrode through a conductive ring which is urged upwardly by a spring. As the dosimeter is urged into the socket, the ring moves downwardly, in contact with the second charger electrode. As the dosimeter is further urged downwardly, the first dosimeter electrode and first charger electrode contact one another, and an insulator post carrying the first and second charger electrodes is urged downwardly. Downward movement of the post effects the application of a charging potential between the first and second charger electrodes. After the charging potential has been applied, the dosimeter is moved further into the charging socket against the force of a relatively heavy biasing spring until the dosimeter reaches a mechanical stop in the charging socket

  8. Students' construction of a simple steam distillation apparatus and development of creative thinking skills: A project-based learning

    Science.gov (United States)

    Diawati, Chansyanah; Liliasari, Setiabudi, Agus; Buchari

    2017-05-01

    This project-based learning combined the chemistry of separation process using steam distillation with engineering design process in an undergraduate chemistry course. Students built upon their knowledge of phase changes, immiscible mixture, and the relationship between vapor pressure and boiling point to complete a project of modifications steam distillation apparatus. The research method is a qualitative case study, which aims to describe how (1) the creative thinking skills of students emerged during six weeks of theproject, (2) students built steam distillation apparatus characteristics as the project product and (3) students response to the project-based learning model. The results showed that the students had successfully constructed a steam distillation apparatus using plastic kettle as steam generator and distillation flask. A Plastic tubewas used to drain water vapor from steam generator to distillation flask and to drain steam containing essential oil to the condenser. A biscuit tin filled with ice was used as a condenser. The time required until resulting distillate was fifteen minutes. The production of essential was conductive qualitatively by a very strong smell typical of essential oil and two phases of distillate. Throughout the project, students formulated the relevant and varied problem, formulated the goals, proposed the ideas of the apparatus and materials, draw apparatus design, constructed apparatus, tested apparatus, evaluated, and reported the project. Student response was generally positive. They were pleased, interested, more understanding the concepts and work apparatus principles, also implemented new ideas. These results indicate that project-based learning can develop students' creative thinking skills. Based on these results, it is necessary to conduct research and implemented project-based learning to other concepts.

  9. The development and application of a coincidence measurement apparatus with micro-computer system

    International Nuclear Information System (INIS)

    Du Hongshan; Zhou Youpu; Gao Junlin; Qin Deming; Cao Yunzheng; Zhao Shiping

    1987-01-01

    A coincidence measurement apparatus with micro-computer system is developed. Automatic data acquisition and processing are achieved. Results of its application for radioactive measurement are satisfactory

  10. Hydraulic Apparatus for Mechanical Testing of Nuts

    Science.gov (United States)

    Hinkel, Todd J.; Dean, Richard J.; Hacker, Scott C.; Harrington, Douglas W.; Salazar, Frank

    2004-01-01

    The figure depicts an apparatus for mechanical testing of nuts. In the original application for which the apparatus was developed, the nuts are of a frangible type designed for use with pyrotechnic devices in spacecraft applications in which there are requirements for rapid, one-time separations of structures that are bolted together. The apparatus can also be used to test nonfrangible nuts engaged without pyrotechnic devices. This apparatus was developed to replace prior testing systems that were extremely heavy and immobile and characterized by long setup times (of the order of an hour for each nut to be tested). This apparatus is mobile, and the setup for each test can now be completed in about five minutes. The apparatus can load a nut under test with a static axial force of as much as 6.8 x 10(exp 5) lb (3.0 MN) and a static moment of as much as 8.5 x 10(exp 4) lb in. (9.6 x 10(exp 3) N(raised dot)m) for a predetermined amount of time. In the case of a test of a frangible nut, the pyrotechnic devices can be exploded to break the nut while the load is applied, in which case the breakage of the nut relieves the load. The apparatus can be operated remotely for safety during an explosive test. The load-generating portion of the apparatus is driven by low-pressure compressed air; the remainder of the apparatus is driven by 110-Vac electricity. From its source, the compressed air is fed to the apparatus through a regulator and a manually operated valve. The regulated compressed air is fed to a pneumatically driven hydraulic pump, which pressurizes oil in a hydraulic cylinder, thereby causing a load to be applied via a hydraulic nut (not to be confused with the nut under test). During operation, the hydraulic pressure is correlated with the applied axial load, which is verified by use of a load cell. Prior to operation, one end of a test stud (which could be an ordinary threaded rod or bolt) is installed in the hydraulic nut. The other end of the test stud passes

  11. Radiative Gasification Apparatus

    Data.gov (United States)

    Federal Laboratory Consortium — This apparatus, developed at EL, determines gasification rate (mass loss rate) of a horizontally oriented specimen exposed in a nitrogen environment to a controlled...

  12. Electroplating method and apparatus

    International Nuclear Information System (INIS)

    Looney, R.B.; Smith, W.E.L.

    1978-01-01

    Disclosed is an apparatus for high speed electroplating or anodizing tubular members such as nuclear reactor fuel elements. A loading arm positions the member on a base for subsequent support by one of two sets of electrical contacts. A carriage assembly positions electrodes into and around the member. Electrolyte is pumped between the electrodes and the member while electric current is applied. Programmed controls sequentially employ each of the two sets of contacts to expose all surfaces of the member to the electrolyte. The member is removed from the apparatus by an unloading arm

  13. Electroplating method and apparatus

    Science.gov (United States)

    Looney, Robert B.; Smith, William E. L.

    1978-06-20

    An apparatus for high speed electroplating or anodizing tubular members such as nuclear reactor fuel elements. A loading arm positions the member on a base for subsequent support by one of two sets of electrical contacts. A carriage assembly positions electrodes into and around the member. Electrolyte is pumped between the electrodes and the member while electric current is applied. Programmed controls sequentially employ each of the two sets of contacts to expose all surfaces of the member to the electrolyte. The member is removed from the apparatus by an unloading arm.

  14. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  15. Robotic UV-Vis apparatus for long-term characterization of drug release from nanochannels

    International Nuclear Information System (INIS)

    Geninatti, T; Grattoni, A; Small, E

    2014-01-01

    Reliable monitoring of the kinetics of molecular release from drug delivery devices is crucial for their therapeutic success. Commercially available UV-Vis spectrophotometers provide reliable quantification of analyte concentrations directly correlated to the absorbance of fluids. However, they are not suitable for long-term measurements requiring high frequency of sampling from a large number of replicates and continuous fluid mixing, all of which are necessary for evaluation of drug delivery devices. To address this need, we developed a novel robotic apparatus serially connected to a commercial UV-Vis spectrophotometer. The robotic apparatus enables us to automatically and reliably acquire long-term data for up to 48 samples with high frequency of measurements and independent magnetic stirring. We equipped the robotic apparatus with independent connectors that allowed us to apply an electric potential to each sample for electrokinetic studies. The apparatus repeatability and accuracy was demonstrated in comparison to a commercial UV-Vis spectrophotometer. The system was successfully employed to characterize the diffusion kinetics of acetone and doxorubicin through nanochannel membranes (nDS) designed for long-term drug delivery. Dendritic fullerene 1 was used to show that the robotic apparatus routes the electric potential to nanochannel membranes enabling us to investigate the actively controlled release of molecules. Our results demonstrate that the robotic apparatus could widely broaden the range of applications of UV-Vis spectrophotometry, especially in the case of large sample processing and for long-term diffusive and electrokinetic studies in drug delivery. (technical design note)

  16. The Acoustical Apparatus of Rudolph Koenig.

    Science.gov (United States)

    Greenslade, Thomas B., Jr.

    1992-01-01

    Discusses the history of Rudolph Koenig's contribution to the development of acoustical apparatus. Contributions include the clock fork to determine absolute acoustic frequencies, a forerunner of the oscilloscope called the manometric flame, and an acoustic interference apparatus used in the Fourier synthesis of musical sounds. (MDH)

  17. BROACHING AND TUBE-INSTALLING APPARATUS

    Science.gov (United States)

    Frantz, C.E.; Cawley, W.E.

    1961-05-16

    An apparatus is given for sizing long holes in graphite bodies. The apparatus comprises a shaft having 3 spiral broach cutting elements and a straight broach cutting element rotatably mounted thereon. The broach cutting elements are keyed to each other in end to end relationship with the straight broach cutting element at one end of the shaft. The spiral broach cutting elements when considered toward the straight broach cutting element increase in diameter and the cutting teeth thereon increase in lead angle. The straight broach cutting element, when considered in the same direction, increases in diameter from the minimum to maximum diameters of the spiral broach cutting elements. No longitudinal movement of the broach cutting elements is permitted on the shsft snd means are provided for the removal of chips from the apparatus.

  18. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  19. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  20. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  1. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  2. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  3. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  4. Imaging the motion of electrons in 2D semiconductor heterostructures

    Science.gov (United States)

    Dani, Keshav

    Technological progress since the late 20th century has centered on semiconductor devices, such as transistors, diodes, and solar cells. At the heart of these devices, is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. In this talk, we combine femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy to image the motion of photoexcited electrons from high-energy to low-energy states in a 2D InSe/GaAs heterostructure exhibiting a type-II band alignment. At the instant of photoexcitation, energy-resolved photoelectron images reveal a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observe the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we make a movie lasting a few tens of picoseconds of the electron transfer process in the photoexcited type-II heterostructure - a fundamental phenomenon in semiconductor devices like solar cells. Quantitative analysis and theoretical modeling of spatial variations in the video provide insight into future solar cells, electron dynamics in 2D materials, and other semiconductor devices.

  5. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  6. 47 CFR 32.2311 - Station apparatus.

    Science.gov (United States)

    2010-10-01

    ... FOR TELECOMMUNICATIONS COMPANIES Instructions for Balance Sheet Accounts § 32.2311 Station apparatus... company shall prepare a list of station apparatus which shall be used as its list of disposition units for... technicians, and others, shall be included in Account 2114, Tools and other work equipment, Account 2220...

  7. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    Science.gov (United States)

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  8. low-cost apparatus from locally available materials for teaching

    African Journals Online (AJOL)

    unesco

    twofold: i) to design and produce appropriate low cost apparatus from locally .... How are the low-cost and manufactured apparatus compared in terms of cost and efficiency? ... BASIC TOOLS FOR THE LOW COST APPARATUS PRODUCTION.

  9. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  10. Radiation doping methods of semiconductor materials: the nuclear doping by charged particles

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.

    1996-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) by charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monograths and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. (author)

  11. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  12. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  13. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  14. Thermal energy storage apparatus, controllers and thermal energy storage control methods

    Science.gov (United States)

    Hammerstrom, Donald J.

    2016-05-03

    Thermal energy storage apparatus, controllers and thermal energy storage control methods are described. According to one aspect, a thermal energy storage apparatus controller includes processing circuitry configured to access first information which is indicative of surpluses and deficiencies of electrical energy upon an electrical power system at a plurality of moments in time, access second information which is indicative of temperature of a thermal energy storage medium at a plurality of moments in time, and use the first and second information to control an amount of electrical energy which is utilized by a heating element to heat the thermal energy storage medium at a plurality of moments in time.

  15. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  16. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  17. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  18. Key techniques for space-based solar pumped semiconductor lasers

    Science.gov (United States)

    He, Yang; Xiong, Sheng-jun; Liu, Xiao-long; Han, Wei-hua

    2014-12-01

    In space, the absence of atmospheric turbulence, absorption, dispersion and aerosol factors on laser transmission. Therefore, space-based laser has important values in satellite communication, satellite attitude controlling, space debris clearing, and long distance energy transmission, etc. On the other hand, solar energy is a kind of clean and renewable resources, the average intensity of solar irradiation on the earth is 1353W/m2, and it is even higher in space. Therefore, the space-based solar pumped lasers has attracted much research in recent years, most research focuses on solar pumped solid state lasers and solar pumped fiber lasers. The two lasing principle is based on stimulated emission of the rare earth ions such as Nd, Yb, Cr. The rare earth ions absorb light only in narrow bands. This leads to inefficient absorption of the broad-band solar spectrum, and increases the system heating load, which make the system solar to laser power conversion efficiency very low. As a solar pumped semiconductor lasers could absorb all photons with energy greater than the bandgap. Thus, solar pumped semiconductor lasers could have considerably higher efficiencies than other solar pumped lasers. Besides, solar pumped semiconductor lasers has smaller volume chip, simpler structure and better heat dissipation, it can be mounted on a small satellite platform, can compose satellite array, which can greatly improve the output power of the system, and have flexible character. This paper summarizes the research progress of space-based solar pumped semiconductor lasers, analyses of the key technologies based on several application areas, including the processing of semiconductor chip, the design of small and efficient solar condenser, and the cooling system of lasers, etc. We conclude that the solar pumped vertical cavity surface-emitting semiconductor lasers will have a wide application prospects in the space.

  19. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  20. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the