WorldWideScience

Sample records for semiconductor materials process

  1. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  2. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  3. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  4. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  5. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  6. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  7. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  8. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  9. TXRF applications for semiconductor materials and process characterization

    International Nuclear Information System (INIS)

    Zaitz, M.A.

    2000-01-01

    In the past 30 years, the semiconductor industry has undergone a dramatic evolution in technology which now has become part of our daily lives. The density of transistors on a chip has grown exponentially, approximately doubling every 18 months or increasing 3200 times. Early chips from the 1970's had about 2300 components on them compared to 7.5 million on today's sophisticated microprocessors. It is an exhausting pace with no let up in sight. Traditional materials are no longer keeping pace. Smaller and smaller circuits require alternative materials and processes. New materials such as high k and low k dielectric are being evaluated to replace silicon dioxide both as a gate material and as an insulator. Copper wiring which has less resistance thereby increasing signal speed is well into manufacturing. Other technologies such as SOI (silicon on insulator) are good candidates to win the battle of speed and performance. To keep this pace of phenomenal creativity going, material characterization and process development needs novel and innovative techniques. The versatility of total reflection x-ray florescence (TXRF) makes it an ideal analytical instrument for research and development studies for ultra trace metal analysis. TXRF can easily measure the surfaces of thin metallic films, but also both low and high K dielectric materials for ultra trace contamination levels. The multiple element capability provides accurate quantitative data over a wide range of elements. Nontraditional elements such as argon which is easily trapped in films during the sputter deposition process are easily detected by TXRF. Advances in light element; Al, Na, Mg, are providing information that was very difficult and time consuming to obtain by other analytical techniques. TXRF analysis on wafers show aluminum contamination patterns from a brush clean study and an ion implanted, shallow doped study. The silicon wafer is the perfect carrier for a TXRF analysis- smooth and highly polished for

  10. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  11. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  12. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  13. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  14. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  15. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  16. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  17. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  18. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  19. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    Science.gov (United States)

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Density functional theory and beyond-opportunities for quantum methods in materials modeling semiconductor technology

    International Nuclear Information System (INIS)

    Shankar, Sadasivan; Simka, Harsono; Haverty, Michael

    2008-01-01

    In the semiconductor industry, the use of new materials has been increasing with the advent of nanotechnology. As critical dimensions decrease, and the number of materials increases, the interactions between heterogeneous materials themselves and processing increase in complexity. Traditionally, applications of ab initio techniques are confined to electronic structure and band gap calculations of bulk materials, which are then used in coarse-grained models such as mesoscopic and continuum models. Density functional theory is the most widely used ab initio technique that was successfully extended to several applications. This paper illustrates applications of density functional theory to semiconductor processes and proposes further opportunities for use of such techniques in process development

  1. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  2. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  3. Fundamentals of semiconductors physics and materials properties

    CERN Document Server

    Yu, Peter Y

    2005-01-01

    Provides detailed explanations of the electronic, vibrational, transport, and optical properties of semiconductors. This textbook emphasizes understanding the physical properties of Si and similar tetrahedrally coordinated semiconductors and features an extensive collection of tables of material parameters, figures, and problems.

  4. Synthesis and characterization of a new organic semiconductor material

    Energy Technology Data Exchange (ETDEWEB)

    Tiffour, Imane [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); Dehbi, Abdelkader [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Mourad, Abdel-Hamid I., E-mail: ahmourad@uaeu.ac.ae [Mechanical Engineering Department, Faculty of Engineering, United Arab Emirates University, Al-Ain, P.O. Box 15551 (United Arab Emirates); Belfedal, Abdelkader [Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); LPCMME, Département de Physique, Université d' Oran Es-sénia, 3100 Oran (Algeria)

    2016-08-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε{sub r}, the activation energy E{sub a}, the optical transmittance T and the gap energy E{sub g} have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10{sup −5} S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10{sup −4} S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ{sub max}) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  5. Synthesis and characterization of a new organic semiconductor material

    International Nuclear Information System (INIS)

    Tiffour, Imane; Dehbi, Abdelkader; Mourad, Abdel-Hamid I.; Belfedal, Abdelkader

    2016-01-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε_r, the activation energy E_a, the optical transmittance T and the gap energy E_g have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10"−"5 S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10"−"4 S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ_m_a_x) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  6. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  7. Liquid Crystalline Semiconductors Materials, properties and applications

    CERN Document Server

    Kelly, Stephen; O'Neill, Mary

    2013-01-01

    This is an exciting stage in the development of organic electronics. It is no longer an area of purely academic interest as increasingly real applications are being developed, some of which are beginning to come on-stream. Areas that have already been commercially developed or which are under intensive development include organic light emitting diodes (for flat panel displays and solid state lighting), organic photovoltaic cells, organic thin film transistors (for smart tags and flat panel displays) and sensors. Within the family of organic electronic materials, liquid crystals are relative newcomers. The first electronically conducting liquid crystals were reported in 1988 but already a substantial literature has developed. The advantage of liquid crystalline semiconductors is that they have the easy processability of amorphous and polymeric semiconductors but they usually have higher charge carrier mobilities. Their mobilities do not reach the levels seen in crystalline organics but they circumvent all of t...

  8. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  9. III-V semiconductor materials and devices

    CERN Document Server

    Malik, R J

    1989-01-01

    The main emphasis of this volume is on III-V semiconductor epitaxial and bulk crystal growth techniques. Chapters are also included on material characterization and ion implantation. In order to put these growth techniques into perspective a thorough review of the physics and technology of III-V devices is presented. This is the first book of its kind to discuss the theory of the various crystal growth techniques in relation to their advantages and limitations for use in III-V semiconductor devices.

  10. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  11. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  12. Characterization of advanced semiconductor materials by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Ishibashi, Shoji

    2005-01-01

    Positron annihilation is an established technique for investigating vacancy-type defects near surfaces or interfaces. Using this technique, one can identify defect species in a nondestructive manner. Because there is no restriction of sample conductivity or temperature, this technique can be applied to a various materials, such as semiconductors, metals, metal oxides, and polymers. The positron annihilation has been applied to the studies of Si-technology related materials, which show that it can provide useful information for the development of semiconductor devices. In this article, we report the results obtained for electroplated Cu, strained Si and high-k materials. (author)

  13. A non-linear optimal control problem in obtaining homogeneous concentration for semiconductor materials

    International Nuclear Information System (INIS)

    Huang, C.-H.; Li, J.-X.

    2006-01-01

    A non-linear optimal control algorithm is examined in this study for the diffusion process of semiconductor materials. The purpose of this algorithm is to estimate an optimal control function such that the homogeneity of the concentration can be controlled during the diffusion process and the diffusion-induced stresses for the semiconductor materials can thus be reduced. The validation of this optimal control analysis utilizing the conjugate gradient method of minimization is analysed by using numerical experiments. Three different diffusion processing times are given and the corresponding optimal control functions are to be determined. Results show that the diffusion time can be shortened significantly by applying the optimal control function at the boundary and the homogeneity of the concentration is also guaranteed. This control function can be obtained within a very short CPU time on a Pentium III 600 MHz PC

  14. Conduit for high temperature transfer of molten semiconductor crystalline material

    Science.gov (United States)

    Fiegl, George (Inventor); Torbet, Walter (Inventor)

    1983-01-01

    A conduit for high temperature transfer of molten semiconductor crystalline material consists of a composite structure incorporating a quartz transfer tube as the innermost member, with an outer thermally insulating layer designed to serve the dual purposes of minimizing heat losses from the quartz tube and maintaining mechanical strength and rigidity of the conduit at the elevated temperatures encountered. The composite structure ensures that the molten semiconductor material only comes in contact with a material (quartz) with which it is compatible, while the outer layer structure reinforces the quartz tube, which becomes somewhat soft at molten semiconductor temperatures. To further aid in preventing cooling of the molten semiconductor, a distributed, electric resistance heater is in contact with the surface of the quartz tube over most of its length. The quartz tube has short end portions which extend through the surface of the semiconductor melt and which are lef bare of the thermal insulation. The heater is designed to provide an increased heat input per unit area in the region adjacent these end portions.

  15. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  16. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  17. Ion-solid interactions for materials modification and processing

    International Nuclear Information System (INIS)

    Poker, D.B.; Ila, D.; Cheng, Y.T.; Harriott, L.R.; Sigmon, T.W.

    1996-01-01

    Topics ranged from the very fundamental ion-solid interactions to the highly device-oriented semiconductor applications. Highlights of the symposium featured in this volume include: nanocrystals in insulators, plasma immersion ion implantation. Focused ion beams, molecular dynamics simulations of ion-surface interactions, ion-beam mixing of insulators, GeV ion irradiation, electro-optical materials, polymers, tribological materials, and semiconductor processing. Separate abstracts were prepared for most papers in this volume

  18. Nature of radiative recombination processes in layered semiconductor PbCdI{sub 2} nanostructural scintillation material

    Energy Technology Data Exchange (ETDEWEB)

    Bukivskii, A.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gnatenko, Yu.P., E-mail: yuriygnatenko@ukr.net [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Piryatinskii, Yu.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gamernyk, R.V. [Lviv National University, 8 Kyryl o and Mefodiy Str., 29005 Lviv (Ukraine)

    2017-05-15

    We report on the efficient photoluminescence (PL) and radioluminescence (RL) of the PbI{sub 2} nanoclusters (NCLs), which are naturally formed in the nanostructured Pb{sub 1-X}Cd{sub x}I{sub 2} alloys (X=0.70). Here, we carried out the studies of the nature of radiative recombination processes in the NCLs of various sizes by measuring PL temperature evolution. Our results indicate that at low temperatures the PL is mainly caused by exciton emission and recombination of donor-acceptor pairs, generated in volume of large NCLs. The broad bands, which are associated with the deep intrinsic surface states, including self-trapped excitons (STEs), are dominant in the PL spectra at higher temperature (>100 K). Our work shows that the nature of emission, associated with RL bands is analogous to that for PL bands. It was shown that the investigated nanostructured material is strongly radiation-resistant. Thus, the Pb{sub 1-X}Cd{sub X}I{sub 2} alloys can be considered as new effective layered semiconductor nanostructured materials which can be suitable for the elaboration of perspective semiconductor scintillators. These nanomaterials have promising prospects for applications in new generations of devices for biomedical diagnostics and industrial imaging applications. - Highlights: •The intense PL and RL of nanostructural PbCdI{sub 2} alloys were observed. •The nature of recombination processes of the nanoscintillators was established. •The low temperature PL is caused by exciton and donor-acceptor pairs recombination. •The broad PL bands are due to the deep intrinsic states formed on the NCLs surface. •The PL associated with STEs for NCLs of different sizes was analyzed in detail. •It was shown that the nature of PL and RL spectra is same.

  19. The materials processing research base of the Materials Processing Center

    Science.gov (United States)

    Latanision, R. M.

    1986-01-01

    An annual report of the research activities of the Materials Processing Center of the Massachusetts Institute of Technology is given. Research on dielectrophoresis in the microgravity environment, phase separation kinetics in immiscible liquids, transport properties of droplet clusters in gravity-free fields, probes and monitors for the study of solidification of molten semiconductors, fluid mechanics and mass transfer in melt crystal growth, and heat flow control and segregation in directional solidification are discussed.

  20. Semiconductor neutron detectors based on new types of materials

    International Nuclear Information System (INIS)

    Pochet, T.; Foulon, F.

    1993-01-01

    Neutron detection in hostile environments such as nuclear reactors has been performed using a new kind of semiconductor detector. So far, crystalline semiconductor detectors are not used in nuclear reactor instrumentation because of their sensitivity to radiation damage. For doses in excess of a few tens of kilo rads, radiation induced lattice defects produce a strong loss in the standard semiconductor detector performances. In the last few years, new semiconductor materials having amorphous or polycrystalline structures such as silicon, silicon carbide or CVD diamond, became available. These semiconductors, produced by Chemical Vapor Deposition, come in the form of thin layers being typically a few tens of micron thick. Their crystalline structure is particularly resistant to radiation damage up to a few Mrads but prevent the material use in spectrometry measurements. Nevertheless, these detectors, working in a counting mode, are suitable for the detection of alpha particles produced by the neutron capture reaction with boron. Such thin film detectors have a very poor sensitivity to γ-ray background. Furthermore, they are easier and cheaper to implement than current neutron gas counters. Preliminary results obtained with diamond and amorphous silicon diodes exposed to α particles are presented. (authors). 7 figs., 3 tabs., 11 refs

  1. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  2. TCT characterization of different semiconductor materials for particle detection

    International Nuclear Information System (INIS)

    Fink, J.; Lodomez, P.; Krueger, H.; Pernegger, H.; Weilhammer, P.; Wermes, N.

    2006-01-01

    The development of digital semiconductor based X-ray detectors necessitates a detailed understanding of the applied sensor material. Under this premise a broad-band transient current technique (TCT) setup has been developed and used to characterize different semiconductors. The measurements are based on the generation of electrical charges within the sensor material and the subsequent time-resolved analysis of the charge carrier movement. From the recorded current pulses the charge collection efficiency, the charge carrier mobility and the electric field profile have been extracted. The examined materials are silicon p in n diodes, ohmic and Schottky contacted CdTe detectors, CdZnTe (CZT) crystals with Schottky contacts as well as two single-crystal CVD-diamonds

  3. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  4. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  5. PRISM -- A tool for modelling proton energy deposition in semiconductor materials

    International Nuclear Information System (INIS)

    Oldfield, M.K.; Underwood, C.I.

    1996-01-01

    This paper presents a description of, and test results from, a new PC based software simulation tool PRISM (Protons in Semiconductor Materials). The model describes proton energy deposition in complex 3D sensitive volumes of semiconductor materials. PRISM is suitable for simulating energy deposition in surface-barrier detectors and semiconductor memory devices, the latter being susceptible to Single-Event Upset (SEU) and Multiple-Bit Upset (MBU). The design methodology on which PRISM is based, together with the techniques used to simulate ion transport and energy deposition, are described. Preliminary test results used to analyze the PRISM model are presented

  6. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  7. Fundamentals of semiconductors physics and materials properties

    CERN Document Server

    Yu, Peter Y

    2010-01-01

    This fourth edition of the well-established Fundamentals of Semiconductors serves to fill the gap between a general solid-state physics textbook and research articles by providing detailed explanations of the electronic, vibrational, transport, and optical properties of semiconductors. The approach is physical and intuitive rather than formal and pedantic. Theories are presented to explain experimental results. This textbook has been written with both students and researchers in mind. Its emphasis is on understanding the physical properties of Si and similar tetrahedrally coordinated semiconductors. The explanations are based on physical insights. Each chapter is enriched by an extensive collection of tables of material parameters, figures, and problems. Many of these problems "lead the student by the hand" to arrive at the results. The major changes made in the fourth edition include: an extensive appendix about the important and by now well-established deep center known as the DX center, additional problems...

  8. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  9. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  10. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  11. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  12. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  13. High-performance green semiconductor devices: materials, designs, and fabrication

    Science.gov (United States)

    Jung, Yei Hwan; Zhang, Huilong; Gong, Shaoqin; Ma, Zhenqiang

    2017-06-01

    From large industrial computers to non-portable home appliances and finally to light-weight portable gadgets, the rapid evolution of electronics has facilitated our daily pursuits and increased our life comforts. However, these rapid advances have led to a significant decrease in the lifetime of consumer electronics. The serious environmental threat that comes from electronic waste not only involves materials like plastics and heavy metals, but also includes toxic materials like mercury, cadmium, arsenic, and lead, which can leak into the ground and contaminate the water we drink, the food we eat, and the animals that live around us. Furthermore, most electronics are comprised of non-renewable, non-biodegradable, and potentially toxic materials. Difficulties in recycling the increasing amount of electronic waste could eventually lead to permanent environmental pollution. As such, discarded electronics that can naturally degrade over time would reduce recycling challenges and minimize their threat to the environment. This review provides a snapshot of the current developments and challenges of green electronics at the semiconductor device level. It looks at the developments that have been made in an effort to help reduce the accumulation of electronic waste by utilizing unconventional, biodegradable materials as components. While many semiconductors are classified as non-biodegradable, a few biodegradable semiconducting materials exist and are used as electrical components. This review begins with a discussion of biodegradable materials for electronics, followed by designs and processes for the manufacturing of green electronics using different techniques and designs. In the later sections of the review, various examples of biodegradable electrical components, such as sensors, circuits, and batteries, that together can form a functional electronic device, are discussed and new applications using green electronics are reviewed.

  14. Trace analysis of semiconductor materials

    CERN Document Server

    Cali, J Paul; Gordon, L

    1964-01-01

    Trace Analysis of Semiconductor Materials is a guidebook concerned with procedures of ultra-trace analysis. This book discusses six distinct techniques of trace analysis. These techniques are the most common and can be applied to various problems compared to other methods. Each of the four chapters basically includes an introduction to the principles and general statements. The theoretical basis for the technique involved is then briefly discussed. Practical applications of the techniques and the different instrumentations are explained. Then, the applications to trace analysis as pertaining

  15. Characterisation of semiconductor materials for ionising radiation detectors

    International Nuclear Information System (INIS)

    Vaitkus, J.; Gaubas, E.; Jasinskaite, R.; Juska, G.; Kazukauskas, V.; Puras, R.; Rahman, M.; Sakalauskas, S.; Smith, K.

    2002-01-01

    Methods for the detection and characterisation of semiconductor material parameters and inhomogeneities are analysed. The peculiarities of different 'classical' material and structure characterisation methods are discussed. The methods of lifetime and surface recombination mapping and electric field distribution in the samples are presented. Some results of investigations of GaAs, Si and SiC are used for the characterisation of different peculiarities or methods

  16. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  17. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  18. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  19. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  20. Material Engineering for Monolithic Semiconductor Mode-Locked Lasers

    DEFF Research Database (Denmark)

    Kulkova, Irina

    This thesis is devoted to the materials engineering for semiconductor monolithic passively mode-locked lasers (MLLs) as a compact energy-efficient source of ultrashort optical pulses. Up to the present day, the achievement of low-noise sub-picosecond pulse generation has remained a challenge...

  1. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  2. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. The fabrication of carbon nanotube field-effect transistors with semiconductors as the source and drain contact materials.

    Science.gov (United States)

    Xiao, Z; Camino, F E

    2009-04-01

    Sb(2)Te(3) and Bi(2)Te(2)Se semiconductor materials were used as the source and drain contact materials in the fabrication of carbon nanotube field-effect transistors (CNTFETs). Ultra-purified single-walled carbon nanotubes (SWCNTs) were ultrasonically dispersed in N-methyl pyrrolidone solvent. Dielectrophoresis was used to deposit and align SWCNTs for fabrication of CNTFETs. The Sb(2)Te(3)- and Bi(2)Te(2)Se-based CNTFETs demonstrate p-type metal-oxide-silicon-like I-V curves with high on/off drain-source current ratio at large drain-source voltages and good saturation of drain-source current with increasing drain-source voltage. The fabrication process developed is novel and has general meaning, and could be used for the fabrication of SWCNT-based integrated devices and systems with semiconductor contact materials.

  4. Neutron detection using boron gallium nitride semiconductor material

    Directory of Open Access Journals (Sweden)

    Katsuhiro Atsumi

    2014-03-01

    Full Text Available In this study, we developed a new neutron-detection device using a boron gallium nitride (BGaN semiconductor in which the B atom acts as a neutron converter. BGaN and gallium nitride (GaN samples were grown by metal organic vapor phase epitaxy, and their radiation detection properties were evaluated. GaN exhibited good sensitivity to α-rays but poor sensitivity to γ-rays. Moreover, we confirmed that electrons were generated in the depletion layer under neutron irradiation. This resulted in a neutron-detection signal after α-rays were generated by the capture of neutrons by the B atoms. These results prove that BGaN is useful as a neutron-detecting semiconductor material.

  5. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  6. Wide-gap layered oxychalcogenide semiconductors: Materials, electronic structures and optoelectronic properties

    International Nuclear Information System (INIS)

    Ueda, Kazushige; Hiramatsu, Hidenori; Hirano, Masahiro; Kamiya, Toshio; Hosono, Hideo

    2006-01-01

    Applying the concept of materials design for transparent conductive oxides to layered oxychalcogenides, several p-type and n-type layered oxychalcogenides were proposed as wide-gap semiconductors and their basic optical and electrical properties were examined. The layered oxychalcogenides are composed of ionic oxide layers and covalent chalcogenide layers, which bring wide-gap and conductive properties to these materials, respectively. The electronic structures of the materials were examined by normal/inverse photoemission spectroscopy and energy band calculations. The results of the examinations suggested that these materials possess unique features more than simple wide-gap semiconductors. Namely, the layered oxychalcogenides are considered to be extremely thin quantum wells composed of the oxide and chalcogenide layers or 2D chalcogenide crystals/molecules embedded in an oxide matrix. Observation of step-like absorption edges, large band gap energy and large exciton binding energy demonstrated these features originating from 2D density of states and quantum size effects in these layered materials

  7. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors.

    Science.gov (United States)

    Jie, Wenjing; Hao, Jianhua

    2014-06-21

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  8. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  9. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  10. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  11. Excitonic and photonic processes in materials

    CERN Document Server

    Williams, Richard

    2015-01-01

    This book is expected to present state-of-the-art understanding of a selection of excitonic and photonic processes in useful materials from semiconductors to insulators to metal/insulator nanocomposites, both inorganic and organic.  Among the featured applications are components of solar cells, detectors, light-emitting devices, scintillators, and materials with novel optical properties.  Excitonic properties are particularly important in organic photovoltaics and light emitting devices, as also in questions of the ultimate resolution and efficiency of new-generation scintillators for medical diagnostics,  border security, and nuclear nonproliferation.  Novel photonic and optoelectronic applications benefit from new material combinations and structures to be discussed.

  12. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  13. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  15. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  16. Hydrogen-bond Specific Materials Modification in Group IV Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Tolk, Norman H. [Vanderbilt Univ., Nashville, TN (United States); Feldman, L. C. [Vanderbilt Univ., Nashville, TN (United States); Luepke, G. [College of William and Mary, Williamsburg, VA (United States)

    2015-09-14

    impurity states under transient compression. This research focused on the characterization of photon and ion stimulated hydrogen related defect and impurity reactions and migration in solid state matter, which requires a detailed understanding of the rates and pathways of vibrational energy flow, of the transfer channels and of the coupling mechanisms between local vibrational modes (LVMs) and phonon bath as well as the electronic system of the host material. It should be stressed that researchers at Vanderbilt and William and Mary represented a unique group with a research focus and capabilities for low temperature creation and investigation of such material systems. Later in the program, we carried out a vigorous research effort addressing the roles of defects, interfaces, and dopants on the optical and electronic characteristics of semiconductor crystals, using phonon generation by means of ultrafast coherent acoustic phonon (CAP) spectroscopy, nonlinear characterization using second harmonic generation (SHG), and ultrafast pump-and-probe reflectivity and absorption measurements. This program featured research efforts from hydrogen defects in silicon alone to other forms of defects such as interfaces and dopant layers, as well as other important semiconducting systems. Even so, the emphasis remains on phenomena and processes far from equilibrium, such as hot electron effects and travelling localized phonon waves. This program relates directly to the mission of the Department of Energy. Knowledge of the rates and pathways of vibrational energy flow in condensed matter is critical for understanding dynamical processes in solids including electronically, optically and thermally stimulated defect and impurity reactions and migration. The ability to directly probe these pathways and rates allows tests of theory and scaling laws at new levels of precision. Hydrogen embedded in model crystalline semiconductors and metal oxides is of particular interest, since the associated

  17. Advanced electrical and electronics materials processes and applications

    CERN Document Server

    Gupta, K M

    2015-01-01

    This comprehensive and unique book is intended to cover the vast and fast-growing field of electrical and electronic materials and their engineering in accordance with modern developments.   Basic and pre-requisite information has been included for easy transition to more complex topics. Latest developments in various fields of materials and their sciences/engineering, processing and applications have been included. Latest topics like PLZT, vacuum as insulator, fiber-optics, high temperature superconductors, smart materials, ferromagnetic semiconductors etc. are covered. Illustrations and exa

  18. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  19. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  20. Reduced filamentation in high power semiconductor lasers

    DEFF Research Database (Denmark)

    Skovgaard, Peter M. W.; McInerney, John; O'Brien, Peter

    1999-01-01

    High brightness semiconductor lasers have applications in fields ranging from material processing to medicine. The main difficulty associated with high brightness is that high optical power densities cause damage to the laser facet and thus require large apertures. This, in turn, results in spatio......-temporal instabilities such as filamentation which degrades spatial coherence and brightness. We first evaluate performance of existing designs with a “top-hat” shaped transverse current density profile. The unstable nature of highly excited semiconductor material results in a run-away process where small modulations...

  1. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  2. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  3. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  4. Evaluation of Novel Semiconductor Materials Potentially Useful in Solar Cells: Cooperative Research and Development Final Report, CRADA number CRD-06-00172

    Energy Technology Data Exchange (ETDEWEB)

    Geisz, J.

    2010-07-01

    Evaluation of novel semiconductor materials potentially useful in solar cells. NREL will fabricate, test and analyze solar cells from EpiWorks' wafers produced in 2-3 separate growth campaigns. NREL will also characterize material from 2-3 separate EpiWorks material development campaigns. Finally, NREL will visit EpiWorks and help establish any necessary process, such as spectral CV measurements and III-V on Si metalization processes and help validate solar cell designs and performance.

  5. Volatile organometallic and semiconductor materials

    International Nuclear Information System (INIS)

    Dickson, R.S.

    1991-01-01

    This article reports on a project concerned with the metal organic chemical vapour deposition (MOCVD) of mercury-cadmium telluride (MCT) undertaken by a research consortium based in the Clayton area involving Monash University Chemistry Department, Telecom Research Laboratories, and CSIRO Division of Material Sciences and Technology. An M.R. Semicon 226 MOCVD reactor, operating near atmospheric presure with hydrogen carrier gas has been used. Most applications of MCT are direct consequence of its responsiveness to radiation in infrared region spectrum. The main aims of the project were to prepare and assess a range of volatile organometallics that might find use as a dopant sources for MCT, to prepare and study the properties of a range of different lanthanide complexes for MOCVD applications and to fully characterize the semiconductor wafers after growth. 19 refs., 3 figs

  6. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  7. CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A structure including a film of a desired perovskite oxide which overlies and is fully commensurate with the material surface of a semiconductor-based substrate and an associated process for constructing the structure involves the build up of an interfacial template film of perovskite between the material surface and the desired perovskite film. The lattice parameters of the material surface and the perovskite of the template film are taken into account so that during the growth of the perovskite template film upon the material surface, the orientation of the perovskite of the template is rotated 45.degree. with respect to the orientation of the underlying material surface and thereby effects a transition in the lattice structure from fcc (of the semiconductor-based material) to the simple cubic lattice structure of perovskite while the fully commensurate periodicity between the perovskite template film and the underlying material surface is maintained. The film-growth techniques of the invention can be used to fabricate solid state electrical components wherein a perovskite film is built up upon a semiconductor-based material and the perovskite film is adapted to exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic or large dielectric properties during use of the component.

  8. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  9. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  10. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  11. Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A crystalline structure and a semiconductor device includes a substrate of a semiconductor-based material and a thin film of an anisotropic crystalline material epitaxially arranged upon the surface of the substrate so that the thin film couples to the underlying substrate and so that the geometries of substantially all of the unit cells of the thin film are arranged in a predisposed orientation relative to the substrate surface. The predisposition of the geometries of the unit cells of the thin film is responsible for a predisposed orientation of a directional-dependent quality, such as the dipole moment, of the unit cells. The predisposed orientation of the unit cell geometries are influenced by either a stressed or strained condition of the lattice at the interface between the thin film material and the substrate surface.

  12. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  13. Laser materials processing with diode lasers

    OpenAIRE

    Li, Lin; Lawrence, Jonathan; Spencer, Julian T.

    1996-01-01

    Laser materials processing is currently dominated by CO2, Nd-YAG and Excimer lasers. Continuous advances in semiconductor laser technology over the last decade have increased the average power output of the devices annualy by two fold, resulting in the commercial availability of the diode lasers today with delivery output powers in excess of 60W in CW mode and 5kW in qasi-CW mode. The advantages of compactness, high reliability, high efficiency and potential low cost, due to the mass producti...

  14. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  15. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  16. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  17. High-temperature MEMS Heater Platforms: Long-term Performance of Metal and Semiconductor Heater Materials

    Directory of Open Access Journals (Sweden)

    Theodor Doll

    2006-04-01

    Full Text Available Micromachined thermal heater platforms offer low electrical power consumptionand high modulation speed, i.e. properties which are advantageous for realizing non-dispersive infrared (NDIR gas- and liquid monitoring systems. In this paper, we report oninvestigations on silicon-on-insulator (SOI based infrared (IR emitter devices heated byemploying different kinds of metallic and semiconductor heater materials. Our resultsclearly reveal the superior high-temperature performance of semiconductor over metallicheater materials. Long-term stable emitter operation in the vicinity of 1300 K could beattained using heavily antimony-doped tin dioxide (SnO2:Sb heater elements.

  18. Automated capacitive spectrometer for measuring the parameters of deep centers in semiconductor materials

    International Nuclear Information System (INIS)

    Shajmeev, S.S.

    1985-01-01

    An automated capacitive spectrometer for determining deep centers parameters in semiconductor materials and instruments is described. The facility can be used in studying electrically active defects (impurity, radiation, thermal) having deep levels in the forbidden semiconductor zone. The facility permits to determine the following parameters of the deep centers: concentration of each deep level taken separately within 5x10 -1 +-5x10 -15 of the alloying impurity concentration, level energy position in the forbidden semiconductor zone in the range from 0.08 MeV above the valency zone ceiling to 0.08 eV below the conductivity zone bottom, hole or electron capture cross-section on the deep center; concentration profile of deep levels

  19. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  20. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  1. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  2. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  3. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  4. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  5. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  6. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  7. Laser applications in materials processing

    International Nuclear Information System (INIS)

    Ready, J.F.

    1980-01-01

    The seminar focused on laser annealing of semiconductors, laser processing of semiconductor devices and formation of coatings and powders, surface modification with lasers, and specialized laser processing methods. Papers were presented on the theoretical analysis of thermal and mass transport during laser annealing, applications of scanning continuous-wave and pulsed lasers in silicon technology, laser techniques in photovoltaic applications, and the synthesis of ceramic powders from laser-heated gas-phase reactants. Other papers included: reflectance changes of metals during laser irradiation, surface-alloying using high-power continuous lasers, laser growth of silicon ribbon, and commercial laser-shock processes

  8. Materials for n-type organic electronics: synthesis and properties of fluoroarene-thiophene semiconductors

    Science.gov (United States)

    Facchetti, Antonio; Yoon, Myung-Han; Katz, Howard E.; Marks, Tobin J.

    2003-11-01

    Recent progress in the field of organic electronics is due to a fruitful combination of both innovative molecular design and promising low-cost material/device assembly. Targeting the first strategy, we present here the general synthesis of fluoroarene-containing thiophene-based semiconductors and the study of their properties with respect to the corresponding fluorine-free hole-transporting analogues. The new compounds have been characterized by elemental analysis, mass spectrometry, and 1H- and 19F NMR. The dramatic influence of fluorine substitution and molecular architecture has been investigated by solution/film optical absorption, fluorescence emission, and cyclic voltammetry. Single crystal data for all of the oligomers have been obtained and will be presented. Film microstructure and morphology of this new class of materials have been studied by XRD and SEM. Particular emphasis will be posed on the solution-processable oligomers and polymers.

  9. MATERIAL ELEMENT MODEL FOR EXTRINSIC SEMICONDUCTORS WITH DEFECTS OF DISLOCATION

    Directory of Open Access Journals (Sweden)

    Maria Paola Mazzeo

    2011-07-01

    Full Text Available In a previous paper we outlined a geometric model for the thermodynamic description of extrinsic semiconductors with defects of dislocation.Applying a geometrization technique, within the rationalextended irreversible thermodynamics with internal variables, the dynamical system for simple material elements of these media, the expressions of the entropy function and the entropy 1-form were obtained. In this contribution we deepen the study of this geometric model. We give a detailed description of the defective media under consideration and of the dislocation core tensor, we introduce the transformation induced by the process and, applying the closure conditions for the entropy 1-form, we derive the necessary conditions for the existence of the entropy function. These and other results are new in the paper.The derivation of the relevant entropy 1-form is the starting point to introduce an extended thermodynamical phase space.

  10. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  11. Ultrafast laser-semiconductor interactions

    International Nuclear Information System (INIS)

    Schile, L.A.

    1996-01-01

    Studies of the ultrafast (< 100 fs) interactions of infrared, sub-100 fs laser pulses with IR, photosensitive semiconductor materials InGaAs, InSb, and HgCdTe are reported. Both the carrier dynamics and the associated Terahertz radiation from these materials are discussed. The most recent developments of femtosecond (< 100 fs) Optical Parametric Oscillators (OPO) has extended the wavelength range from the visible to 5.2 μm. The photogenerated semiconductor free carrier dynamics are determined in the 77 to 300 degrees K temperature range using the Transmission Correlation Peak (TCP) method. The electron-phonon scattering times are typically 200 - 600 fs. Depending upon the material composition and substrate on which the IR crystalline materials are deposited, the nonlinear TCP absorption gives recombination rates as fast as 10's of picoseconds. For the HgCdTe, there exists a 400 fs electron-phonon scattering process along with a much longer 3600 fs loss process. Studies of the interactions of these ultrashort laser pulses with semiconductors produce Terahertz (Thz) radiative pulses. With undoped InSb, there is a substantial change in the spectral content of this THz radiation between 80 - 260 degrees K while the spectrum of Te-doped InSb remains nearly unchanged, an effect attributed to its mobility being dominated by impurity scattering. At 80 degrees K, the terahertz radiation from undoped InSb is dependent on wavelength, with both a higher frequency spectrum and much larger amplitudes generated at longer wavelengths. No such effect is observed at 260 degrees K. Finally, new results on the dependence of the emitted THz radiation on the InSb crystal's orientation is presented

  12. Radiation doping methods of semiconductor materials: the nuclear doping by charged particles

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.

    1996-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) by charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monograths and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. (author)

  13. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  14. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications - SiC, GaN, Ga2O3, and Diamond.

    Science.gov (United States)

    Wellmann, Peter J

    2017-11-17

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies.

  15. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  16. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  17. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  19. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  20. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  1. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  2. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  3. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  4. Binary copper oxide semiconductors: From materials towards devices

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, B.K.; Polity, A.; Reppin, D.; Becker, M.; Hering, P.; Klar, P.J.; Sander, T.; Reindl, C.; Benz, J.; Eickhoff, M.; Heiliger, C.; Heinemann, M. [1. Physics Institute, Justus-Liebig University of Giessen (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics (IEP), Otto-von-Guericke University Magdeburg (Germany); Shokovets, S. [Institute of Physics, Ilmenau University of Technology (Germany); Mueller, C.; Ronning, C. [Institute of Solid State Physics, Friedrich Schiller University Jena (Germany)

    2012-08-15

    Copper-oxide compound semiconductors provide a unique possibility to tune the optical and electronic properties from insulating to metallic conduction, from bandgap energies of 2.1 eV to the infrared at 1.40 eV, i.e., right into the middle of the efficiency maximum for solar-cell applications. Three distinctly different phases, Cu{sub 2}O, Cu{sub 4}O{sub 3}, and CuO, of this binary semiconductor can be prepared by thin-film deposition techniques, which differ in the oxidation state of copper. Their material properties as far as they are known by experiment or predicted by theory are reviewed. They are supplemented by new experimental results from thin-film growth and characterization, both will be critically discussed and summarized. With respect to devices the focus is on solar-cell performances based on Cu{sub 2}O. It is demonstrated by photoelectron spectroscopy (XPS) that the heterojunction system p-Cu{sub 2}O/n-AlGaN is much more promising for the application as efficient solar cells than that of p-Cu{sub 2}O/n-ZnO heterojunction devices that have been favored up to now. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Plastic Deformation as a Means to Achieve Stretchable Polymer Semiconductors

    Science.gov (United States)

    O'Connor, Brendan

    Developing intrinsically stretchable semiconductors will seamlessly transition traditional devices into a stretchable platform. Polymer semiconductors are inherently soft materials due to the weak van der Waal intermolecular bonding allowing for flexible devices. However, these materials are not typically stretchable and when large strains are applied they either crack or plastically deform. Here, we study the use of repeated plastic deformation as a means of achieving stretchable films. In this talk, critical aspects of polymer semiconductor material selection, morphology and interface properties will be discussed that enable this approach of achieving stretchable films. We show that one can employ high performance donor-acceptor polymer semiconductors that are typically brittle through proper polymer blending to significantly increase ductility to achieve stretchable films. We demonstrate a polymer blend film that can be repeatedly deformed over 65%, while maintaining charge mobility consistently above 0.15 cm2/Vs. During the stretching process we show that the films follow a well-controlled repeated deformation pattern for over 100 stretching cycles.

  6. Diffusion in Solids Fundamentals, Methods, Materials, Diffusion-Controlled Processes

    CERN Document Server

    Mehrer, Helmut

    2007-01-01

    Diffusion is a vital topic in solid-state physics and chemistry, physical metallurgy and materials science. Diffusion processes are ubiquitous in solids at elevated temperatures. A thorough understanding of diffusion in materials is crucial for materials development and engineering. This book first gives an account of the central aspects of diffusion in solids, for which the necessary background is a course in solid state physics. It then provides easy access to important information about diffuson in metals, alloys, semiconductors, ion-conducting materials, glasses and nanomaterials. Several diffusion-controlled phenomena, including ionic conduction, grain-boundary and dislocation pipe diffusion, are considered as well. Graduate students in solid-state physics, physical metallurgy, materials science, physical and inorganic chemistry or geophysics will benefit from this book as will physicists, chemists, metallurgists, materials engineers in academic and industrial research laboratories.

  7. Electronic fitness function for screening semiconductors as thermoelectric materials

    International Nuclear Information System (INIS)

    Xing, Guangzong; Sun, Jifeng; Li, Yuwei; Fan, Xiaofeng

    2017-01-01

    Here, we introduce a simple but efficient electronic fitness function (EFF) that describes the electronic aspect of the thermoelectric performance. This EFF finds materials that overcome the inverse relationship between σ and S based on the complexity of the electronic structures regardless of specific origin (e.g., isosurface corrugation, valley degeneracy, heavy-light bands mixture, valley anisotropy or reduced dimensionality). This function is well suited for application in high throughput screening. We applied this function to 75 different thermoelectric and potential thermoelectric materials including full- and half-Heuslers, binary semiconductors, and Zintl phases. We find an efficient screening using this transport function. The EFF identifies known high-performance p- and n-type Zintl phases and half-Heuslers. In addition, we find some previously unstudied phases with superior EFF.

  8. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  9. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  10. Photoreduction of carbon dioxide and water into formaldehyde and methanol on semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Aurian-Blajeni, B; Halmann, M; Manassen, J

    1980-01-01

    Heterogeneous photoassisted reduction of aqueous carbon dioxide was achieved using semiconductor powders, with either high-pressure Hg-lamps or sunlight as energy sources. The products were methanol, formaldehyde and methane. The reaction was carried out either as a gas-solid process, by passing carbon dioxide and water vapor over illuminated semiconductor surfaces, or as a liquid-solid reaction, by illuminating aqueous suspensions of semiconductor powders through which carbon dioxide was bubbled. Best results, under illumination by Hg-lamps, were obtained with aqueous suspensions of strontium titanate, SrTiO3, tungsten oxide, WO3, and titanium oxide, TiO2, resulting in absorbed energy conversion efficiencies of 6, 5.9 and 1.2 per cent, respectively.

  11. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  12. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  13. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  14. Selection of efficient etchants for nondestructive treatment of semiconductors

    International Nuclear Information System (INIS)

    Tomashik, V.N.; Fomin, A.V.; Tomashik, Z.F.

    1996-01-01

    The scheme for studying etching processes of semiconductor materials and developing new etchants for different semiconductors is proposed. The scheme includes the experiment mathematical planning, computerized physicochemical modeling, kinetic studies, investigation of surface layers, formed by etching. Such on approach makes it possible to optimize the etchant composition in every concrete cage. The scheme is tested in the course of developing optimal methodologies of preepitaxial treatment and selection of etchants composition for semiconductor compounds of the A 1 B 6 and A 3 B 5 type. 13 refs., 4 figs

  15. Neutron activation determination of phosphorus in semiconductor materials

    International Nuclear Information System (INIS)

    Verevkin, G.V.; Gil'bert, Eh.N.; Gol'dshtejn, M.M.; Yudelevich, I.G.; Yurchenko, V.K.

    1976-01-01

    The solvent extraction of molybdophosphoric acid (MPA) with benzene and dichloroethane solutions of dioctylsulphoxide has been studied. A neutron-activation method has been worked out of determining phosphorus in semiconductor silicon, high purity gallium, and homoepitaxial films of gallium arsenide. The method is based on separation of radiochemically pure phosphorus in the form of MPA by extraction with 0.2 M solution of dioctylsulphoxide in benzene and measurement of 32 P activity on a liquid scintillation spectrometer. The method makes it possible to determine phosphorus in the materials enumerated with a limit of detection of 1.9x10 -10 g and a relative standard deviation of not more than 0.05

  16. Power Electronic Semiconductor Materials for Automotive and Energy Saving Applications – SiC, GaN, Ga2O3, and Diamond

    Science.gov (United States)

    2017-01-01

    Power electronics belongs to the future key technologies in order to increase system efficiency as well as performance in automotive and energy saving applications. Silicon is the major material for electronic switches since decades. Advanced fabrication processes and sophisticated electronic device designs have optimized the silicon electronic device performance almost to their theoretical limit. Therefore, to increase the system performance, new materials that exhibit physical and chemical properties beyond silicon need to be explored. A number of wide bandgap semiconductors like silicon carbide, gallium nitride, gallium oxide, and diamond exhibit outstanding characteristics that may pave the way to new performance levels. The review will introduce these materials by (i) highlighting their properties, (ii) introducing the challenges in materials growth, and (iii) outlining limits that need innovation steps in materials processing to outperform current technologies. PMID:29200530

  17. Characterization of semiconductor and frontier materials by nuclear microprobe technology

    International Nuclear Information System (INIS)

    Zhu Jieqing; Li Xiaolin; Yang Changyi; Lu Rongrong; Wang Jiqing; Guo Panlin

    2002-01-01

    The nuclear microprobe technology is used to characterize the properties of semiconductor and other frontier materials at the stages of their synthesis, modification, integration and application. On the basis of the beam current being used, the analytical nuclear microprobe techniques being used in this project can be divided into two categories: high beam current (PIXE, RBS, PEB) or low beam current (IBIC, STIM) techniques. The material properties measured are the thickness and composition of a composite surface on a SiC ceramic, the sputtering-induced surface segregation and depth profile change in a Ag-Cu binary alloy, the irradiation effects on the CCE of CVD diamond, the CCE profile at a polycrystalline CVD diamond film and a GaAs diode at different voltage biases and finally, the characterization of individual sample on an integrated material chip. (author)

  18. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  19. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  20. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  1. Charge transport in organic semiconductors.

    Science.gov (United States)

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  2. Corrosion-Resistant Container for Molten-Material Processing

    Science.gov (United States)

    Stern, Theodore G.; McNaul, Eric

    2010-01-01

    of materials, and other combinations of materials have not proven to be survivable to the corrosiveness of this environment. High-temperature processing of materials with similar constituencies as lunar regolith is fairly common. The carbo-thermal process is commonly used to make metallurgical-grade silicon for the semiconductor and solar-cell industries.

  3. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  5. Improving IC process efficiency with critical materials management

    Science.gov (United States)

    Hanson, Kathy L.; Andrews, Robert E.

    2003-06-01

    The management of critical materials in a high technology manufacturing facility is crucial to obtaining consistently high production yield. This is especially true in an industry like semiconductors where the success of the product is so dependent on the integrity of the critical production materials. Bar code systems, the traditional management tools, are voluntary, defeatable, and do not continuously monitor materials when in use. The significant costs associated with mis-management of chemicals can be captured with a customized model resulting in highly favorable ROI"s for the NOWTrak RFID chemical management system. This system transmits reliable chemical data about each individual container and generates information that can be used to increase wafer production efficiency and yield. The future of the RFID system will expand beyond the benefits of chemical management and into dynamic IC process management

  6. SiC materials: a semiconductor family for the next century

    Science.gov (United States)

    Camassel, Jean; Contreras, Sylvie; Robert, Jean-Louis

    2000-03-01

    The current status of SiC semiconductor materials is reviewed, with emphasize on forthcoming applications. In a first part one focuses on the most important physical properties. Then, power device and micro-opto-electronic applications, using both 4H and 6H-SiC, are presented. Technological problems which have to be solved in order to realize simple planar device are considered. Emphasize is set on the French and European efforts, and on the USA and Japan's ones. In a second part, one deals with advanced high temperature industrial sensor applications. Interest for cubic 3C-SiC eposited on Silicon On Insulator (SOI) is demonstrated and results of comparative examinations of different 3CSiC/SOI materials are briefly given.

  7. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    Science.gov (United States)

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  8. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  9. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  10. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  11. Development and characterization of semiconductor materials by ion beams. Final report of a co-ordinated research project

    International Nuclear Information System (INIS)

    2002-06-01

    This CRP was recommended by the Consultants meeting on Ion Beam Techniques Applied to Semiconductor and Related Advanced Materials, held in April 1997 in Vienna. The consultants proposed to have a CRP in the field of application of MeV ion beams for the development and characterization of semiconductor materials. The CRP was approved and a first RCM was held in Vienna between 2-5 June 1998, in order to stimulate ideas and to promote collaborations among CRP participants. The goals and practical outcomes of the CRP were defined and several specific topics were identified including: optoelectronic characterization of semiconductor materials and devices by ion microbeams, characterization of thin films, defect transformations in semiconductors, light element analysis. One important recommendation was that sample exchanges among different laboratories be strongly encouraged. The participants presented individual activities on their projects, all subjects of research were identified and linked with approved individual projects. Collaboration among the participants was discussed and established. Some modifications to work plans were adopted. As proposed during the first RCM, the final RCM was held at the Ruder Boskovic Institute, Zagreb, Croatia, between 25 and 29 September 2000, with the purpose of reviewing/discussing the results achieved during the course of the CRP and to prepare a draft of the final report and associated publication. This document contains summary of the CRP and ten individual reports presented by participants. Each of the reports has been indexed separately

  12. Space and Missile Systems Center Standard: Parts, Materials, and Processes Control Program for Space Vehicles

    Science.gov (United States)

    2013-04-12

    preparation , implementation, and operation of a Parts, Materials, and Processes (PMP) control program for use during the design, development...Processes List CDR Critical Design Review CDRL Contract Data Requirements List CMOS Complementary Metal Oxide Semiconductor CONOPS Concept of Operations...Failure Review Board GFE Government Furnished Equipment GIDEP Government Industry Data Exchange Program HBT Heterojunction Bipolar Transistor IPT

  13. X-ray studies of microstructures in semiconductors and superconducting materials

    International Nuclear Information System (INIS)

    Kao, Y.H.

    1991-01-01

    Several different experimental investigations were carried out during the present report period. These include x-ray studies of semiconductors, high-T c superconductors, and various thin films using synchrotron radiation (especially soft x-ray experiments by means of our new detector) and measurements of some fundamental properties of new superconducting materials made in our laboratory at Buffalo. We have made the first systematic study of electronic structure in the high-T c superconductors La 2-x Sr x CuO 4 with x ranging from 0 to 0.15 by x-ray absorption spectroscopy (XAS)

  14. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  15. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  16. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  17. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  18. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  19. Determination of uranium and thorium in semiconductor memory materials by high fluence neutron activation analysis

    International Nuclear Information System (INIS)

    Dyer, F.F.; Emery, J.F.; Northcutt, K.J.; Scott, R.M.

    1981-01-01

    Uranium and thorium were measured by absolute neutron activation analysis in high-purity materials used to manufacture semiconductor memories. The main thrust of the study concerned aluminum and aluminum alloys used as sources for thin film preparation, evaporated metal films, and samples from the Czochralski silicon crystal process. Average levels of U and Th were found for the source alloys to be approx. 65 and approx. 45 ppB, respectively. Levels of U and Th in silicon samples fell in the range of a few parts per trillion. Evaporated metal films contained about 1 ppB U and Th, but there is some question about these results due to the possibility of contamination

  20. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  1. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  2. Imaging the motion of electrons across semiconductor heterojunctions

    Science.gov (United States)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  3. Photoelectronic properties of semiconductors

    CERN Document Server

    Bube, Richard H

    1992-01-01

    The interaction between light and electrons in semiconductors forms the basis for many interesting and practically significant properties. This book examines the fundamental physics underlying this rich complexity of photoelectronic properties of semiconductors, and will familiarise the reader with the relatively simple models that are useful in describing these fundamentals. The basic physics is also illustrated with typical recent examples of experimental data and observations. Following introductory material on the basic concepts, the book moves on to consider a wide range of phenomena, including photoconductivity, recombination effects, photoelectronic methods of defect analysis, photoeffects at grain boundaries, amorphous semiconductors, photovoltaic effects and photoeffects in quantum wells and superlattices. The author is Professor of Materials Science and Electrical Engineering at Stanford University, and has taught this material for many years. He is an experienced author, his earlier books having fo...

  4. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  5. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  6. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  7. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  8. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  9. Semiconductor research with reactor neutrons

    International Nuclear Information System (INIS)

    Kimura, Itsuro

    1992-01-01

    Reactor neutrons play an important role for characterization of semiconductor materials as same as other advanced materials. On the other hand reactor neutrons bring about not only malignant irradiation effects called radiation damage, but also useful effects such as neutron transmutation doping and defect formation for opto-electronics. Research works on semiconductor materials with the reactor neutrons of the Kyoto University Reactor (KUR) are briefly reviewed. In this review, a stress is laid on the present author's works. (author)

  10. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  11. Radiation processes for the development, production and examination of materials

    International Nuclear Information System (INIS)

    Wiesner, L.

    1984-01-01

    The process of doping semi-conductors by irradiating them with beams of ions, called ion implantation, has long been part of the industrial mass production of electronic solid components as the basis of the micro-electronics revolution. The use of electron and X-ray beams has made the manufacture of small silicon chips possible, so as to increase the memory and microprocessor capacity of a wafer. Using electron and γ rays, many compound materials based on polymers, have been manufactured, where only a small part of the nearly infinite number of combinations has been touched so far. The applications of radiation hardening extend from the treatment of coatings and paints for surface protection to glues and printed colours. The multiplicity of nuclear examination processes for solids and their surfaces has produced knowledge on the structure of materials, which accelerates the purposeful development of improved and new materials and makes it easier, sometimes even making it possible. Radiation methods are an important aid for quality control and assurance for material production. (orig./HP) [de

  12. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  13. ON THE ARTIFICIAL SEMICONDUCTOR MATERIALS | Adelabu ...

    African Journals Online (AJOL)

    For about the last three decades, semiconductor technology began to make its most apparent impact in Solid State Electronics. The field of photonics, which combines laser physics, electro-optics and nonlinear optics has expanded tremendously. Notably, modern light wave communications exemplify photonic systems.

  14. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  15. Photocatalytic semiconductors synthesis, characterization, and environmental applications

    CERN Document Server

    Hernández-Ramírez, Aracely

    2014-01-01

    This critical volume examines the different methods used for the synthesis of a great number of photocatalysts, including TiO2, ZnO and other modified semiconductors, as well as characterization techniques used for determining the optical, structural and morphological properties of the semiconducting materials. Additionally, the authors discuss photoelectrochemical methods for determining the light activity of the photocatalytic semiconductors by means of measurement of properties such as band gap energy, flat band potential and kinetics of hole and electron transfer. Photocatalytic Semiconductors: Synthesis, Characterization and Environmental Applications provide an overview of the semiconductor materials from first- to third-generation photocatalysts and their applications in wastewater treatment and water disinfection. The book further presents economic and toxicological aspects in the production and application of photocatalytic materials.

  16. Very Low-Power Consumption Analog Pulse Processing ASIC for Semiconductor Radiation Detectors

    International Nuclear Information System (INIS)

    Wessendorf, K.O.; Lund, J.C.; Brunett, B.A.; Laguna, G.R.; Clements, J.W.

    1999-01-01

    We describe a very-low power consumption circuit for processing the pulses from a semiconductor radiation detector. The circuit was designed for use with a cadmium zinc telluride (CZT) detector for unattended monitoring of stored nuclear materials. The device is intended to be battery powered and operate at low duty-cycles over a long period of time. This system will provide adequate performance for medium resolution gamma-ray pulse-height spectroscopy applications. The circuit incorporates the functions of a charge sensitive preamplifier, shaping amplifier, and peak sample and hold circuit. An application specific integrated circuit (ASIC) version of the design has been designed, built and tested. With the exception of the input field effect transistor (FET), the circuit is constructed using bipolar components. In this paper the design philosophy and measured performance characteristics of the circuit are described

  17. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  18. Elementary steps in electrical doping of organic semiconductors

    KAUST Repository

    Tietze, Max Lutz

    2018-03-15

    Fermi level control by doping is established since decades in inorganic semiconductors and has been successfully introduced in organic semiconductors. Despite its commercial success in the multi-billion OLED display business, molecular doping is little understood, with its elementary steps controversially discussed and mostly-empirical-materials design. Particularly puzzling is the efficient carrier release, despite a presumably large Coulomb barrier. Here we quantitatively investigate doping as a two-step process, involving single-electron transfer from donor to acceptor molecules and subsequent dissociation of the ground-state integer-charge transfer complex (ICTC). We show that carrier release by ICTC dissociation has an activation energy of only a few tens of meV, despite a Coulomb binding of several 100 meV. We resolve this discrepancy by taking energetic disorder into account. The overall doping process is explained by an extended semiconductor model in which occupation of ICTCs causes the classically known reserve regime at device-relevant doping concentrations.

  19. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  20. Nonlinear dynamics of semiconductors in strong THz electric fields

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun

    In this thesis, we investigate nonlinear interactions of an intense terahertz (THz) field with semiconductors, in particular the technologically relevant materials silicon and silicon carbide. We reveal the time-resolved dynamics of the nonlinear processes by pump-probe experiments that involve...

  1. Heterogeneous reaction mechanisms and kinetics relevant to the CVD of semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Creighton, J.R.; Coltrin, M.E.

    1994-03-01

    This report documents the state of the art in experimental and theoretical techniques for determining reaction mechanisms and chemical kinetics of heterogeneous reactions relevant to the chemical vapor deposition of semiconductor materials. It summarizes the most common ultra-high vacuum experimental techniques that are used and the types of rate information available from each. Several case studies of specific chemical systems relevant to the microelectronics industry are described. Theoretical methods for calculating heterogeneous reaction rate constants are also summarized.

  2. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  3. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  4. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  5. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  6. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  7. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  8. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  9. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  10. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  11. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  12. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  13. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  14. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  15. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  16. Traditional Semiconductors in the Two-Dimensional Limit.

    Science.gov (United States)

    Lucking, Michael C; Xie, Weiyu; Choe, Duk-Hyun; West, Damien; Lu, Toh-Ming; Zhang, S B

    2018-02-23

    Interest in two-dimensional materials has exploded in recent years. Not only are they studied due to their novel electronic properties, such as the emergent Dirac fermion in graphene, but also as a new paradigm in which stacking layers of distinct two-dimensional materials may enable different functionality or devices. Here, through first-principles theory, we reveal a large new class of two-dimensional materials which are derived from traditional III-V, II-VI, and I-VII semiconductors. It is found that in the ultrathin limit the great majority of traditional binary semiconductors studied (a series of 28 semiconductors) are not only kinetically stable in a two-dimensional double layer honeycomb structure, but more energetically stable than the truncated wurtzite or zinc-blende structures associated with three dimensional bulk. These findings both greatly increase the landscape of two-dimensional materials and also demonstrate that in the double layer honeycomb form, even ordinary semiconductors, such as GaAs, can exhibit exotic topological properties.

  17. Method of Promoting Single Crystal Growth During Melt Growth of Semiconductors

    Science.gov (United States)

    Su, Ching-Hua (Inventor)

    2013-01-01

    The method of the invention promotes single crystal growth during fabrication of melt growth semiconductors. A growth ampoule and its tip have a semiconductor source material placed therein. The growth ampoule is placed in a first thermal environment that raises the temperature of the semiconductor source material to its liquidus temperature. The growth ampoule is then transitioned to a second thermal environment that causes the semiconductor source material in the growth ampoule's tip to attain a temperature that is below the semiconductor source material's solidus temperature. The growth ampoule so-transitioned is then mechanically perturbed to induce single crystal growth at the growth ampoule's tip.

  18. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  19. A DPL model of photo-thermal interaction in an infinite semiconductor material containing a spherical hole

    Science.gov (United States)

    Hobiny, Aatef D.; Abbas, Ibrahim A.

    2018-01-01

    The dual phase lag (DPL) heat transfer model is applied to study the photo-thermal interaction in an infinite semiconductor medium containing a spherical hole. The inner surface of the cavity was traction free and loaded thermally by pulse heat flux. By using the eigenvalue approach methodology and Laplace's transform, the physical variable solutions are obtained analytically. The numerical computations for the silicon-like semiconductor material are obtained. The comparison among the theories, i.e., dual phase lag (DPL), Lord and Shulman's (LS) and the classically coupled thermoelastic (CT) theory is presented graphically. The results further show that the analytical scheme can overcome mathematical problems by analyzing these problems.

  20. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  1. Two dimensional tunable photonic crystals and n doped semiconductor materials

    International Nuclear Information System (INIS)

    Elsayed, Hussein A.; El-Naggar, Sahar A.; Aly, Arafa H.

    2015-01-01

    In this paper, we theoretically investigate the effect of the doping concentration on the properties of two dimensional semiconductor photonic band structures. We consider two structures; type I(II) that is composed of n doped semiconductor (air) rods arranged into a square lattice of air (n doped semiconductor). We consider three different shapes of rods. Our numerical method is based on the frequency dependent plane wave expansion method. The numerical results show that the photonic band gaps in type II are more sensitive to the changes in the doping concentration than those of type I. In addition, the width of the gap of type II is less sensitive to the shape of the rods than that of type I. Moreover, the cutoff frequency can be strongly tuned by the doping concentrations. Our structures could be of technical use in optical electronics for semiconductor applications

  2. Mechanical Properties of Organic Semiconductors for Stretchable, Highly Flexible, and Mechanically Robust Electronics.

    Science.gov (United States)

    Root, Samuel E; Savagatrup, Suchol; Printz, Adam D; Rodriquez, Daniel; Lipomi, Darren J

    2017-05-10

    Mechanical deformability underpins many of the advantages of organic semiconductors. The mechanical properties of these materials are, however, diverse, and the molecular characteristics that permit charge transport can render the materials stiff and brittle. This review is a comprehensive description of the molecular and morphological parameters that govern the mechanical properties of organic semiconductors. Particular attention is paid to ways in which mechanical deformability and electronic performance can coexist. The review begins with a discussion of flexible and stretchable devices of all types, and in particular the unique characteristics of organic semiconductors. It then discusses the mechanical properties most relevant to deformable devices. In particular, it describes how low modulus, good adhesion, and absolute extensibility prior to fracture enable robust performance, along with mechanical "imperceptibility" if worn on the skin. A description of techniques of metrology precedes a discussion of the mechanical properties of three classes of organic semiconductors: π-conjugated polymers, small molecules, and composites. The discussion of each class of materials focuses on molecular structure and how this structure (and postdeposition processing) influences the solid-state packing structure and thus the mechanical properties. The review concludes with applications of organic semiconductor devices in which every component is intrinsically stretchable or highly flexible.

  3. Synthesis and characterization of polymer matrix composite material with combination of ZnO filler and nata de coco fiber as a candidate of semiconductor material

    Science.gov (United States)

    Saputra, Asep Handaya; Anindita, Hana Nabila

    2015-12-01

    Synthesis of semiconductor composite using acrylic matrix filled with ZnO and nata de coco fiber has been conducted in this research. The purpose of this research is to obtain semiconductor composite material that has a good mechanical strength and thermal resistance. In situ polymerization method is used in this research and the composites are ready to be characterized after 12 hours. The main parameter that is characterized is the electric conductivity of the composite. Additional parameters are also characterized such as composite's elastic modulus and glass transition temperature. The composites that has been made in this research can be classified as semiconductor material because the conductivity is in the range of 10-8-103 S/cm. In general the addition of ZnO and nata de coco filler can increase the conductivity of the composite. The highest semiconductor characteristic in acrylic/ZnO composite is obtained from 30% volume filler that reach 3.4 x 10-7 S/cm. Similar with acrylic/ZnO composite, in acrylic/nata de coco fiber composite the highest semiconductor characteristic is also obtained from 30% volume filler that reach 1.15 x 10-7 S/cm. Combination of 20% volume of ZnO, 10% volume of nata de coco, and 70% volume of acrylic resulting in composite with electric conductivity of 1.92 x 10-7 S/cm. In addition, combination of ZnO and nata de coco fiber as filler in composite can also improve the characteristic of composite where composite with 20% volume of ZnO filler and 10% volume of nata de coco fiber resulting in composite with elastic modulus of 1.79 GPa and glass transition temperature of 175.73°C which is higher than those in acrylic/ZnO composite.

  4. Integrated materials design of organic semiconductors for field-effect transistors.

    Science.gov (United States)

    Mei, Jianguo; Diao, Ying; Appleton, Anthony L; Fang, Lei; Bao, Zhenan

    2013-05-08

    The past couple of years have witnessed a remarkable burst in the development of organic field-effect transistors (OFETs), with a number of organic semiconductors surpassing the benchmark mobility of 10 cm(2)/(V s). In this perspective, we highlight some of the major milestones along the way to provide a historical view of OFET development, introduce the integrated molecular design concepts and process engineering approaches that lead to the current success, and identify the challenges ahead to make OFETs applicable in real applications.

  5. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  6. Solution processing of chalcogenide materials using thiol-amine "alkahest" solvent systems.

    Science.gov (United States)

    McCarthy, Carrie L; Brutchey, Richard L

    2017-05-02

    Macroelectronics is a major focus in electronics research and is driven by large area applications such as flat panel displays and thin film solar cells. Innovations for these technologies, such as flexible substrates and mass production, will require efficient and affordable semiconductor processing. Low-temperature solution processing offers mild deposition methods, inexpensive processing equipment, and the possibility of high-throughput processing. In recent years, the discovery that binary "alkahest" mixtures of ethylenediamine and short chain thiols possess the ability to dissolve bulk inorganic materials to yield molecular inks has lead to the wide study of such systems and the straightforward recovery of phase pure crystalline chalcogenide thin films upon solution processing and mild annealing of the inks. In this review, we recount the work that has been done toward elucidating the scope of this method for the solution processing of inorganic materials for use in applications such as photovoltaic devices, electrocatalysts, photodetectors, thermoelectrics, and nanocrystal ligand exchange. We also take stock of the wide range of bulk materials that can be used as soluble precursors, and discuss the work that has been done to reveal the nature of the dissolved species. This method has provided a vast toolbox of over 65 bulk precursors, which can be utilized to develop new routes to functional chalcogenide materials. Future studies in this area should work toward a better understanding of the mechanisms involved in the dissolution and recovery of bulk materials, as well as broadening the scope of soluble precursors and recoverable functional materials for innovative applications.

  7. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  8. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  9. Thermodynamics and phase equilibria of ternary systems relevant to contact materials for compound semiconductors

    International Nuclear Information System (INIS)

    Ipser, H.; Richter, K.; Micke, K.

    1997-01-01

    In order to investigate the stability of ohmic contacts to compound semiconductors, it is necessary to know the phase equilibria in the corresponding multi-component systems. We are currently studying the phase equilibria and thermophysical properties of several ternary systems which are of interest in view of the use of nickel, palladium and platinum as contact materials for GaSb and InSb compound semiconductors: Ga-Ni-Sb, In-Ni-Sb, Ga-Pd-Sb and Ga-Pt-Sb. Phase equilibria are investigated by thermal analyses, X-ray powder diffraction methods as well as electron microprobe analysis. Thermodynamic properties are derived from vapour pressure measurements using an isopiestic method. It is planned to combine all information on phase equilibria and thermochemistry for the ternary and the limiting binary systems to perform an optimization of the ternary systems by computer calculations using standard software. (author)

  10. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  11. Emergent properties resulting from type-II band alignment in semiconductor nanoheterostructures.

    Science.gov (United States)

    Lo, Shun S; Mirkovic, Tihana; Chuang, Chi-Hung; Burda, Clemens; Scholes, Gregory D

    2011-01-11

    The development of elegant synthetic methodologies for the preparation of monocomponent nanocrystalline particles has opened many possibilities for the preparation of heterostructured semiconductor nanostructures. Each of the integrated nanodomains is characterized by its individual physical properties, surface chemistry, and morphology, yet, these multicomponent hybrid particles present ideal systems for the investigation of the synergetic properties that arise from the material combination in a non-additive fashion. Of particular interest are type-II heterostructures, where the relative band alignment of their constituent semiconductor materials promotes a spatial separation of the electron and hole following photoexcitation, a highly desirable property for photovoltaic applications. This article highlights recent progress in both synthetic strategies, which allow for material and architectural modulation of novel nanoheterostructures, as well as the experimental work that provides insight into the photophysical properties of type-II heterostructures. The effects of external factors, such as electric fields, temperature, and solvent are explored in conjunction with exciton and multiexciton dynamics and charge transfer processes typical for type-II semiconductor heterostructures.

  12. Subsecond annealing of advanced materials annealing by lasers, flash lamps and swift heavy ions

    CERN Document Server

    Skorupa, Wolfgang

    2014-01-01

    This book examines thermal processing of elemental semiconductors and materials including nanostructures with novel optoelectronic, magnetic, and superconducting properties. Covers compound semiconductors, dielectric composites and organic materials.

  13. Integrated Materials Design of Organic Semiconductors for Field-Effect Transistors

    KAUST Repository

    Mei, Jianguo

    2013-05-08

    The past couple of years have witnessed a remarkable burst in the development of organic field-effect transistors (OFETs), with a number of organic semiconductors surpassing the benchmark mobility of 10 cm2/(V s). In this perspective, we highlight some of the major milestones along the way to provide a historical view of OFET development, introduce the integrated molecular design concepts and process engineering approaches that lead to the current success, and identify the challenges ahead to make OFETs applicable in real applications. © 2013 American Chemical Society.

  14. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  15. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  16. The microscopic origin of the doping limits in semiconductors and wide-gap materials and recent developments in overcoming these limits: a review

    International Nuclear Information System (INIS)

    Zhang, S.B.

    2002-01-01

    This paper reviews the recent developments in first-principles total energy studies of the phenomenological equilibrium 'doping limit rule' that governs the maximum electrical conductivity of semiconductors via extrinsic or intrinsic doping. The rule relates the maximum equilibrium carrier concentrations (electrons or holes) of a wide range of materials to their respective band alignments. The microscopic origin of the mysterious 'doping limit rule' is the spontaneous formation of intrinsic defects: e.g., in n-type semiconductors, the formation of cation vacancies. Recent developments in overcoming the equilibrium doping limits are also discussed: it appears that a common route to significantly increase carrier concentrations is to expand the physically accessible range of the dopant atomic chemical potential by non-equilibrium doping processes, which not only suppresses the formation of the intrinsic defects but also lowers the formation energy of the impurities, thereby significantly increasing their solubility. (author)

  17. Quantum confined laser devices optical gain and recombination in semiconductors

    CERN Document Server

    Blood, Peter

    2015-01-01

    The semiconductor laser, invented over 50 years ago, has had an enormous impact on the digital technologies that now dominate so many applications in business, commerce and the home. The laser is used in all types of optical fibre communication networks that enable the operation of the internet, e-mail, voice and skype transmission. Approximately one billion are produced each year for a market valued at around $5 billion. Nearly all semiconductor lasers now use extremely thin layers of light emitting materials (quantum well lasers). Increasingly smaller nanostructures are used in the form of quantum dots. The impact of the semiconductor laser is surprising in the light of the complexity of the physical processes that determine the operation of every device. This text takes the reader from the fundamental optical gain and carrier recombination processes in quantum wells and quantum dots, through descriptions of common device structures to an understanding of their operating characteristics. It has a consistent...

  18. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  19. Imaging the motion of electrons in 2D semiconductor heterostructures

    Science.gov (United States)

    Dani, Keshav

    Technological progress since the late 20th century has centered on semiconductor devices, such as transistors, diodes, and solar cells. At the heart of these devices, is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. In this talk, we combine femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy to image the motion of photoexcited electrons from high-energy to low-energy states in a 2D InSe/GaAs heterostructure exhibiting a type-II band alignment. At the instant of photoexcitation, energy-resolved photoelectron images reveal a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observe the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we make a movie lasting a few tens of picoseconds of the electron transfer process in the photoexcited type-II heterostructure - a fundamental phenomenon in semiconductor devices like solar cells. Quantitative analysis and theoretical modeling of spatial variations in the video provide insight into future solar cells, electron dynamics in 2D materials, and other semiconductor devices.

  20. Rapid thermal processing of semiconductors

    CERN Document Server

    Borisenko, Victor E

    1997-01-01

    Rapid thermal processing has contributed to the development of single wafer cluster processing tools and other innovations in integrated circuit manufacturing environments Borisenko and Hesketh review theoretical and experimental progress in the field, discussing a wide range of materials, processes, and conditions They thoroughly cover the work of international investigators in the field

  1. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  2. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  3. Elastico-mechanoluminescence and crystal-structure relationships in persistent luminescent materials and II–VI semiconductor phosphors

    Energy Technology Data Exchange (ETDEWEB)

    Chandra, B.P., E-mail: bpchandra4@yahoo.co.in [School of Studies in Physics and Astrophysics, Pt. Ravishankar Shukla University, Raipur 492010, Chattisgarh (India); Chandra, V.K. [Department of Electrical and Electronics Engineering, Chhatrapati Shivaji Institute of Technology, Shivaji Nagar, Kolihapuri, Durg 491001, Chattisgarh (India); Jha, Piyush [Department of Applied Physics, Raipur Institute of Technology, Chhatauna, Mandir Hasuad, Raipur 492101, Chattisgarh (India)

    2015-04-15

    Elastico-mechanoluminescence (EML) has recently attracted the attention of a large number of researchers because of its potential in different types of mechano-optical devices. For understanding the mechanism of EML the relationships between elastico-mechanoluminescence (EML) and crystal-structure of a large number of persistent luminescent materials and II–VI semiconductor phosphors known to date are investigated. It is found that, although most of the non-centrosymmetric crystals exhibit EML, certain non-centrosymmetric crystals do not show EML. Whereas, many centrosymmetric crystals do not exhibit EML, certain centrosymmetric crystals exhibit EML. Piezoelectric ZnS:Cu,Cl single crystals do not show EML, but piezoelectric ZnS:Cu,Cl microcrystalline phosphors show very intense EML. Piezoelectric single crystals of undoped ZnS do not show EML. It seems that EML is related to local piezoelectrification near the impurities in crystals where piezoelectric constant is high. Suitable piezoelectric field near the local piezoelectric region and stable charge carriers in traps are required for appearance of EML. The EML of persistent luminescent materials and II–VI semiconductor phosphors can be understood on the basis of piezoelectrically-induced trap-depth reduction model of EML. Using suitable dopants both in non-centrosymmetric and centrosymmetric crystals intense elastico-mechanoluminescent materials emitting desired colours can be tailored, which may find applications in several mechano-optical devices.

  4. Elastico-mechanoluminescence and crystal-structure relationships in persistent luminescent materials and II–VI semiconductor phosphors

    International Nuclear Information System (INIS)

    Chandra, B.P.; Chandra, V.K.; Jha, Piyush

    2015-01-01

    Elastico-mechanoluminescence (EML) has recently attracted the attention of a large number of researchers because of its potential in different types of mechano-optical devices. For understanding the mechanism of EML the relationships between elastico-mechanoluminescence (EML) and crystal-structure of a large number of persistent luminescent materials and II–VI semiconductor phosphors known to date are investigated. It is found that, although most of the non-centrosymmetric crystals exhibit EML, certain non-centrosymmetric crystals do not show EML. Whereas, many centrosymmetric crystals do not exhibit EML, certain centrosymmetric crystals exhibit EML. Piezoelectric ZnS:Cu,Cl single crystals do not show EML, but piezoelectric ZnS:Cu,Cl microcrystalline phosphors show very intense EML. Piezoelectric single crystals of undoped ZnS do not show EML. It seems that EML is related to local piezoelectrification near the impurities in crystals where piezoelectric constant is high. Suitable piezoelectric field near the local piezoelectric region and stable charge carriers in traps are required for appearance of EML. The EML of persistent luminescent materials and II–VI semiconductor phosphors can be understood on the basis of piezoelectrically-induced trap-depth reduction model of EML. Using suitable dopants both in non-centrosymmetric and centrosymmetric crystals intense elastico-mechanoluminescent materials emitting desired colours can be tailored, which may find applications in several mechano-optical devices

  5. Superconductivity in doped semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Bustarret, E., E-mail: Etienne.bustarret@neel.cnrs.fr

    2015-07-15

    A historical survey of the main normal and superconducting state properties of several semiconductors doped into superconductivity is proposed. This class of materials includes selenides, tellurides, oxides and column-IV semiconductors. Most of the experimental data point to a weak coupling pairing mechanism, probably phonon-mediated in the case of diamond, but probably not in the case of strontium titanate, these being the most intensively studied materials over the last decade. Despite promising theoretical predictions based on a conventional mechanism, the occurrence of critical temperatures significantly higher than 10 K has not been yet verified. However, the class provides an enticing playground for testing theories and devices alike.

  6. Tutorial: Junction spectroscopy techniques and deep-level defects in semiconductors

    Science.gov (United States)

    Peaker, A. R.; Markevich, V. P.; Coutinho, J.

    2018-04-01

    The term junction spectroscopy embraces a wide range of techniques used to explore the properties of semiconductor materials and semiconductor devices. In this tutorial review, we describe the most widely used junction spectroscopy approaches for characterizing deep-level defects in semiconductors and present some of the early work on which the principles of today's methodology are based. We outline ab-initio calculations of defect properties and give examples of how density functional theory in conjunction with formation energy and marker methods can be used to guide the interpretation of experimental results. We review recombination, generation, and trapping of charge carriers associated with defects. We consider thermally driven emission and capture and describe the techniques of Deep Level Transient Spectroscopy (DLTS), high resolution Laplace DLTS, admittance spectroscopy, and scanning DLTS. For the study of minority carrier related processes and wide gap materials, we consider Minority Carrier Transient Spectroscopy (MCTS), Optical DLTS, and deep level optical transient spectroscopy together with some of their many variants. Capacitance, current, and conductance measurements enable carrier exchange processes associated with the defects to be detected. We explain how these methods are used in order to understand the behaviour of point defects and the determination of charge states and negative-U (Hubbard correlation energy) behaviour. We provide, or reference, examples from a wide range of materials including Si, SiGe, GaAs, GaP, GaN, InGaN, InAlN, and ZnO.

  7. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  8. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  9. Achieving Optimal Self-Adaptivity for Dynamic Tuning of Organic Semiconductors through Resonance Engineering.

    Science.gov (United States)

    Tao, Ye; Xu, Lijia; Zhang, Zhen; Chen, Runfeng; Li, Huanhuan; Xu, Hui; Zheng, Chao; Huang, Wei

    2016-08-03

    Current static-state explorations of organic semiconductors for optimal material properties and device performance are hindered by limited insights into the dynamically changed molecular states and charge transport and energy transfer processes upon device operation. Here, we propose a simple yet successful strategy, resonance variation-based dynamic adaptation (RVDA), to realize optimized self-adaptive properties in donor-resonance-acceptor molecules by engineering the resonance variation for dynamic tuning of organic semiconductors. Organic light-emitting diodes hosted by these RVDA materials exhibit remarkably high performance, with external quantum efficiencies up to 21.7% and favorable device stability. Our approach, which supports simultaneous realization of dynamically adapted and selectively enhanced properties via resonance engineering, illustrates a feasible design map for the preparation of smart organic semiconductors capable of dynamic structure and property modulations, promoting the studies of organic electronics from static to dynamic.

  10. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  11. Hybrid organic semiconductor lasers for bio-molecular sensing.

    Science.gov (United States)

    Haughey, Anne-Marie; Foucher, Caroline; Guilhabert, Benoit; Kanibolotsky, Alexander L; Skabara, Peter J; Burley, Glenn; Dawson, Martin D; Laurand, Nicolas

    2014-01-01

    Bio-functionalised luminescent organic semiconductors are attractive for biophotonics because they can act as efficient laser materials while simultaneously interacting with molecules. In this paper, we present and discuss a laser biosensor platform that utilises a gain layer made of such an organic semiconductor material. The simple structure of the sensor and its operation principle are described. Nanolayer detection is shown experimentally and analysed theoretically in order to assess the potential and the limits of the biosensor. The advantage conferred by the organic semiconductor is explained, and comparisons to laser sensors using alternative dye-doped materials are made. Specific biomolecular sensing is demonstrated, and routes to functionalisation with nucleic acid probes, and future developments opened up by this achievement, are highlighted. Finally, attractive formats for sensing applications are mentioned, as well as colloidal quantum dots, which in the future could be used in conjunction with organic semiconductors.

  12. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  13. Magnetic field effects in organic semiconductors : theory and simulations

    NARCIS (Netherlands)

    Kersten, S.P.

    2013-01-01

    Organic semiconductors are a promising class of materials, offering several advantages over inorganic semiconductors. They are light, flexible, easy and cheap to produce, and easily chemically tunable. Organic semiconductors are currently used for lighting applications and in the displays of some

  14. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  15. Conductivity in transparent oxide semiconductors.

    Science.gov (United States)

    King, P D C; Veal, T D

    2011-08-24

    Despite an extensive research effort for over 60 years, an understanding of the origins of conductivity in wide band gap transparent conducting oxide (TCO) semiconductors remains elusive. While TCOs have already found widespread use in device applications requiring a transparent contact, there are currently enormous efforts to (i) increase the conductivity of existing materials, (ii) identify suitable alternatives, and (iii) attempt to gain semiconductor-engineering levels of control over their carrier density, essential for the incorporation of TCOs into a new generation of multifunctional transparent electronic devices. These efforts, however, are dependent on a microscopic identification of the defects and impurities leading to the high unintentional carrier densities present in these materials. Here, we review recent developments towards such an understanding. While oxygen vacancies are commonly assumed to be the source of the conductivity, there is increasing evidence that this is not a sufficient mechanism to explain the total measured carrier concentrations. In fact, many studies suggest that oxygen vacancies are deep, rather than shallow, donors, and their abundance in as-grown material is also debated. We discuss other potential contributions to the conductivity in TCOs, including other native defects, their complexes, and in particular hydrogen impurities. Convincing theoretical and experimental evidence is presented for the donor nature of hydrogen across a range of TCO materials, and while its stability and the role of interstitial versus substitutional species are still somewhat open questions, it is one of the leading contenders for yielding unintentional conductivity in TCOs. We also review recent work indicating that the surfaces of TCOs can support very high carrier densities, opposite to the case for conventional semiconductors. In thin-film materials/devices and, in particular, nanostructures, the surface can have a large impact on the total

  16. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  17. Laser-beam interactions with materials

    International Nuclear Information System (INIS)

    Allmen, M.V.

    1987-01-01

    Lasers are becoming popular tools and research instruments in materials research, metallurgy, semiconductor technology and engineering. This text treats, from a physicist's point of view, the processes that lasers can induce in materials. A broad view of the field and its perspectives is given: physical topics covered range from optics to shock waves, and applications range from semiconductor annealing to fusion-plasma production. Intuitive analytical models are used whenever possible, in order to foster creative thinking and facilitate access to newcomers and nonspecialists

  18. 193nm high power lasers for the wide bandgap material processing

    Science.gov (United States)

    Fujimoto, Junichi; Kobayashi, Masakazu; Kakizaki, Koji; Oizumi, Hiroaki; Mimura, Toshio; Matsunaga, Takashi; Mizoguchi, Hakaru

    2017-02-01

    Recently infrared laser has faced resolution limit of finer micromachining requirement on especially semiconductor packaging like Fan-Out Wafer Level Package (FO-WLP) and Through Glass Via hole (TGV) which are hard to process with less defect. In this study, we investigated ablation rate with deep ultra violet excimer laser to explore its possibilities of micromachining on organic and glass interposers. These results were observed with a laser microscopy and Scanning Electron Microscope (SEM). As the ablation rates of both materials were quite affordable value, excimer laser is expected to be put in practical use for mass production.

  19. Structural and electrical characterisation of semiconductor materials using a nuclear microprobe

    International Nuclear Information System (INIS)

    Jamieson, D.N.

    1998-01-01

    The domain of high-resolution imaging techniques (sub-micron) traditionally belongs to low-energy ion beams (ke V ion microprobe), electrons (transmission or scanning electron microscopy), light (near field microscopy), or all variants of scanning probe microscopies. Now, nuclear techniques of analysis, with a nuclear microprobe, have entered this domain, bringing a range of unique techniques for making images. In addition to-conventional techniques like Rutherford (and non-Rutherford) backscattering spectrometry and particle induced x-ray emission for structural characterisation, new ion beam analysis techniques have been developed for electrical characterisation as well. Foremost of these new techniques is ion beam induced charge (IBIC) which has seen an explosion of applications in the last five years to the study of charge transport properties of a variety of materials including polycrystalline diamond and silicon. An additional novel technique is ionoluminescence, which may be used to image various electronic properties of the material. Presented here are some examples of these imaging techniques in a variety of semiconductor materials. In all these examples, the specimens display structural inhomogeneities on the scale of 10 micrometres, making it essential to employ a focused beam. (author)

  20. Structural and electrical characterisation of semiconductor materials using a nuclear microprobe

    Energy Technology Data Exchange (ETDEWEB)

    Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics, Microanalytical Centre

    1998-06-01

    The domain of high-resolution imaging techniques (sub-micron) traditionally belongs to low-energy ion beams (ke V ion microprobe), electrons (transmission or scanning electron microscopy), light (near field microscopy), or all variants of scanning probe microscopies. Now, nuclear techniques of analysis, with a nuclear microprobe, have entered this domain, bringing a range of unique techniques for making images. In addition to-conventional techniques like Rutherford (and non-Rutherford) backscattering spectrometry and particle induced x-ray emission for structural characterisation, new ion beam analysis techniques have been developed for electrical characterisation as well. Foremost of these new techniques is ion beam induced charge (IBIC) which has seen an explosion of applications in the last five years to the study of charge transport properties of a variety of materials including polycrystalline diamond and silicon. An additional novel technique is ionoluminescence, which may be used to image various electronic properties of the material. Presented here are some examples of these imaging techniques in a variety of semiconductor materials. In all these examples, the specimens display structural inhomogeneities on the scale of 10 micrometres, making it essential to employ a focused beam. (author). Extended abstract. 18 refs. 4 figs.

  1. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  2. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  3. Semiconductor photocatalysis principles and applications

    CERN Document Server

    Kisch, Horst

    2014-01-01

    Focusing on the basic principles of semiconductor photocatalysis, this book also gives a brief introduction to photochemistry, photoelectrochemistry, and homogeneous photocatalysis. In addition, the author - one of the leading authorities in the field - presents important environmental and practical aspects. A valuable, one-stop source for all chemists, material scientists, and physicists working in this area, as well as novice researchers entering semiconductor photocatalysis.

  4. Structural stability at high pressure, electronic, and magnetic properties of BaFZnAs: A new candidate of host material of diluted magnetic semiconductors

    International Nuclear Information System (INIS)

    Chen Bi-Juan; Deng Zheng; Wang Xian-Cheng; Feng Shao-Min; Yuan Zhen; Zhang Si-Jia; Liu Qing-Qing; Jin Chang-Qing

    2016-01-01

    The layered semiconductor BaFZnAs with the tetragonal ZrCuSiAs-type structure has been successfully synthesized. Both the in-situ high-pressure synchrotron x-ray diffraction and the high-pressure Raman scattering measurements demonstrate that the structure of BaFZnAs is stable under pressure up to 17.5 GPa at room temperature. The resistivity and the magnetic susceptibility data show that BaFZnAs is a non-magnetic semiconductor. BaFZnAs is recommended as a candidate of the host material of diluted magnetic semiconductor. (special topic)

  5. Strain sensitivity of band gaps of Sn-containing semiconductors

    DEFF Research Database (Denmark)

    Li, Hong; Castelli, Ivano Eligio; Thygesen, Kristian Sommer

    2015-01-01

    Tuning of band gaps of semiconductors is a way to optimize materials for applications within photovoltaics or as photocatalysts. One way to achieve this is through applying strain to the materials. We investigate the effect of strain on a range of Sn-containing semiconductors using density...

  6. Quantitative autoradiography of semiconductor base material

    International Nuclear Information System (INIS)

    Treutler, H.C.; Freyer, K.

    1983-01-01

    Autoradiographic methods for the quantitative determination of elements interesting in semiconductor technology and their distribution in silicon are described. Whereas the local concentration and distribution of phosphorus has been determined with the aid of silver halide films the neutron-induced autoradiography has been applied in the case of boron. Silicon disks containing diffused phosphorus or implanted or diffused boron have been used as standard samples. Different possibilities of the quantitative evaluation of autoradiograms are considered and compared

  7. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  8. Emission and Absorption Entropy Generation in Semiconductors

    DEFF Research Database (Denmark)

    Reck, Kasper; Varpula, Aapo; Prunnila, Mika

    2013-01-01

    While emission and absorption entropy generation is well known in black bodies, it has not previously been studied in semiconductors, even though semiconductors are widely used for solar light absorption in modern solar cells [1]. We present an analysis of the entropy generation in semiconductor...... materials due to emission and absorption of electromagnetic radiation. It is shown that the emission and absorption entropy generation reduces the fundamental limit on the efficiency of any semiconductor solar cell even further than the Landsberg limit. The results are derived from purely thermodynamical...

  9. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  10. Study and characterization of III-V semiconductor materials for applications in ionizing radiation detection

    International Nuclear Information System (INIS)

    Moulin, H.

    1989-11-01

    The photoconduction in the bulk of the gallium arsenide (GaAs) and of the indium phosphide doped with iron (InP:Fe) is investigated. These semiconductor materials are to be applied in X rays detection. The photoconduction theory and the physical characteristics of those materials are reviewed. The computer simulation models for studying the photoconductor responses to the radiation pulses are described. The experimental results are discussed. They include the following aspects: the characterization of the GaAs and InP:Fe, in the obscurity, as a function of the polarized electric field and of the neutrons dose; the characterization under X ray. Continuous X rays and pulsed synchrotron radiation are applied [fr

  11. Survey of semiconductor physics

    CERN Document Server

    Böer, Karl W

    1992-01-01

    Any book that covers a large variety of subjects and is written by one author lacks by necessity the depth provided by an expert in his or her own field of specialization. This book is no exception. It has been written with the encouragement of my students and colleagues, who felt that an extensive card file I had accumulated over the years of teaching solid state and semiconductor physics would be helpful to more than just a few of us. This file, updated from time to time, contained lecture notes and other entries that were useful in my research and permitted me to give to my students a broader spectrum of information than is available in typical textbooks. When assembling this material into a book, I divided the top­ ics into material dealing with the homogeneous semiconductor, the subject of the previously published Volume 1, and the inhomoge­ neous semiconductor, the subject of this Volume 2. In order to keep the book to a manageable size, sections of tutorial character which can be used as text for a g...

  12. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  13. Introduction to the Physics of Diluted Magnetic Semiconductors

    CERN Document Server

    Gaj, Jan A

    2010-01-01

    The book deals with diluted magnetic semiconductors, a class of materials important to the emerging field of spintronics. In these materials semiconducting properties, both transport and optical, are influenced by the presence of magnetic ions. It concentrates on basic physical mechanisms (e.g. carrier-ion and ion-ion interactions) and resulting phenomena (e.g. magnetic polaron formation and spin relaxation). Introduction to the Physics of Diluted Magnetic Semiconductors is addressed to graduate-level and doctoral students and young researchers entering the field. The authors have been actively involved in the creation of this branch of semiconductor physics.

  14. Material degradation of liquid organic semiconductors analyzed by nuclear magnetic resonance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fukushima, Tatsuya; Yamamoto, Junichi; Fukuchi, Masashi; Kaji, Hironori, E-mail: kaji@scl.kyoto-u.ac.jp [Institute for Chemical Research, Kyoto University, Uji, Kyoto 611-0011 (Japan); Hirata, Shuzo; Jung, Heo Hyo; Adachi, Chihaya [Center for Organic Photonics and Electronics Research (OPERA), Kyusyu University, 744 Motooka, Nishi, Fukuoka 819-0395 (Japan); Hirata, Osamu; Shibano, Yuki [Nissan Chemical Industries, LTD, 722-1 Tsuboi, Funabashi 274-8507 (Japan)

    2015-08-15

    Liquid organic light-emitting diodes (liquid OLEDs) are unique devices consisting only of liquid organic semiconductors in the active layer, and the device performances have been investigated recently. However, the device degradation, especially, the origin has been unknown. In this study, we show that material degradation occurs in liquid OLEDs, whose active layer is composed of carbazole with an ethylene glycol chain. Nuclear magnetic resonance (NMR) experiments clearly exhibit that the dimerization reaction of carbazole moiety occurs in the liquid OLEDs during driving the devices. In contrast, cleavages of the ethylene glycol chain are not detected within experimental error. The dimerization reaction is considered to be related to the device degradation.

  15. Progress in Group III nitride semiconductor electronic devices

    International Nuclear Information System (INIS)

    Hao Yue; Zhang Jinfeng; Shen Bo; Liu Xinyu

    2012-01-01

    Recently there has been a rapid domestic development in group III nitride semiconductor electronic materials and devices. This paper reviews the important progress in GaN-based wide bandgap microelectronic materials and devices in the Key Program of the National Natural Science Foundation of China, which focuses on the research of the fundamental physical mechanisms of group III nitride semiconductor electronic materials and devices with the aim to enhance the crystal quality and electric performance of GaN-based electronic materials, develop new GaN heterostructures, and eventually achieve high performance GaN microwave power devices. Some remarkable progresses achieved in the program will be introduced, including those in GaN high electron mobility transistors (HEMTs) and metal—oxide—semiconductor high electron mobility transistors (MOSHEMTs) with novel high-k gate insulators, and material growth, defect analysis and material properties of InAlN/GaN heterostructures and HEMT fabrication, and quantum transport and spintronic properties of GaN-based heterostructures, and high-electric-field electron transport properties of GaN material and GaN Gunn devices used in terahertz sources. (invited papers)

  16. Density functional theory in materials science.

    Science.gov (United States)

    Neugebauer, Jörg; Hickel, Tilmann

    2013-09-01

    Materials science is a highly interdisciplinary field. It is devoted to the understanding of the relationship between (a) fundamental physical and chemical properties governing processes at the atomistic scale with (b) typically macroscopic properties required of materials in engineering applications. For many materials, this relationship is not only determined by chemical composition, but strongly governed by microstructure. The latter is a consequence of carefully selected process conditions (e.g., mechanical forming and annealing in metallurgy or epitaxial growth in semiconductor technology). A key task of computational materials science is to unravel the often hidden composition-structure-property relationships using computational techniques. The present paper does not aim to give a complete review of all aspects of materials science. Rather, we will present the key concepts underlying the computation of selected material properties and discuss the major classes of materials to which they are applied. Specifically, our focus will be on methods used to describe single or polycrystalline bulk materials of semiconductor, metal or ceramic form.

  17. Growth of Bulk Wide Bandgap Semiconductor Crystals and Their Potential Applications

    Science.gov (United States)

    Chen, Kuo-Tong; Shi, Detang; Morgan, S. H.; Collins, W. Eugene; Burger, Arnold

    1997-01-01

    Developments in bulk crystal growth research for electro-optical devices in the Center for Photonic Materials and Devices since its establishment have been reviewed. Purification processes and single crystal growth systems employing physical vapor transport and Bridgman methods were assembled and used to produce high purity and superior quality wide bandgap materials such as heavy metal halides and II-VI compound semiconductors. Comprehensive material characterization techniques have been employed to reveal the optical, electrical and thermodynamic properties of crystals, and the results were used to establish improved material processing procedures. Postgrowth treatments such as passivation, oxidation, chemical etching and metal contacting during the X-ray and gamma-ray device fabrication process have also been investigated and low noise threshold with improved energy resolution has been achieved.

  18. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  19. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  20. 4. Ukrainian Scientific Conference on Semiconductor Physics (USCPS - 4). Part 2. Abstracts

    International Nuclear Information System (INIS)

    Machulin, V.F.

    2009-01-01

    The materials reflect the content of the conference papers, in which the novel results, state and perspectives of research in the field of semiconductor physics, electronic or phonon phenomena on the surface and in bulk semiconductors, nano- and quantum dimensional structures, physics of modern solid state devices, semiconductor materials and technologies are presented.

  1. Vertically contacting ultrathin semiconductor nanomembranes by rolled-up metallic contacts incorporating selective etching techniques

    Energy Technology Data Exchange (ETDEWEB)

    Thurmer, Dominic J.; Bof Bufon, Carlos Cesar; Deneke, Christoph [IFW Dresden, Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, Dresden (Germany); TU Chemnitz, Chemnitz (Germany)

    2011-07-01

    Merging modern self-assembly techniques with well established top-down processing methods is paving the way for more sophisticated device generations in the future. Nanomembranes, composed of many different material classes, have already been shown to provide the necessary framework for a diverse range of structures and devices incorporating wrinkling, buckling, folding and rolling of thin films. In the past decade, an elegant symbiosis of bottom-up and top-down methods has emerged to fabricate hybrid layer systems incorporating the controlled release and rearrangement of inherently strained layers. Using selective III-V etchants in combination with inherently strained layers we are able to fabricate structures which allow us to contact through single and multi-material semiconductor nanomembrane creating many devices in parallel and on the original semiconductor substrate. We demonstrate this technique by creating hybrid superconducting junctions created by sandwiching the semiconductor nanomembrane between two superconducting contacts. Using solely optical lithography techniques we are able to form junctions with lateral dimensions of a few micrometers and a semiconductor barrier thickness of down to 5 nm.

  2. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  3. Wannier-Frenkel hybrid exciton in organic-semiconductor quantum dot heterostructures

    International Nuclear Information System (INIS)

    Birman, Joseph L.; Huong, Nguyen Que

    2007-01-01

    The formation of a hybridization state of Wannier Mott exciton and Frenkel exciton in different hetero-structure configurations involving quantum dots is investigated. The hybrid excitons exist at the interfaces of the semiconductors quantum dots and the organic medium, having unique properties and a large optical non-linearity. The coupling at resonance is very strong and tunable by changing the parameters of the systems (dot radius, dot-dot distance, generation of the organic dendrites and the materials of the system etc...). Different semiconductor quantum dot-organic material combination systems have been considered such as a semiconductor quantum dot lattice embedded in an organic host, a semiconductor quantum dot at the center of an organic dendrite, a semiconductor quantum dot coated by an organic shell

  4. Fundamental analysis of piezocatalysis process on the surfaces of strained piezoelectric materials.

    Science.gov (United States)

    Starr, Matthew B; Wang, Xudong

    2013-01-01

    Recently, the strain state of a piezoelectric electrode has been found to impact the electrochemical activity taking place between the piezoelectric material and its solution environment. This effect, dubbed piezocatalysis, is prominent in piezoelectric materials because the strain state and electronic state of these materials are strongly coupled. Herein we develop a general theoretical analysis of the piezocatalysis process utilizing well-established piezoelectric, semiconductor, molecular orbital and electrochemistry frameworks. The analysis shows good agreement with experimental results, reproducing the time-dependent voltage drop and H₂ production behaviors of an oscillating piezoelectric Pb(Mg₁/₃Nb₂/₃)O₃-32PbTiO₃ (PMN-PT) cantilever in deionized water environment. This study provides general guidance for future experiments utilizing different piezoelectric materials, such as ZnO, BaTiO₃, PbTiO₃, and PMN-PT. Our analysis indicates a high piezoelectric coupling coefficient and a low electrical conductivity are desired for enabling high electrochemical activity; whereas electrical permittivity must be optimized to balance piezoelectric and capacitive effects.

  5. Graded core/shell semiconductor nanorods and nanorod barcodes

    Science.gov (United States)

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2010-12-14

    Graded core/shell semiconductor nanorods and shaped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  6. Nonequilibrium carrier dynamics in transition metal dichalcogenide semiconductors

    Science.gov (United States)

    Steinhoff, A.; Florian, M.; Rösner, M.; Lorke, M.; Wehling, T. O.; Gies, C.; Jahnke, F.

    2016-09-01

    When exploring new materials for their potential in (opto)electronic device applications, it is important to understand the role of various carrier interaction and scattering processes. In atomically thin transition metal dichalcogenide semiconductors, the Coulomb interaction is known to be much stronger than in quantum wells of conventional semiconductors like GaAs, as witnessed by the 50 times larger exciton binding energy. The question arises, whether this directly translates into equivalently faster carrier-carrier Coulomb scattering of excited carriers. Here we show that a combination of ab initio band-structure and many-body theory predicts Coulomb-mediated carrier relaxation on a sub-100 fs time scale for a wide range of excitation densities, which is less than an order of magnitude faster than in quantum wells.

  7. High pressure semiconductor physics I

    CERN Document Server

    Willardson, R K; Paul, William; Suski, Tadeusz

    1998-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise indeed that this tra...

  8. Identification of defects in semiconductors

    CERN Document Server

    Stavola, Michael; Weber, Eicke R; Stavola, Michael

    1998-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors.The"Willardson and Beer"Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices,Oxygen in Silicon, and others promise indeed that this traditi...

  9. Soft Chemistry, Coloring and Polytypism in Filled Tetrahedral Semiconductors: Toward Enhanced Thermoelectric and Battery Materials.

    Science.gov (United States)

    White, Miles A; Medina-Gonzalez, Alan M; Vela, Javier

    2018-03-12

    Filled tetrahedral semiconductors are a rich family of compounds with tunable electronic structure, making them ideal for applications in thermoelectrics, photovoltaics, and battery anodes. Furthermore, these materials crystallize in a plethora of related structures that are very close in energy, giving rise to polytypism through the manipulation of synthetic parameters. This Minireview highlights recent advances in the solution-phase synthesis and nanostructuring of these materials. These methods enable the synthesis of metastable phases and polytypes that were previously unobtainable. Additionally, samples synthesized in solution phase have enhanced thermoelectric performance due to their decreased grain size. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. X-ray photoemission electron microscopy for the study of semiconductor materials

    International Nuclear Information System (INIS)

    Anders, Simone; Stammler, Thomas; Padmore, Howard A.; Terminello, Louis J.; Jankowski, Alan F.; Stoehr, Joachim; Diaz, Javier; Cossy-Favre, Aline; Singh, Sangeet

    1998-01-01

    Photoemission Electron Microscopy using X-rays (X-PEEM) is a novel combination of two established materials analysis techniques--PEEM using UV light, and Near Edge X-ray Absorption Fine Structure (NEXAFS) spectroscopy. This combination allows the study of elemental composition and bonding structure of the sample by NEXAFS spectroscopy with a high spatial resolution given by the microscope. A simple, two lens, 10 kV operation voltage PEEM has been used at the Stanford Synchrotron Radiation Laboratory and at the Advanced Light Source (ALS) in Berkeley to study various problems including materials of interest for the semiconductor industry. In the present paper we give a short overview over the method and the instrument which was used, and describe in detail a number of applications. These applications include the study of the different phases of titanium disilicide, various phases of boron nitride, and the analysis of small particles. A brief outlook is given on possible new fields of application of the PEEM technique, and the development of new PEEM instruments

  11. Diffusion in semiconductors, other than silicon compilation

    CERN Document Server

    Fisher, David J

    2011-01-01

    Review from Book News Inc.: Summary reports of 337 experiments provide information on the diffusion of matter and heat in 31 materials used in semiconductors. Most of the compounds are based on cadmium, gallium, indium, lead, and zinc. Mercury telluride is included however, as is silicon carbide for some reason. Each article is thoroughly referenced to the authors and publication number, date, and page. The arrangement is alphabetical by semiconductor material. Indexes cover authors, hosts, and diffusants.

  12. Novel room temperature ferromagnetic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Amita [KTH Royal Inst. of Technology, Stockholm (Sweden)

    2004-06-01

    Today's information world, bits of data are processed by semiconductor chips, and stored in the magnetic disk drives. But tomorrow's information technology may see magnetism (spin) and semiconductivity (charge) combined in one 'spintronic' device that exploits both charge and 'spin' to carry data (the best of two worlds). Spintronic devices such as spin valve transistors, spin light emitting diodes, non-volatile memory, logic devices, optical isolators and ultra-fast optical switches are some of the areas of interest for introducing the ferromagnetic properties at room temperature in a semiconductor to make it multifunctional. The potential advantages of such spintronic devices will be higher speed, greater efficiency, and better stability at a reduced power consumption. This Thesis contains two main topics: In-depth understanding of magnetism in Mn doped ZnO, and our search and identification of at least six new above room temperature ferromagnetic semiconductors. Both complex doped ZnO based new materials, as well as a number of nonoxides like phosphides, and sulfides suitably doped with Mn or Cu are shown to give rise to ferromagnetism above room temperature. Some of the highlights of this work are discovery of room temperature ferromagnetism in: (1) ZnO:Mn (paper in Nature Materials, Oct issue, 2003); (2) ZnO doped with Cu (containing no magnetic elements in it); (3) GaP doped with Cu (again containing no magnetic elements in it); (4) Enhancement of Magnetization by Cu co-doping in ZnO:Mn; (5) CdS doped with Mn, and a few others not reported in this thesis. We discuss in detail the first observation of ferromagnetism above room temperature in the form of powder, bulk pellets, in 2-3 mu-m thick transparent pulsed laser deposited films of the Mn (<4 at. percent) doped ZnO. High-resolution transmission electron microscopy (HRTEM) and electron energy loss spectroscopy (EELS) spectra recorded from 2 to 200nm areas showed homogeneous

  13. Development of an integrated response generator for Si/CdTe semiconductor Compton cameras

    International Nuclear Information System (INIS)

    Odaka, Hirokazu; Sugimoto, Soichiro; Ishikawa, Shin-nosuke; Katsuta, Junichiro; Koseki, Yuu; Fukuyama, Taro; Saito, Shinya; Sato, Rie; Sato, Goro; Watanabe, Shin

    2010-01-01

    We have developed an integrated response generator based on Monte Carlo simulation for Compton cameras composed of silicon (Si) and cadmium telluride (CdTe) semiconductor detectors. In order to construct an accurate detector response function, the simulation is required to include a comprehensive treatment of the semiconductor detector devices and the data processing system in addition to simulating particle tracking. Although CdTe is an excellent semiconductor material for detection of soft gamma rays, its ineffective charge transport property distorts its spectral response. We investigated the response of CdTe pad detectors in the simulation and present our initial results here. We also performed the full simulation of prototypes of Si/CdTe semiconductor Compton cameras and report on the reproducibility of detection efficiencies and angular resolutions of the cameras, both of which are essential performance parameters of astrophysical instruments.

  14. Induced Charge Fluctuations in Semiconductor Detectors with a Cylindrical Geometry

    Science.gov (United States)

    Samedov, Victor V.

    2018-01-01

    Now, compound semiconductors are very appealing for hard X-ray room-temperature detectors for medical and astrophysical applications. Despite the attractive properties of compound semiconductors, such as high atomic number, high density, wide band gap, low chemical reactivity and long-term stability, poor hole and electron mobility-lifetime products degrade the energy resolution of these detectors. The main objective of the present study is in development of a mathematical model of the process of the charge induction in a cylindrical geometry with accounting for the charge carrier trapping. The formulae for the moments of the distribution function of the induced charge and the formulae for the mean amplitude and the variance of the signal at the output of the semiconductor detector with a cylindrical geometry were derived. It was shown that the power series expansions of the detector amplitude and the variance in terms of the inverse bias voltage allow determining the Fano factor, electron mobility lifetime product, and the nonuniformity level of the trap density of the semiconductor material.

  15. NATO Advanced Study Institute on Nondestructive Evaluation of Semiconductor Materials and Devices

    CERN Document Server

    1979-01-01

    From September 19-29, a NATO Advanced Study Institute on Non­ destructive Evaluation of Semiconductor Materials and Devices was held at the Villa Tuscolano in Frascati, Italy. A total of 80 attendees and lecturers participated in the program which covered many of the important topics in this field. The subject matter was divided to emphasize the following different types of problems: electrical measurements; acoustic measurements; scanning techniques; optical methods; backscatter methods; x-ray observations; accele­ rated life tests. It would be difficult to give a full discussion of such an Institute without going through the major points of each speaker. Clearly this is the proper task of the eventual readers of these Proceedings. Instead, it would be preferable to stress some general issues. What came through very clearly is that the measurements of the basic scientists in materials and device phenomena are of sub­ stantial immediate concern to the device technologies and end users.

  16. Modelling colliding-pulse mode-locked semiconductor lasers

    DEFF Research Database (Denmark)

    Bischoff, Svend

    or to determine the optimum operation conditions. The purpose of this thesis is to elucidate some of the physics of interest in the field of semiconductor laser modelling, semiconductor optics and fiber optics. To be more specific we will investigate: The Colliding-Pulse Mode-Locked (CPM) Quantum Well (QW) laser...... diode; the excitonic semiconductor response for varying material thickness in the case of linear optics; and modulational instability of electromagnetic waves in media with spatially varying non-linearity....

  17. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  18. Hybrid system of semiconductor and photosynthetic protein

    International Nuclear Information System (INIS)

    Kim, Younghye; Shin, Seon Ae; Lee, Jaehun; Yang, Ki Dong; Nam, Ki Tae

    2014-01-01

    Photosynthetic protein has the potential to be a new attractive material for solar energy absorption and conversion. The development of semiconductor/photosynthetic protein hybrids is an example of recent progress toward efficient, clean and nanostructured photoelectric systems. In the review, two biohybrid systems interacting through different communicating methods are addressed: (1) a photosynthetic protein immobilized semiconductor electrode operating via electron transfer and (2) a hybrid of semiconductor quantum dots and photosynthetic protein operating via energy transfer. The proper selection of materials and functional and structural modification of the components and optimal conjugation between them are the main issues discussed in the review. In conclusion, we propose the direction of future biohybrid systems for solar energy conversion systems, optical biosensors and photoelectric devices. (topical reviews)

  19. Solution-processed organic thermoelectric materials exhibiting doping-concentration-dependent polarity.

    Science.gov (United States)

    Hwang, Sunbin; Potscavage, William J; Yang, Yu Seok; Park, In Seob; Matsushima, Toshinori; Adachi, Chihaya

    2016-10-26

    Recent progress in conducting polymer-based organic thermoelectric generators (OTEGs) has resulted in high performance due to high Seebeck coefficient, high electrical conductivity (σ), and low thermal conductivity obtained by chemically controlling the materials's redox levels. In addition to improving the properties of individual OTEGs to obtain high performance, the development of solution processes for the fabrication of OTEG modules is necessary to realize large thermoelectric voltage and low-cost mass production. However, the scarcity of good candidates for soluble organic n-type materials limits the use of π-leg module structures consisting of complementary elements of p- and n-type materials because of unbalanced transport coefficients that lead to power losses. In particular, the extremely low σ of n-type materials compared with that of p-type materials is a serious challenge. In this study, poly(pyridinium phenylene) (P(PymPh)) was tested as an n-type semiconductor in solution-processed OTEGs, and the carrier density was controlled by a solution-based chemical doping process using the dopant sodium naphthalenide, a well-known reductant. The electronic structures and doping mechanism of P(PymPh) were explored based on the changes in UV-Vis-IR absorption, ultraviolet photoelectron, and X-ray photoelectron spectra. By controlling the dopant concentration, we demonstrate a maximum n-type power factor of 0.81 μW m -1 K -2 with high σ, and at higher doping concentrations, a switch from n-type to p-type TE operation. This is one of the first cases of a switch in polarity just by increasing the concentration of the reductant and may open a new route for simplified fabrication of complementary organic layers.

  20. Self-organization in irradiated materials

    International Nuclear Information System (INIS)

    Gerasimenko, N.N.; Dzhamanbalin, K.K.; Medetov, N.A.

    2003-01-01

    Full text: By the present time a great deal of experimental material concerning self-organization in irradiated materials is stored. It means that in different materials (single crystal and amorphous semiconductor, metals, polymers) during one process of irradiation with accelerated particles or energetic quanta the structure previously disordered can be reordered to the previous or different order. These processes are considered separately from the processes of radiation-stimulated ordering when the renewal of the structure occurs as the result of extra irradiation, sometimes accompanied with another influence (heating, lighting, application of mechanical tensions). The processes of reordering are divided into two basic classes: the reconstruction of crystalline structure (1) and the formation of space-ordered system (2). The processes of ordering are considered with the use of synergetic approach and are analyzed conformably to the concrete conditions of new order appearance process realization in order to reveal the self-organization factor's role. The concrete experimental results of investigating of the radiation ordering processes are analyzed for different materials: semiconductor, metals, inorganic dielectrics, polymers. The ordering processes are examined from the point of their possible use in the technology of creating nano-dimensional structures general and quantum-dimensional ones in particular

  1. Study of radiation damage in solid materials by simulating physical processes

    International Nuclear Information System (INIS)

    Pinnera Hernandez, Ibrahin

    2006-12-01

    Nowadays the damage induced by different types of radiation in advanced materials is widely studied. Especially those materials involved in experiments and developing of new technologies, such as high critical temperature superconductors, semiconductors, metals. These materials are the basis constituents of radiation detectors, particle accelerators, etc. One way of studying this kind of damage is through the determination of the displacements per atom (dpa) induced by the radiation in these materials. This magnitude is one of the measures of the provoked radiation damage. On this direction, the present thesis deals with the study of two types of materials through mathematical simulation of physical processes taking place in the radiation transport. Ceramic superconductor Yba 2 Cu 3 O 7-x and metal Fe are the selected materials. The energy range of the incident gamma radiation goes from a few keV to 15 MeV. The MCNPX version 2.6b is used to determine the physical magnitudes required to calculate the distribution of displacements per atom within these materials, using an algorithm implemented for this purpose. Finally, a comparison between the obtained dpa profiles and the corresponding of energy deposition by radiation in these same materials and the possible linear dependence between both quantities is discussed. (Author)

  2. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  3. Material degradation of liquid organic semiconductors analyzed by nuclear magnetic resonance spectroscopy

    Directory of Open Access Journals (Sweden)

    Tatsuya Fukushima

    2015-08-01

    Full Text Available Liquid organic light-emitting diodes (liquid OLEDs are unique devices consisting only of liquid organic semiconductors in the active layer, and the device performances have been investigated recently. However, the device degradation, especially, the origin has been unknown. In this study, we show that material degradation occurs in liquid OLEDs, whose active layer is composed of carbazole with an ethylene glycol chain. Nuclear magnetic resonance (NMR experiments clearly exhibit that the dimerization reaction of carbazole moiety occurs in the liquid OLEDs during driving the devices. In contrast, cleavages of the ethylene glycol chain are not detected within experimental error. The dimerization reaction is considered to be related to the device degradation.

  4. Second International Conference on Neutron Transmutation Doping in Semiconductors

    CERN Document Server

    Neutron Transmutation Doping in Semiconductors

    1979-01-01

    This volume contains the invited and contributed papers presented at the Second International Conference on Neutron Transmutation Doping in Semiconductors held April 23-26, 1978 at the University of Missouri-Columbia. The first "testing of the waters" symposium on this subject was organized by John Cleland and Dick Wood of the Solid-State Division of Oak Ridge National Laboratory in April of 1976, just one year after NTD-silicon appeared on the marketplace. Since this first meeting, NTD-silicon has become established as the starting material for the power device industry and reactor irradiations are now measured in tens of tons of material per annum making NTD processing the largest radiation effects technology in the semiconductor industry. Since the first conference at Oak Ridge, new applications and irradiation techniques have developed. Interest in a second con­ ference and in publishing the proceedings has been extremely high. The second conference at the University of Missouri was attended by 114 perso...

  5. Methods of forming semiconductor devices and devices formed using such methods

    Science.gov (United States)

    Fox, Robert V; Rodriguez, Rene G; Pak, Joshua

    2013-05-21

    Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.

  6. Semiconductor X-ray detectors

    CERN Document Server

    Lowe, Barrie Glyn

    2014-01-01

    Identifying and measuring the elemental x-rays released when materials are examined with particles (electrons, protons, alpha particles, etc.) or photons (x-rays and gamma rays) is still considered to be the primary analytical technique for routine and non-destructive materials analysis. The Lithium Drifted Silicon (Si(Li)) X-Ray Detector, with its good resolution and peak to background, pioneered this type of analysis on electron microscopes, x-ray fluorescence instruments, and radioactive source- and accelerator-based excitation systems. Although rapid progress in Silicon Drift Detectors (SDDs), Charge Coupled Devices (CCDs), and Compound Semiconductor Detectors, including renewed interest in alternative materials such as CdZnTe and diamond, has made the Si(Li) X-Ray Detector nearly obsolete, the device serves as a useful benchmark and still is used in special instances where its large, sensitive depth is essential. Semiconductor X-Ray Detectors focuses on the history and development of Si(Li) X-Ray Detect...

  7. Semiconductor Detectors

    International Nuclear Information System (INIS)

    Cortina, E.

    2007-01-01

    Particle detectors based on semiconductor materials are among the few devices used for particle detection that are available to the public at large. In fact we are surrounded by them in our daily lives: they are used in photoelectric cells for opening doors, in digital photographic and video camera, and in bar code readers at supermarket cash registers. (Author)

  8. Tuning and synthesis of semiconductor nanostructures by mechanical compression

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Hongyou; Li, Binsong

    2015-11-17

    A mechanical compression method can be used to tune semiconductor nanoparticle lattice structure and synthesize new semiconductor nanostructures including nanorods, nanowires, nanosheets, and other three-dimensional interconnected structures. II-VI or IV-VI compound semiconductor nanoparticle assemblies can be used as starting materials, including CdSe, CdTe, ZnSe, ZnS, PbSe, and PbS.

  9. Fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam method

    International Nuclear Information System (INIS)

    Xu Xingsheng; Chen Hongda; Xiong Zhigang; Jin Aizi; Gu Changzhi; Cheng Bingying; Zhang Daozhong

    2007-01-01

    In this paper, we introduced the fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam machine, it shows that the method of focused-ion beam can fabricate two-dimensional photonic crystal and photonic crystal device efficiently, and the quality of the fabricated photonic crystal is high. Using the focused-ion beam method, we fabricate photonic crystal wavelength division multiplexer, and its characteristics are analyzed

  10. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  11. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  12. PyCDT: A Python toolkit for modeling point defects in semiconductors and insulators

    Science.gov (United States)

    Broberg, Danny; Medasani, Bharat; Zimmermann, Nils E. R.; Yu, Guodong; Canning, Andrew; Haranczyk, Maciej; Asta, Mark; Hautier, Geoffroy

    2018-05-01

    Point defects have a strong impact on the performance of semiconductor and insulator materials used in technological applications, spanning microelectronics to energy conversion and storage. The nature of the dominant defect types, how they vary with processing conditions, and their impact on materials properties are central aspects that determine the performance of a material in a certain application. This information is, however, difficult to access directly from experimental measurements. Consequently, computational methods, based on electronic density functional theory (DFT), have found widespread use in the calculation of point-defect properties. Here we have developed the Python Charged Defect Toolkit (PyCDT) to expedite the setup and post-processing of defect calculations with widely used DFT software. PyCDT has a user-friendly command-line interface and provides a direct interface with the Materials Project database. This allows for setting up many charged defect calculations for any material of interest, as well as post-processing and applying state-of-the-art electrostatic correction terms. Our paper serves as a documentation for PyCDT, and demonstrates its use in an application to the well-studied GaAs compound semiconductor. We anticipate that the PyCDT code will be useful as a framework for undertaking readily reproducible calculations of charged point-defect properties, and that it will provide a foundation for automated, high-throughput calculations.

  13. α-particle shielding of semiconductor device

    International Nuclear Information System (INIS)

    McKeown, P.J.A.; Perry, J.P.; Waddell, J.M.; Barker, K.D.

    1981-01-01

    Soft errors in semiconductor devices, e.g. random access memories, arising from the bombardment of the device by alpha particles produced by the disintegration of minute traces of uranium or thorium in the packaging materials are prevented by coating the active surface of the semiconductor chip with a thin layer, e.g. 20 to 100 microns of an organic polymeric material, this layer being of sufficient thickness to absorb the particles. Typically, the polymer is a poly-imide formed by u.v. electron-beam or thermal curing of liquid monomer applied to the chip surface. (author)

  14. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  15. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  16. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  17. Semiconductor-Based Photoelectrochemical Conversion of Carbon Dioxide: Stepping Towards Artificial Photosynthesis.

    Science.gov (United States)

    Pang, Hong; Masuda, Takuya; Ye, Jinhua

    2018-01-18

    The photoelectrochemical (PEC) carbon dioxide reduction process stands out as a promising avenue for the conversion of solar energy into chemical feedstocks, among various methods available for carbon dioxide mitigation. Semiconductors derived from cheap and abundant elements are interesting candidates for catalysis. Whether employed as intrinsic semiconductors or hybridized with metallic cocatalysts, biocatalysts, and metal molecular complexes, semiconductor photocathodes exhibit good performance and low overpotential during carbon dioxide reduction. Apart from focusing on carbon dioxide reduction materials and chemistry, PEC cells towards standalone devices that use photohybrid electrodes or solar cells have also been a hot topic in recent research. An overview of the state-of-the-art progress in PEC carbon dioxide reduction is presented and a deep understanding of the catalysts of carbon dioxide reduction is also given. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  19. Charge carrier relaxation model in disordered organic semiconductors

    International Nuclear Information System (INIS)

    Lu, Nianduan; Li, Ling; Sun, Pengxiao; Liu, Ming

    2013-01-01

    The relaxation phenomena of charge carrier in disordered organic semiconductors have been demonstrated and investigated theoretically. An analytical model describing the charge carrier relaxation is proposed based on the pure hopping transport theory. The relation between the material disorder, electric field and temperature and the relaxation phenomena has been discussed in detail, respectively. The calculated results reveal that the increase of electric field and temperature can promote the relaxation effect in disordered organic semiconductors, while the increase of material disorder will weaken the relaxation. The proposed model can explain well the stretched-exponential law by adopting the appropriate parameters. The calculation shows a good agreement with the experimental data for organic semiconductors

  20. Computational nano-materials design for high-TC ferromagnetism in wide-gap magnetic semiconductors

    International Nuclear Information System (INIS)

    Katayama-Yoshida, H.; Sato, K.; Fukushima, T.; Toyoda, M.; Kizaki, H.; Dinh, V.A.; Dederichs, P.H.

    2007-01-01

    We propose materials design of high-T C wide band-gap dilute magnetic semiconductors (DMSs) based on first-principles calculations by using the Korringa-Kohn-Rostoker coherent potential approximation (KKR-CPA) method. First, we discuss a unified physical picture of ferromagnetism in II-VI and III-V DMSs and show that DMS family is categorized into two groups depending on the electronic structure. One is the system where Zener's double exchange mechanism dominates in the ferromagnetic interaction, and in the other systems Zener's p-d exchange mechanism dominates. Next, we develop an accurate method for T C calculation for the DMSs and show that the mean field approximation completely fails to predict Curie temperature of DMS in particular for wide-gap DMS where the exchange interaction is short-ranged. The calculated T C of homogeneous DMSs by using the present method agrees very well with available experimental values. For more realistic material design, we simulate spinodal nano-decomposition by applying the Monte Carlo method to the Ising model with ab initio chemical pair interactions between magnetic impurities in DMS. It is found that by controlling the dimensionality of the decomposition various characteristic phases occur in DMS such as 3D Dairiseki-phase and 1D Konbu-phase, and it is suggested that super-paramagnetic blocking phenomena should be important to understand the magnetism of wide-gap DMS. Based on the present simulations for spinodal nano-decomposition, we propose a new crystal growth method of positioning by seeding and shape controlling method in 100 Tera-bit density of nano-magnets in the semiconductor matrix with high-T C (or high-T B )

  1. EDITORIAL: Focus on Dilute Magnetic Semiconductors FOCUS ON DILUTE MAGNETIC SEMICONDUCTORS

    Science.gov (United States)

    Chambers, Scott A.; Gallagher, Bryan

    2008-05-01

    This focus issue of New Journal of Physics is devoted to the materials science of dilute magnetic semiconductors (DMS). A DMS is traditionally defined as a diamagnetic semiconductor doped with a few to several atomic per cent of some transition metal with unpaired d electrons. Several kinds of dopant-dopant interactions can in principle couple the dopant spins leading to a ferromagnetic ground state in a dilute magnetic system. These include superexchange, which occurs principally in oxides and only between dopants with one intervening oxygen, and double exchange, in which dopants of different formal charges exchange an electron. In both of these mechanisms, the ferromagnetic alignment is not critically dependent on free carriers in the host semiconductor because exchange occurs via bonds. A third mechanism, discovered in the last few years, involves electrons associated with lattice defects that can apparently couple dopant spins. This mechanism is not well understood. Finally, the most desirable mechanism is carrier-mediated exchange interaction in which the dopant spins are coupled by itinerant electrons or holes in the host semiconductor. This mechanism introduces a fundamental link between magnetic and electrical transport properties and offers the possibility of new spintronic functionalities. In particular electrical gate control of ferromagnetism and the use of spin polarized currents to carry signals for analog and digital applications. The spin light emitting diode is a prototypical device of this kind that has been extensively used to characterize the extent of spin polarization in the active light emitting semiconductor heterostructure. The prototypical carrier mediated ferromagnetic DMS is Mn-doped GaAs. This and closely related narrow gap III-V materials have been very extensively studied. Their properties are generally quite well understood and they have led to important insights into fundamental properties of ferromagnetic systems with strong spin

  2. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  3. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  4. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  5. Diketopyrrolopyrrole polymers as organic semiconductors and optical materials

    NARCIS (Netherlands)

    2008-01-01

    The present invention relates to polymers comprising diketopyrrolopyrrole repeating units and their use as org. semiconductor in org. devices, esp. a diode, an org. field effect transistor and/or a solar cell, or a device contg. a diode and/or an org. field effect transistor, and/or a solar cell.

  6. Plasmonic Control of Radiation and Absorption Processes in Semiconductor Quantum Dots

    Energy Technology Data Exchange (ETDEWEB)

    Paiella, Roberto [Boston Univ., MA (United States); Moustakas, Theodore D. [Boston Univ., MA (United States)

    2017-07-31

    This document reviews a research program funded by the DOE Office of Science, which has been focused on the control of radiation and absorption processes in semiconductor photonic materials (including III-nitride quantum wells and quantum dots), through the use of specially designed metallic nanoparticles (NPs). By virtue of their strongly confined plasmonic resonances (i.e., collective oscillations of the electron gas), these nanostructures can concentrate incident radiation into sub-wavelength “hot spots” of highly enhanced field intensity, thereby increasing optical absorption by suitably positioned absorbers. By reciprocity, the same NPs can also dramatically increase the spontaneous emission rate of radiating dipoles located within their hot spots. The NPs can therefore be used as optical antennas to enhance the radiation output of the underlying active material and at the same time control the far-field pattern of the emitted light. The key accomplishments of the project include the demonstration of highly enhanced light emission efficiency as well as plasmonic collimation and beaming along geometrically tunable directions, using a variety of plasmonic excitations. Initial results showing the reverse functionality (i.e., plasmonic unidirectional absorption and photodetection) have also been generated with similar systems. Furthermore, a new paradigm for the near-field control of light emission has been introduced through rigorous theoretical studies, based on the use of gradient metasurfaces (i.e., optical nanoantenna arrays with spatially varying shape, size, and/or orientation). These activities have been complemented by materials development efforts aimed at the synthesis of suitable light-emitting samples by molecular beam epitaxy. In the course of these efforts, a novel technique for the growth of III-nitride quantum dots has also been developed (droplet heteroepitaxy), with several potential advantages in terms of compositional and geometrical

  7. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  8. Synthesis of Perylene Imide Diones as Platforms for the Development of Pyrazine Based Organic Semiconductors.

    Science.gov (United States)

    de Echegaray, Paula; Mancheño, María J; Arrechea-Marcos, Iratxe; Juárez, Rafael; López-Espejo, Guzmán; López Navarrete, J Teodomiro; Ramos, María Mar; Seoane, Carlos; Ortiz, Rocío Ponce; Segura, José L

    2016-11-18

    There is a great interest in peryleneimide (PI)-containing compounds given their unique combination of good electron accepting ability, high abosorption in the visible region, and outstanding chemical, thermal, and photochemical stabilities. Thus, herein we report the synthesis of perylene imide derivatives endowed with a 1,2-diketone functionality (PIDs) as efficient intermediates to easily access peryleneimide (PI)-containing organic semiconductors with enhanced absorption cross-section for the design of tunable semiconductor organic materials. Three processable organic molecular semiconductors containing thiophene and terthiophene moieties, PITa, PITb, and PITT, have been prepared from the novel PIDs. The tendency of these semiconductors for molecular aggregation have been investigated by NMR spectroscopy and supported by quantum chemical calculations. 2D NMR experiments and theoretical calculations point to an antiparallel π-stacking interaction as the most stable conformation in the aggregates. Investigation of the optical and electrochemical properties of the materials is also reported and analyzed in combination with DFT calculations. Although the derivatives presented here show modest electron mobilities of ∼10 -4 cm 2 V -1 s -1 , these preliminary studies of their performance in organic field effect transistors (OFETs) indicate the potential of these new building blocks as n-type semiconductors.

  9. Defect Characterization, Imaging, and Control in Wide-Bandgap Semiconductors and Devices

    Science.gov (United States)

    Brillson, L. J.; Foster, G. M.; Cox, J.; Ruane, W. T.; Jarjour, A. B.; Gao, H.; von Wenckstern, H.; Grundmann, M.; Wang, B.; Look, D. C.; Hyland, A.; Allen, M. W.

    2018-03-01

    Wide-bandgap semiconductors are now leading the way to new physical phenomena and device applications at nanoscale dimensions. The impact of defects on the electronic properties of these materials increases as their size decreases, motivating new techniques to characterize and begin to control these electronic states. Leading these advances have been the semiconductors ZnO, GaN, and related materials. This paper highlights the importance of native point defects in these semiconductors and describes how a complement of spatially localized surface science and spectroscopy techniques in three dimensions can characterize, image, and begin to control these electronic states at the nanoscale. A combination of characterization techniques including depth-resolved cathodoluminescence spectroscopy, surface photovoltage spectroscopy, and hyperspectral imaging can describe the nature and distribution of defects at interfaces at both bulk and nanoscale surfaces, their metal interfaces, and inside nanostructures themselves. These features as well as temperature and mechanical strain inside wide-bandgap device structures at the nanoscale can be measured even while these devices are operating. These advanced capabilities enable several new directions for describing defects at the nanoscale, showing how they contribute to device degradation, and guiding growth processes to control them.

  10. FWP executive summaries, Basic Energy Sciences Materials Sciences Programs (SNL/NM)

    Energy Technology Data Exchange (ETDEWEB)

    Samara, G.A.

    1997-05-01

    The BES Materials Sciences Program has the central theme of Scientifically Tailored Materials. The major objective of this program is to combine Sandia`s expertise and capabilities in the areas of solid state sciences, advanced atomic-level diagnostics and materials synthesis and processing science to produce new classes of tailored materials as well as to enhance the properties of existing materials for US energy applications and for critical defense needs. Current core research in this program includes the physics and chemistry of ceramics synthesis and processing, the use of energetic particles for the synthesis and study of materials, tailored surfaces and interfaces for materials applications, chemical vapor deposition sciences, artificially-structured semiconductor materials science, advanced growth techniques for improved semiconductor structures, transport in unconventional solids, atomic-level science of interfacial adhesion, high-temperature superconductors, and the synthesis and processing of nano-size clusters for energy applications. In addition, the program includes the following three smaller efforts initiated in the past two years: (1) Wetting and Flow of Liquid Metals and Amorphous Ceramics at Solid Interfaces, (2) Field-Structured Anisotropic Composites, and (3) Composition-Modulated Semiconductor Structures for Photovoltaic and Optical Technologies. The latter is a joint effort with the National Renewable Energy Laboratory. Separate summaries are given of individual research areas.

  11. Modification of semiconductor materials using laser-produced ion streams additionally accelerated in the electric fields

    International Nuclear Information System (INIS)

    Rosinski, M.; Badziak, B.; Parys, P.; Wolowski, J.; Pisarek, M.

    2009-01-01

    The laser-produced ion stream may be attractive for direct ultra-low-energy ion implantation in thin layer of semiconductor for modification of electrical and optical properties of semiconductor devices. Application of electrostatic fields for acceleration and formation of laser-generated ion stream enables to control the ion stream parameters in broad energy and current density ranges. It also permits to remove the useless laser-produced ions from the ion stream designed for implantation. For acceleration of ions produced with the use of a low fluence repetitive laser system (Nd:glass: 2 Hz, pulse duration: 3.5 ns, pulse energy:∼0.5 J, power density: 10 10 W/cm 2 ) in IPPLM the special electrostatic system has been prepared. The laser-produced ions passing through the diaphragm (a ring-shaped slit in the HV box) have been accelerated in the system of electrodes. The accelerating voltage up to 40 kV, the distance of the diaphragm from the target, the diaphragm diameter and the gap width were changed for choosing the desired parameters (namely the energy band of the implanted ions) of the ion stream. The characteristics of laser-produced Ge ion streams were determined with the use of precise ion diagnostic methods, namely: electrostatic ion energy analyser and various ion collectors. The laser-produced and post-accelerated Ge ions have been used for implantation into semiconductor materials for nanocrystal fabrication. The characteristics of implanted samples were measured using AES

  12. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  13. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  14. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  15. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  16. Synthesis of semiconductor polymers by inductive plasma; Sintesis de polimeros semiconductores por plasmas inductivos

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, G.; Cruz, G.; Olayo, M.G. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico); Morales, J. [UAM-I, 09340 Mexico D.F. (Mexico)

    2003-07-01

    When carrying out the synthesis of semiconductor polymers by plasma it is important to consider the electric arrangement of the discharge since this it influences in the distribution of the energy of the particles in the reactor. The main electric arrangements in those that are developed the brightness discharges of radio frequency are resistive, capacitive and inductive. In the Laboratory of Materials processing by plasma of the ININ its have been worked different synthesis of polymers with resistive arrangements with good results. In this work the results of the synthesis and characterization of poly aniline and chlorate polyethylene by inductive plasma are presented. (Author)

  17. Carrier-phonon interaction in semiconductor quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Seebeck, Jan

    2009-03-10

    In recent years semiconductor quantum dots have been studied extensively due to their wide range of possible applications, predominantly for light sources. For successful applications, efficient carrier scattering processes as well as a detailed understanding of the optical properties are of central importance. The aims of this thesis are theoretical investigations of carrier scattering processes in InGaAs/GaAs quantum dots on a quantum-kinetic basis. A consistent treatment of quasi-particle renormalizations and carrier kinetics for non-equilibrium conditions is presented, using the framework of non-equilibrium Green's functions. The focus of our investigations is the interaction of carriers with LO phonons. Important for the understanding of the scattering mechanism are the corresponding quasi-particle properties. Starting from a detailed study of quantum-dot polarons, scattering and dephasing processes are discussed for different temperature regimes. The inclusion of polaron and memory effects turns out to be essential for the description of the carrier kinetics in quantum-dot systems. They give rise to efficient scattering channels and the obtained results are in agreement with recent experiments. Furthermore, a consistent treatment of the carrier-LO-phonon and the carrier-carrier interaction is presented for the optical response of semiconductor quantum dots, both giving rise to equally important contributions to the dephasing. Beside the conventional GaAs material system, currently GaN based light sources are of high topical interest due to their wide range of possible emission frequencies. In this material additionally intrinsic properties like piezoelectric fields and strong band-mixing effects have to be considered. For the description of the optical properties of InN/GaN quantum dots a procedure is presented, where the material properties obtained from an atomistic tight-binding approach are combined with a many-body theory for non

  18. High-frequency electro-thermal processing of secondary nonmetallic raw materials

    Directory of Open Access Journals (Sweden)

    A. V. Livshits

    2014-01-01

    Full Text Available Despite a large number of studies in industrial waste processing, this field is still a challenge. In this regard, new processing capabilities emerging from the use of high frequency (RF and microwave (MW heat equipment are a positive factor to be researched.In HF and MW processing the heating process is determined by absorption of electromagnetic wave power through the processed material. This electromagnetic wave power is transmitted by the substance atoms and spent for heating a sample, polarization, and initiation of chemical reactions. The non-conductor (dielectric and semiconductor material heat is explained by the existing effect of dielectric losses due to losses caused by the through electrical conductivity and slow processes of polarization. The dielectric losses due to electrical conductivity result from the Joule heat released when through-current flows through the dielectric.The differences in frequency radiation of HF and microwave equipment define their different technological capabilities. HF-radiation represents almost homogeneous field between the plates of a running capacitor. With multiple reflection from the chamber walls MW-radiation is randomly distributed within the chamber. Thus, radiation partly returns to the generator, thereby affecting the equipment performance capability and life time. Microwave heating is uneven. The depth of penetration into the material is much less to HF-processing. HF heating features are high penetration of radiation and uniform heating of the material. Together with pre-pressing it can afford an opportunity to join the non-standard pieces of plastic to have the larger insulating items.The fact of the selective effect on the material is positive when processing the waste. Since the tangent of angle of dielectric losses of materials such as wood is directly proportional to humidity, the heating automatically stops as wood dries. This fact was used to produce for the fuel briquettes, which were

  19. Plasmonic finite-thickness metal-semiconductor-metal waveguide as ultra-compact modulator

    DEFF Research Database (Denmark)

    Babicheva, Viktoriia; Malureanu, Radu; Lavrinenko, Andrei

    2013-01-01

    We propose a plasmonic waveguide with semiconductor gain material for optoelectronic integrated circuits. We analyze properties of a finite-thickness metal-semiconductor-metal (F-MSM) waveguide to be utilized as an ultra-compact and fast plasmonic modulator. The InP-based semiconductor core allows...

  20. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  1. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  2. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Temperature dependent electronic conduction in semiconductors

    International Nuclear Information System (INIS)

    Roberts, G.G.; Munn, R.W.

    1980-01-01

    This review describes the temperature dependence of bulk-controlled electronic currents in semiconductors. The scope of the article is wide in that it contrasts conduction mechanisms in inorganic and organic solids and also single crystal and disordered semiconductors. In many experimental situations it is the metal-semiconductor contact or the interface between two dissimilar semiconductors that governs the temperature dependence of the conductivity. However, in order to keep the length of the review within reasonable bounds, these topics have been largely avoided and emphasis is therefore placed on bulk-limited currents. A central feature of electronic conduction in semiconductors is the concentrations of mobile electrons and holes that contribute to the conductivity. Various statistical approaches may be used to calculate these densities which are normally strongly temperature dependent. Section 1 emphasizes the relationship between the position of the Fermi level, the distribution of quantum states, the total number of electrons available and the absolute temperature of the system. The inclusion of experimental data for several materials is designed to assist the experimentalist in his interpretation of activation energy curves. Sections 2 and 3 refer to electronic conduction in disordered solids and molecular crystals, respectively. In these cases alternative approaches to the conventional band theory approach must be considered. For example, the velocities of the charge carriers are usually substantially lower than those in conventional inorganic single crystal semiconductors, thus introducing the possibility of an activated mobility. Some general electronic properties of these materials are given in the introduction to each of these sections and these help to set the conduction mechanisms in context. (orig.)

  5. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  6. Materials and Molecular Research Division annual report 1983

    Energy Technology Data Exchange (ETDEWEB)

    Searcy, A.W.; Muller, R.H.; Peterson, C.V.

    1984-07-01

    Progress is reported in the following fields: materials sciences (metallurgy and ceramics, solid-state physics, materials chemistry), chemical sciences (fundamental interactions, processes and techniques), actinide chemistry, fossil energy, electrochemical energy storage systems, superconducting magnets, semiconductor materials and devices, and work for others. (DLC)

  7. Materials and Molecular Research Division annual report 1983

    International Nuclear Information System (INIS)

    Searcy, A.W.; Muller, R.H.; Peterson, C.V.

    1984-07-01

    Progress is reported in the following fields: materials sciences (metallurgy and ceramics, solid-state physics, materials chemistry), chemical sciences (fundamental interactions, processes and techniques), actinide chemistry, fossil energy, electrochemical energy storage systems, superconducting magnets, semiconductor materials and devices, and work for others

  8. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  9. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  10. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  11. Inorganic p-Type Semiconductors: Their Applications and Progress in Dye-Sensitized Solar Cells and Perovskite Solar Cells

    Directory of Open Access Journals (Sweden)

    Ming-Hsien Li

    2016-04-01

    Full Text Available Considering the increasing global demand for energy and the harmful ecological impact of conventional energy sources, it is obvious that development of clean and renewable energy is a necessity. Since the Sun is our only external energy source, harnessing its energy, which is clean, non-hazardous and infinite, satisfies the main objectives of all alternative energy strategies. With attractive features, i.e., good performance, low-cost potential, simple processibility, a wide range of applications from portable power generation to power-windows, photoelectrochemical solar cells like dye-sensitized solar cells (DSCs represent one of the promising methods for future large-scale power production directly from sunlight. While the sensitization of n-type semiconductors (n-SC has been intensively studied, the use of p-type semiconductor (p-SC, e.g., the sensitization of wide bandgap p-SC and hole transport materials with p-SC have also been attracting great attention. Recently, it has been proved that the p-type inorganic semiconductor as a charge selective material or a charge transport material in organometallic lead halide perovskite solar cells (PSCs shows a significant impact on solar cell performance. Therefore the study of p-type semiconductors is important to rationally design efficient DSCs and PSCs. In this review, recent published works on p-type DSCs and PSCs incorporated with an inorganic p-type semiconductor and our perspectives on this topic are discussed.

  12. Crystallization of II-VI semiconductor compounds forming long microcrystalline linear assemblies

    Directory of Open Access Journals (Sweden)

    Marcelino Becerril

    2013-04-01

    Full Text Available In this work we report the formation of long microcrystalline linear self-assemblies observed during the thin film growth of several II-VI compounds. Polycrystalline CdTe, CdS, CdCO3, and nanocrystalline CdTe:Al thin films were prepared on glass substrates by different deposition techniques. In order to observe these crystalline formations in the polycrystalline materials, the thin film growth was suspended before the grains reached to form a continuous layer. The chains of semiconductor crystals were observed among many isolated and randomly distributed grains. Since CdTe, CdTe:Al, CdS and CdCO3 are not ferroelectric and/or ferromagnetic materials, the relevant problem would be to explain what is the mechanism through which the grains are held together to form linear chains. It is well known that some nanocrystalline materials form rods and wires by means of electrostatic forces. This occurs in polar semiconductors, where it is assumed that the attraction forces between surface polar faces of the small crystals are the responsible for the chains formation. Since there are not too many mechanisms responsible for the attraction we assume that a dipolar interaction is the force that originates the formation of chain-like grain clusters. The study of this property can be useful for the understanding of nucleation processes in the growth of semiconductor thin films.

  13. Novel Materials, Processing, and Device Technologies for Space Exploration with Potential Dual-Use Applications

    Science.gov (United States)

    Hepp, A. F.; Bailey, S. G.; McNatt, J. S.; Chandrashekhar, M. V. S.; Harris, J. D.; Rusch, A. W.; Nogales, K. A.; Goettsche, K. V.; Hanson, W.; Amos, D.; hide

    2015-01-01

    We highlight results of a broad spectrum of efforts on lower-temperature processing of nanomaterials, novel approaches to energy conversion, and environmentally rugged devices. Solution-processed quantum dots of copper indium chalcogenide semiconductors and multi-walled carbon nanotubes from lower-temperature spray pyrolysis are enabled by novel (precursor) chemistry. Metal-doped zinc oxide (ZnO) nanostructured components of photovoltaic cells have been grown in solution at low temperature on a conductive indium tin oxide substrate. Arrays of ZnO nanorods can be templated and decorated with various semiconductor and metallic nanoparticles. Utilizing ZnO in a more broadly defined energy conversion sense as photocatalysts, unwanted organic waste materials can potentially be re-purposed. Current efforts on charge carrier dynamics in nanoscale electrode architectures used in photoelectrochemical cells for generating solar electricity and fuels are described. The objective is to develop oxide nanowire-based electrode architectures that exhibit improved charge separation, charge collection and allow for efficient light absorption. Investigation of the charge carrier transport and recombination properties of the electrodes will aid in the understanding of how nanowire architectures improve performance of electrodes for dye-sensitized solar cells. Nanomaterials can be incorporated in a number of advanced higher-performance (i.e. mass specific power) photovoltaic arrays. Advanced technologies for the deposition of 4H-silicon carbide are described. The use of novel precursors, advanced processing, and process studies, including modeling are discussed from the perspective of enhancing the performance of this promising material for enabling technologies such as solar electric propulsion. Potential impact(s) of these technologies for a variety of aerospace applications are highlighted throughout. Finally, examples are given of technologies with potential spin-offs for dual

  14. Semiconductor wire array structures, and solar cells and photodetectors based on such structures

    Science.gov (United States)

    Kelzenberg, Michael D.; Atwater, Harry A.; Briggs, Ryan M.; Boettcher, Shannon W.; Lewis, Nathan S.; Petykiewicz, Jan A.

    2014-08-19

    A structure comprising an array of semiconductor structures, an infill material between the semiconductor materials, and one or more light-trapping elements is described. Photoconverters and photoelectrochemical devices based on such structure also described.

  15. Characterization of Hydrogen Complex Formation in III-V Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Michael D

    2006-09-28

    Atomic hydrogen has been found to react with some impurity species in semiconductors. Hydrogenation is a methodology for the introduction of atomic hydrogen into the semiconductor for the express purpose of forming complexes within the material. Efforts to develop hydrogenation as an isolation technique for AlGaAs and Si based devices failed to demonstrate its commercial viability. This was due in large measure to the low activation energies of the formed complexes. Recent studies of dopant passivation in long wavelength (0.98 - 1.55m) materials suggested that for the appropriate choice of dopants much higher activation energies can be obtained. This effort studied the formation of these complexes in InP, This material is extensively used in optoelectronics, i.e., lasers, modulators and detectors. The experimental techniques were general to the extent that the results can be applied to other areas such as sensor technology, photovoltaics and to other material systems. The activation energies for the complexes have been determined and are reported in the scientific literature. The hydrogenation process has been shown by us to have a profound effect on the electronic structure of the materials and was thoroughly investigated. The information obtained will be useful in assessing the long term reliability of device structures fabricated using this phenomenon and in determining new device functionalities.

  16. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  17. Cathodoluminescence of semiconductors in the scanning electron microscope

    International Nuclear Information System (INIS)

    Noriegas, Javier Piqueras de

    2008-01-01

    Full text: Cathodoluminescence (CL) in the scanning electron microscope (SEM) is a nondestructive technique, useful for characterization of optical and electronic properties of semiconductors, with spatial resolution. The contrast in the images of CL is related to the presence of crystalline defects, precipitates or impurities and provides information on their spatial distribution. CL spectra allows to study local energy position of localized electronic states. The application of the CL is extended to semiconductor very different characteristics, such as bulk material, heterostructures, nanocrystalline film, porous semiconductor, nanocrystals, nanowires and other nano-and microstructures. In the case of wafers, provides information on the homogeneity of their electronic characteristics, density of dislocations, grain sub frontiers, distribution of impurities and so on. while on the study of heterostructures CL images can determine, for example, the presence of misfit dislocations at the interface between different sheets, below the outer surface of the sample. In the study of other low dimensional structures, such as nanocrystalline films, nanoparticles and nano-and microstructures are observed elongated in some cases quantum confinement effects from the CL spectra. Moreover, larger structures, the order of hundreds of nanometers, with forms of wires, tubes or strips, is that in many semiconductor materials, mainly oxides, the behavior of luminescence is different from bulk material. The microstructures have a different structure of defects and a greater influence of the surface, which in some cases leads to a higher emission efficiency and a different spectral distribution. The presentation describes the principle of the CL technique and examples of its application in the characterization of a wide range of both semiconductor materials of different composition, and of different sizes ranging from nanostructures to bulk samples

  18. Proceedings of wide band gap semiconductors

    International Nuclear Information System (INIS)

    Moustakas, T.D.; Pankove, J.I.; Hamakawa, Y.

    1992-01-01

    This book contains the proceedings of wide band gap semiconductors. Wide band gap semiconductors are under intense study because of their potential applications in photonic devices in the visible and ultraviolet part of the electromagnetic spectrum, and devices for high temperature, high frequency and high power electronics. Additionally, due to their unique mechanical, thermal, optical, chemical, and electronic properties many wide band gap semiconductors are anticipated to find applications in thermoelectric, electrooptic, piezoelectric and acoustooptic devices as well as protective coatings, hard coatings and heat sinks. Material systems covered in this symposium include diamond, II-VI compounds, III-V nitrides, silicon carbide, boron compounds, amorphous and microcrystalline semiconductors, chalcopyrites, oxides and halides. The various papers addressed recent experimental and theoretical developments. They covered issues related to crystal growth (bulk and thin films), structure and microstructure, defects, doping, optoelectronic properties and device applications. A theoretical session was dedicated to identifying common themes in the heteroepitaxy and the role of defects in doping, compensation and phase stability of this unique class of materials. Important experimental milestones included the demonstrations of bright blue injection luminescence at room temperatures from junctions based on III-V nitrides and a similar result from multiple quantum wells in a ZnSe double heterojunction at liquid nitrogen temperatures

  19. High powered pulsed plasma enhanced deposition of thin film semiconductor and optical materials

    International Nuclear Information System (INIS)

    Llewellyn, I.P.; Sheach, K.J.A.; Heinecke, R.A.

    1993-01-01

    A glow discharge deposition technique is described which allows the deposition of a large range of high quality materials without the requirement for substrate heating. The method is differentiated from conventional plasma deposition techniques in that a much higher degree of dissociation is achieved in the gases prior to deposition, such that thermally activated surface reactions are no longer required in order to produce a dense film. The necessary discharge intensity (>300Wcm -3 ) is achieved using a high power radio frequency generator which is pulsed at a low duty cycle (1%) to keep the average energy of the discharge low (100W), in order to avoid the discharge heating the substrate. In addition, by varying the gas composition between discharge pulses, layered structures of materials can be produced, with a disordered interface about 8 A thick. Various uses of the technique in semiconductor and optical filter production are described, and the properties of films deposited using these technique are presented. (orig.)

  20. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  1. Wide gap semiconductor microwave devices

    International Nuclear Information System (INIS)

    Buniatyan, V V; Aroutiounian, V M

    2007-01-01

    A review of properties of wide gap semiconductor materials such as diamond, diamond-like carbon films, SiC, GaP, GaN and AlGaN/GaN that are relevant to electronic, optoelectronic and microwave applications is presented. We discuss the latest situation and perspectives based on experimental and theoretical results obtained for wide gap semiconductor devices. Parameters are taken from the literature and from some of our theoretical works. The correspondence between theoretical results and parameters of devices is critically analysed. (review article)

  2. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Three dimensional strained semiconductors

    Science.gov (United States)

    Voss, Lars; Conway, Adam; Nikolic, Rebecca J.; Leao, Cedric Rocha; Shao, Qinghui

    2016-11-08

    In one embodiment, an apparatus includes a three dimensional structure comprising a semiconductor material, and at least one thin film in contact with at least one exterior surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the three dimensional structure. In another embodiment, a method includes forming a three dimensional structure comprising a semiconductor material, and depositing at least one thin film on at least one surface of the three dimensional structure for inducing a strain in the structure, the thin film being characterized as providing at least one of: an induced strain of at least 0.05%, and an induced strain in at least 5% of a volume of the structure.

  4. Absorption properties of metal-semiconductor hybrid nanoparticles.

    Science.gov (United States)

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  5. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  6. n-Type organic semiconductors in organic electronics.

    Science.gov (United States)

    Anthony, John E; Facchetti, Antonio; Heeney, Martin; Marder, Seth R; Zhan, Xiaowei

    2010-09-08

    Organic semiconductors have been the subject of intensive academic and commercial interest over the past two decades, and successful commercial devices incorporating them are slowly beginning to enter the market. Much of the focus has been on the development of hole transporting, or p-type, semiconductors that have seen a dramatic rise in performance over the last decade. Much less attention has been devoted to electron transporting, or so called n-type, materials, and in this paper we focus upon recent developments in several classes of n-type materials and the design guidelines used to develop them.

  7. The Promoting Role of Different Carbon Allotropes Cocatalysts for Semiconductors in Photocatalytic Energy Generation and Pollutants Degradation

    Directory of Open Access Journals (Sweden)

    Weiwei Han

    2017-10-01

    Full Text Available Semiconductor based photocatalytic process is of great potential for solving the fossil fuels depletion and environmental pollution. Loading cocatalysts for the modification of semiconductors could increase the separation efficiency of the photogenerated hole-electron pairs, enhance the light absorption ability of semiconductors, and thus obtain new composite photocatalysts with high activities. Kinds of carbon allotropes, such as activated carbon, carbon nanotubes, graphene, and carbon quantum dots have been used as effective cocatalysts to enhance the photocatalytic activities of semiconductors, making them widely used for photocatalytic energy generation, and pollutants degradation. This review focuses on the loading of different carbon allotropes as cocatalysts in photocatalysis, and summarizes the recent progress of carbon materials based photocatalysts, including their synthesis methods, the typical applications, and the activity enhancement mechanism. Moreover, the cocatalytic effect among these carbon cocatalysts is also compared for different applications. We believe that our work can provide enriched information to harvest the excellent special properties of carbon materials as a platform to develop more efficient photocatalysts for solar energy utilization.

  8. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  9. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  10. The question about increasing of thermoelectrical Q and percent of the yield of the semiconductor material on the basis of chalcogenides of the bismuth and antimony under conditions of experimental-industrial production

    International Nuclear Information System (INIS)

    Magerramov, A.A.; Barkhalov, B.S.

    2005-01-01

    Full text : Different methods of the receiving of monocrystalline ingots of the semiconductor materials for thermo electrical inverter of energy have been considered. On the basis of the analyses of theoretical and experimental data generated series of recommendations, directed to increase thermo electrical Q receiving from thermo electrical materials and increasing percent of yield of semiconductor materials on the basis of chalcogenides of the bismuth and antimony on the basis of industrial production

  11. Dopants and defects in semiconductors

    CERN Document Server

    McCluskey, Matthew D

    2012-01-01

    "The book goes beyond the usual textbook in that it provides more specific examples of real-world defect physics … The book will be most useful for beginning graduate students in materials science. … an easy reading, broad introductory overview of the field …"-Materials Today, July-August 2012"… well written, with clear, lucid explanations …"-Chemistry World"The scientific development towards the method of controllable doping transformed the erratic and not reproducible family of semiconductor materials into the truly wonderful basis of modern microelectronics. This book tells the remarkable success story and I recommend it!"-Hans J. Queisser, Max-Planck-Institute, Stuttgart, Germany"McCluskey and Haller have written an outstanding modern guide to this field that will be useful to newcomers, and also to active researchers who want to broaden their horizons, as a means to learn the capabilities and limitations of the many techniques that are used in semiconductor-defect science."-Professor Michael J....

  12. Isotopic-spectral determination of hydrogen, nitrogen, oxygen and carbon in semiconductor materials

    International Nuclear Information System (INIS)

    Dudich, G.K.; Eremeev, V.A.; Li, V.N.; Nemets, V.M.

    1981-01-01

    Techniques of low-temperature isotopic-spectral determination of impurities of hydrogen, nitrogen, oxygen and carbon in semiconductor materials Bi, Ge, Pb tellurides are developed. The techniques include selection into special vessel with the known volume (exchanger) of sample analyzed, dosed introduction into exchanger of rare isotope of the element determined ( 2 H, 15 N, 18 O, 13 C) in the form of isotope-containing gas, balancing of the determined element isotopes in the system sample-isotope, containing gas, spectroscopic, determination of its isotope composition in gaseous phase of the system and calculation of the amount of the element determined in the sample. The lower boundaries of the amounts determined constitute 10 -7 , 10 -7 , 10 -6 and 10 -5 mass % respectively when sample of 20 g are used [ru

  13. Radiation tolerance of amorphous semiconductors

    International Nuclear Information System (INIS)

    Nicolaides, R.V.; DeFeo, S.; Doremus, L.W.

    1976-01-01

    In an attempt to determine the threshold radiation damage in amorphous semiconductors, radiation tests were performed on amorphous semiconductor thin film materials and on threshold and memory devices. The influence of flash x-rays and neutron radiation upon the switching voltages, on- and off-state characteristics, dielectric response, optical transmission, absorption band edge and photoconductivity were measured prior to, during and following irradiation. These extensive tests showed the high radiation tolerance of amorphous semiconductor materials. Electrical and optical properties, other than photoconductivity, have a neutron radiation tolerance threshold above 10 17 nvt in the steady state and 10 14 nvt in short (50 μsec to 16 msec) pulses. Photoconductivity increases by 1 1 / 2 orders of magnitude at the level of 10 14 nvt (short pulses of 50 μsec). Super flash x-rays up to 5000 rads (Si), 20 nsec, do not initiate switching in off-state samples which are voltage biased up to 90 percent of the threshold voltage. Both memory and threshold amorphous devices are capable of switching on and off during nuclear radiation transients at least as high as 2 x 10 14 nvt in 50 μsec pulses

  14. Semiconductor detector physics

    International Nuclear Information System (INIS)

    Equer, B.

    1987-01-01

    Comprehension of semiconductor detectors follows comprehension of some elements of solid state physics. They are recalled here, limited to the necessary physical principles, that is to say the conductivity. P-n and MIS junctions are discussed in view of their use in detection. Material and structure (MOS, p-n, multilayer, ..) are also reviewed [fr

  15. Group IV all-semiconductor spintronics. Materials aspects and optical spin selection rules

    Energy Technology Data Exchange (ETDEWEB)

    Sircar, Narayan

    2012-04-03

    In the scope of the present thesis various aspects for the realization of spintronic applications based on group IV semiconductors are discussed. This work comprises a refined material characterization of the magnetic semiconductor GeMn. We furthermore present efforts to utilize this material as spin injector for a Si-based optical spintronic device. Applying transmission electron microscopy and atom probe tomography, we are able to resolve a vertical anisotropy in the self-assembly, leading to the stacking of well-defined clusters in the growth direction. Three-dimensional atom distribution maps confirm that clusters are built from a nonstoichiometric GeMn alloy and exhibit a high-Mn-concentration core with a decreasing Mn concentration toward a shell. An amorphous nature of the cluster cores as well as the crystallinity of the shells, coherent with the surrounding Ge lattice, are revealed in scanning transmission electron microscopy. We localize a strain field surrounding each GeMn cluster by scanning transmission electron microscopy. The importance of strain to the stacking phenomenon of the clusters becomes clear in studies of Ge/GeMn superlattice structures, where a vertical spatial correlation of clusters over 30 nm-thick Ge spacer layers is observed. We present evidence that electrical transport properties of the p-type GeMn thin films fabricated on high-resistivity Ge substrates are severely influenced by parallel conduction through the substrate. It is shown that substrate conduction persists also for wellconducting degenerate p-type reference thin films, giving rise to an effective two-layer conduction scheme. GeMn thin films fabricated on these substrates exhibit only a negligible magnetoresistance effect. Before integrating GeMn in an optical spintronic device, some key aspects important for an understanding of the optical injection and detection of carrier spins in Si and Si-based heterostructures are clarified in the second part of this thesis. In

  16. Ultrafast dynamics of photoexcited charge and spin currents in semiconductor nanostructures

    Science.gov (United States)

    Meier, Torsten; Pasenow, Bernhard; Duc, Huynh Thanh; Vu, Quang Tuyen; Haug, Hartmut; Koch, Stephan W.

    2007-02-01

    Employing the quantum interference among one- and two-photon excitations induced by ultrashort two-color laser pulses it is possible to generate charge and spin currents in semiconductors and semiconductor nanostructures on femtosecond time scales. Here, it is reviewed how the excitation process and the dynamics of such photocurrents can be described on the basis of a microscopic many-body theory. Numerical solutions of the semiconductor Bloch equations (SBE) provide a detailed description of the time-dependent material excitations. Applied to the case of photocurrents, numerical solutions of the SBE for a two-band model including many-body correlations on the second-Born Markov level predict an enhanced damping of the spin current relative to that of the charge current. Interesting effects are obtained when the scattering processes are computed beyond the Markovian limit. Whereas the overall decay of the currents is basically correctly described already within the Markov approximation, quantum-kinetic calculations show that memory effects may lead to additional oscillatory signatures in the current transients. When transitions to coupled heavy- and light-hole valence bands are incorporated into the SBE, additional charge and spin currents, which are not described by the two-band model, appear.

  17. Engineering materials properties and process technologies for electronic and energy applications

    Science.gov (United States)

    Hailey, Anna Kathryn

    In this thesis, we pushed the boundaries of knowledge toward exciting new alternatives in the fields of electronic materials and energy. In Part 1, we focused on organic semiconductors, assessing how disorder on different length scales impacts the electrical properties in organic thin-film transistors (OTFTs). We first explored the effect of disorder at the molecular scale due to the coexistence of isomers in thin films. By blending fractional quantities of syn and anti isomers of triethylsilylethynyl anthradithiophene (TES ADT), we found that the electrical properties of devices comprising the anti isomer plummet to that of syn after the addition of only 10% syn. Through single-crystal computational analysis, we determined that the addition of syn disorders the two-dimensional electronic coupling between anti molecules, thereby increasing charge trapping and decreasing mobilities in OTFTs with increasing syn concentrations in the active layers. We also elucidated the impact of disorder stemming from boundaries between crystalline superstructures in polycrystalline thin films. By measuring the electrical characteristics of OTFTs across interspherulite boundaries (ISBs) in TES ADT and rubrene thin films, we found the energy barriers for charge transport across ISBs to be more akin to those found across the boundaries between polymer crystallites than between conventional molecular-semiconductor grains. In contrast to sharp, creviced grain boundaries, ISBs presumably comprise trapped molecules that electrically connect neighboring spherulites, as polymer chains connect crystallites in polymer-semiconductor thin films. In Part 2, we turned our focus to the production of alternative liquid fuels, evaluating process designs to produce "drop-in" replacement diesel and gasoline from non-food biomass and domestic natural gas. By considering the storage of captured byproduct CO2 in nearby depleted shale-gas wells, these processes produce liquid fuels with low

  18. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  19. Detection of radioactivity by semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    The class of detectors discussed in this chapter has a responsive component involving a diode, a junction between two types of semiconductor materials. Although diode detectors are not particularly efficient in counting radioactive emissions, they are superior to other commercially available detectors in spectroscopy. Consequently, diode detectors are used extensively for quanlitative purposes and for quantitative purposes when mixtures of radionuclides are present, not the usual situation with biological or medical research. Topics addressed in this chapter are as follows: Band Theory; Semiconductors and Junctions; and Radiation Detectors. 6 refs., 14 figs

  20. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    Science.gov (United States)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-05-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  1. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    Science.gov (United States)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-03-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  2. Topological insulator materials and nanostructures for future electronics, spintronics and energy conversion

    International Nuclear Information System (INIS)

    Kantser, Valeriu

    2011-01-01

    Two fundamental electrons attributes in materials and nanostructures - charge and spin - determine their electronic properties. The processing of information in conventional electronic devices is based only on the charge of the electrons. Spin electronics, or spintronics, uses the spin of electrons, as well as their charge, to process information. Metals, semiconductors and insulators are the basic materials that constitute the components of electronic devices, and these have been transforming all aspects of society for over a century. In contrast, magnetic metals, half-metals, magnetic semiconductors, dilute magnetic semiconductors and magnetic insulators are the materials that will form the basis for spintronic devices. Materials with topological band structure attributes and having a zero-energy band gap surface states are a special class of these materials that exhibit some fascinating and superior electronic properties compared to conventional materials allowing to combine both charge and spin functionalities. This article reviews a range of topological insulator materials and nanostructures with tunable surface states, focusing on nanolayered and nanowire like structures. These materials and nanostructures all have intriguing physical properties and numerous potential practical applications in spintronics, electronics, optics and sensors.

  3. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  4. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  6. General specifications for silicon semiconductors for use in radiation dosimetry

    International Nuclear Information System (INIS)

    Rikner, G.; Grusell, E.

    1987-01-01

    Silicon semiconductor detectors used in radiation dosimetry have different properties, just as e.g. ionisation chambers, affecting the interaction of radiation with matter in the vicinity of the sensitive volume of the detector, e.g. wall materials, and also the collection of the charges liberated in the detector by the radiation. The charge collection depends on impurities, lattice imperfections and other properties of the semiconductor crystal. In this paper the relevant parameters of a silicon semiconductor detector intended for dosimetry are reviewed. The influence of doping material, doping level, various effects of radiation damage, mechanical construction, detector size, statistical noise and connection to the electrometer are discussed. (author)

  7. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  8. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  9. Computer-aided analysis of cutting processes for brittle materials

    Science.gov (United States)

    Ogorodnikov, A. I.; Tikhonov, I. N.

    2017-12-01

    This paper is focused on 3D computer simulation of cutting processes for brittle materials and silicon wafers. Computer-aided analysis of wafer scribing and dicing is carried out with the use of the ANSYS CAE (computer-aided engineering) software, and a parametric model of the processes is created by means of the internal ANSYS APDL programming language. Different types of tool tip geometry are analyzed to obtain internal stresses, such as a four-sided pyramid with an included angle of 120° and a tool inclination angle to the normal axis of 15°. The quality of the workpieces after cutting is studied by optical microscopy to verify the FE (finite-element) model. The disruption of the material structure during scribing occurs near the scratch and propagates into the wafer or over its surface at a short range. The deformation area along the scratch looks like a ragged band, but the stress width is rather low. The theory of cutting brittle semiconductor and optical materials is developed on the basis of the advanced theory of metal turning. The fall of stress intensity along the normal on the way from the tip point to the scribe line can be predicted using the developed theory and with the verified FE model. The crystal quality and dimensions of defects are determined by the mechanics of scratching, which depends on the shape of the diamond tip, the scratching direction, the velocity of the cutting tool and applied force loads. The disunity is a rate-sensitive process, and it depends on the cutting thickness. The application of numerical techniques, such as FE analysis, to cutting problems enhances understanding and promotes the further development of existing machining technologies.

  10. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  11. Specific heat in diluted magnetic semiconductor quantum ring

    Science.gov (United States)

    Babanlı, A. M.; Ibragimov, B. G.

    2017-11-01

    In the present paper, we have calculated the specific heat and magnetization of a quantum ring of a diluted magnetic semiconductor (DMS) material in the presence of magnetic field. We take into account the effect of Rashba spin-orbital interaction, the exchange interaction and the Zeeman term on the specific heat. We have calculated the energy spectrum of the electrons in diluted magnetic semiconductor quantum ring. Moreover we have calculated the specific heat dependency on the magnetic field and Mn concentration at finite temperature of a diluted magnetic semiconductor quantum ring.

  12. Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material

    Science.gov (United States)

    Panitz, Janda K.; Reed, Scott T.; Ashley, Carol S.; Neiser, Richard A.; Moffatt, William C.

    1999-01-01

    Electrophoretically active sol-gel processes to fill, seal, and/or density porous, flawed, and/or cracked coatings on electrically conductive substrates. Such coatings may be dielectrics, ceramics, or semiconductors and, by the present invention, may have deposited onto and into them sol-gel ceramic precursor compounds which are subsequently converted to sol-gel ceramics to yield composite materials with various tailored properties.

  13. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  14. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  15. 2012 Gordon Research Conference on Defects in Semiconductors - Formal Schedule and Speaker/Poster Program

    Energy Technology Data Exchange (ETDEWEB)

    Glaser, Evan [Naval Research Lab. (NRL), Washington, DC (United States)

    2012-08-17

    The meeting shall strive to develop and further the fundamental understanding of defects and their roles in the structural, electronic, optical, and magnetic properties of bulk, thin film, and nanoscale semiconductors and device structures. Point and extended defects will be addressed in a broad range of electronic materials of particular current interest, including wide bandgap semiconductors, metal-oxides, carbon-based semiconductors (e.g., diamond, graphene, etc.), organic semiconductors, photovoltaic/solar cell materials, and others of similar interest. This interest includes novel defect detection/imaging techniques and advanced defect computational methods.

  16. High mobility emissive organic semiconductor

    Science.gov (United States)

    Liu, Jie; Zhang, Hantang; Dong, Huanli; Meng, Lingqiang; Jiang, Longfeng; Jiang, Lang; Wang, Ying; Yu, Junsheng; Sun, Yanming; Hu, Wenping; Heeger, Alan J.

    2015-01-01

    The integration of high charge carrier mobility and high luminescence in an organic semiconductor is challenging. However, there is need of such materials for organic light-emitting transistors and organic electrically pumped lasers. Here we show a novel organic semiconductor, 2,6-diphenylanthracene (DPA), which exhibits not only high emission with single crystal absolute florescence quantum yield of 41.2% but also high charge carrier mobility with single crystal mobility of 34 cm2 V−1 s−1. Organic light-emitting diodes (OLEDs) based on DPA give pure blue emission with brightness up to 6,627 cd m−2 and turn-on voltage of 2.8 V. 2,6-Diphenylanthracene OLED arrays are successfully driven by DPA field-effect transistor arrays, demonstrating that DPA is a high mobility emissive organic semiconductor with potential in organic optoelectronics. PMID:26620323

  17. Electromagnetic Processing of Materials Materials Processing by Using Electric and Magnetic Functions

    CERN Document Server

    Asai, Shigeo

    2012-01-01

    This book is both a course book and a monograph. In fact, it has developed from notes given to graduate course students on materials processing in the years 1989 to 2006. Electromagnetic Processing of Materials (EPM), originates from a branch of materials science and engineering developed in the 1980s as a field aiming to create new materials and/or design processes by making use of various functions which appear when applying the electric and magnetic fields to materials. It is based on transport phenomena, materials processing and magnetohydrodynamics. The first chapter briefly introduces the history, background and technology of EPM. In the second chapter, the concept of transport phenomena is concisely introduced and in the third chapter the essential part of magnetohydrodynamics is transcribed and readers are shown that the concept of transport phenomena does not only apply to heat, mass and momentum, but also magnetic field. The fourth chapter describes electromagnetic processing of electrica...

  18. Structure and magnetism of transition-metal implanted dilute magnetic semiconductors

    CERN Document Server

    Pereira, Lino; Temst, K; Araújo, JP; Wahl, U

    The discovery of a dilute magnetic semiconductor (DMS) in which ferromagnetism is carrier-mediated and persists above room temperature is a critical step towards the development of semiconductor-based spintronics. Among the many types of DMS materials which have been investigated, the current research interest can be narrowed down to two main classes of materials: (1) narrow-gap III-V semiconductors, mostly GaAs and InAs, doped with Mn; (2) wide-gap oxides and nitrides doped with 3d transition metals, mostly Mn- and Co-doped ZnO and Mn-doped GaN. With a number of interesting functionalities deriving from the carrier-mediated ferromagnetism and demonstrated in various proof-of-concept devices, Mn-doped GaAs has become, among DMS materials, one of the best candidates for technological application. However, despite major developments over the last 15 years, the maximum Curie temperature (185 K) remains well below room temperature. On the other hand, wide-gap DMS materials appear to exhibit ferromagnetic behavior...

  19. Microwave assisted synthesis and characterisation of a zinc oxide/tobacco mosaic virus hybrid material. An active hybrid semiconductor in a field-effect transistor device

    Directory of Open Access Journals (Sweden)

    Shawn Sanctis

    2015-03-01

    Full Text Available Tobacco mosaic virus (TMV has been employed as a robust functional template for the fabrication of a TMV/zinc oxide field effect transistor (FET. A microwave based approach, under mild conditions was employed to synthesize stable zinc oxide (ZnO nanoparticles, employing a molecular precursor. Insightful studies of the decomposition of the precursor were done using NMR spectroscopy and material characterization of the hybrid material derived from the decomposition was achieved using dynamic light scattering (DLS, transmission electron microscopy (TEM, grazing incidence X-ray diffractometry (GI-XRD and atomic force microscopy (AFM. TEM and DLS data confirm the formation of crystalline ZnO nanoparticles tethered on top of the virus template. GI-XRD investigations exhibit an orientated nature of the deposited ZnO film along the c-axis. FET devices fabricated using the zinc oxide mineralized virus template material demonstrates an operational transistor performance which was achieved without any high-temperature post-processing steps. Moreover, a further improvement in FET performance was observed by adjusting an optimal layer thickness of the deposited ZnO on top of the TMV. Such a bio-inorganic nanocomposite semiconductor material accessible using a mild and straightforward microwave processing technique could open up new future avenues within the field of bio-electronics.

  20. Organic semiconductors for organic field-effect transistors

    International Nuclear Information System (INIS)

    Yamashita, Yoshiro

    2009-01-01

    The advantages of organic field-effect transistors (OFETs), such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed. (topical review)

  1. Organic semiconductors for organic field-effect transistors

    Directory of Open Access Journals (Sweden)

    Yoshiro Yamashita

    2009-01-01

    Full Text Available The advantages of organic field-effect transistors (OFETs, such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed.

  2. The basics of experimental determination of the Fano factor in intrinsic semiconductors

    International Nuclear Information System (INIS)

    Samedov, Victor-V.

    2013-06-01

    Intrinsic semiconductors such as High Purity Germanium Detectors are exceptional X-ray and gamma-ray detectors because of their large sizes and small band gap. They are used for fundamental scientific researches, nuclear material safeguards and security, environmental protection, and human health and safety. The fundamental limit of the energy resolution of a semiconductor detector is determined by variance in the number of electron-hole pairs produced by X-rays in detector volume. The principal characteristic of material for using as semiconductor detector is the Fano factor that determines the fluctuation in the number of electron-hole pairs. Now, all existing methods of experimental determination of the Fano factor in semiconductors are based on the subtraction of electronic noise from the signal variance. In this work, I propose the method of experimental determination of the Fano factor in a planar semiconductor detector based on dependences of the mean amplitude and the energy resolution on the electric field. It was shown that inverse electric field expansion of these dependences allow determining the Fano factor, electron mobility lifetime product, and relative variance of electron lifetime due to inhomogeneous charge transport in semiconductor material. The important advantage of the proposed method is independence on detector electronic noise. (authors)

  3. Size-dependent nonlocal effects in plasmonic semiconductor particles

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2017-01-01

    Localized surface plasmons (LSP) in semiconductor particles are expected to exhibit spatial nonlocal response effects as the geometry enters the nanometer scale. To investigate these nonlocal effects, we apply the hydrodynamic model to nanospheres of two different semiconductor materials: intrinsic...... InSb and n-doped GaAs. Our results show that the semiconductors indeed display nonlocal effects, and that these effects are even more pronounced than in metals. In a 150 nm InSb particle at 300 K, the LSP frequency is blueshifted 35%, which is orders of magnitude larger than the blueshift in a metal...... particle of the same size. This property, together with their tunability, makes semiconductors a promising platform for experiments in nonlocal effects. Copyright (C)EPLA, 2017...

  4. Synthesis of electronically modified carbon nitride from a processable semiconductor, 3-aminotriazole-1,2,4 oligomer, via a topotactic-like phase transition

    OpenAIRE

    Savateev, A.; Pronkin, S.; Epping, J.; Willinger, M.; Antonietti, M.; Dontsova, D.

    2017-01-01

    The thermally induced topotactic transformation of organic polymeric semiconductors is achieved using similarity of the chemical structures of the two C,N,H-containing materials. Namely, the oligomer of 3-aminotriazole-1,2,4 (OATA) is transformed into an electronically modified graphitic carbon nitride (OATA-CN) upon heating at 550 °C. During the transition, the flat band potential of the organic semiconductor is only slightly shifted from -0.11 eV to -0.06 eV, while the optical band gap is s...

  5. Study and characterization of the III-V semiconductor materials for applications in the detection of ionizing radiation

    International Nuclear Information System (INIS)

    Moulin, H.

    1989-11-01

    The photoconduction in the bulk of the gallium arsenide (GaAs) and of the indium phosphide doped with iron (InP:Fe) is investigated. These compounds are to be applied in devices for X-ray detection. In such semiconductor materials the detection of X-rays occurs in the bulk. The photoconduction theory and the characteristics of the materials are reviewed. Two computerized simulation models for studying the response of the photoconductors to the radiation pulses are described. The results concerning the following measurements are presented: the characterization of GaAs and InP:Fe photoconductors, in obscurity, as a function of the electric field of polarization and of the neutrons dose; and their characterization under X-ray radiation [fr

  6. Design and exploration of semiconductors from first principles: A review of recent advances

    Science.gov (United States)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  7. Searching Room Temperature Ferromagnetism in Wide Gap Semiconductors Fe-doped Strontium Titanate and Zinc Oxide

    CERN Document Server

    Pereira, LMC; Wahl, U

    Scientific findings in the very beginning of the millennium are taking us a step further in the new paradigm of technology: spintronics. Upgrading charge-based electronics with the additional degree of freedom of the carriers spin-state, spintronics opens a path to the birth of a new generation of devices with the potential advantages of non-volatility and higher processing speed, integration densities and power efficiency. A decisive step towards this new age lies on the attribution of magnetic properties to semiconductors, the building block of today's electronics, that is, the realization of ferromagnetic semiconductors (FS) with critical temperatures above room temperature. Unfruitful search for intrinsic RT FS lead to the concept of Dilute(d) Magnetic Semiconductors (DMS): ordinary semiconductor materials where 3 d transition metals randomly substitute a few percent of the matrix cations and, by some long-range mechanism, order ferromagnetically. The times are of intense research activity and the last fe...

  8. The Physics of Semiconductors An Introduction Including Devices and Nanophysics

    CERN Document Server

    Grundmann, Marius

    2006-01-01

    The Physics of Semiconductors provides material for a comprehensive upper-level-undergrauate and graduate course on the subject, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. For the interested reader some additional advanced topics are included, such as Bragg mirrors, resonators, polarized and magnetic semiconductors are included. Also supplied are explicit formulas for many results, to support better understanding. The Physics of Semiconductors requires little or no prior knowledge of solid-state physics and evolved from ...

  9. Where science fiction meets reality? With oxide semiconductors.

    Energy Technology Data Exchange (ETDEWEB)

    Fortunato, E.; Martins, R. [CENIMAT/I3N, Departamento de Ciencia dos Materiais, Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2011-09-15

    Transparent electronics is today one of the most advanced topics for a wide range of device applications, where the key components are wide band gap semiconductors, where oxides of different origin play an important role, not only as passive components but also as active components similar to what we observe in conventional semiconductors. As passive components they include the use of these materials as dielectrics for a wide range of electronic devices and also as transparent electrical conductors for use in several optoelectronic applications, such as liquid crystal displays, organic light emitting diodes, solar cells, optical sensors etc. As active materials, they exploit the use of truly electronic semiconductors where the main emphasis is being put on transparent thin film transistors, light emitting diodes, lasers, ultraviolet sensors and integrated circuits among others. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Semiconductor Photonic Components for RF Applications

    National Research Council Canada - National Science Library

    Yu, Paul

    2001-01-01

    ... delay beam formation and beam steering subsystems in phased array antennas. Device and material approaches were investigated to improve the modulator based on semiconductor structures for achieving high spur free dynamic range (SFDR...

  11. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  12. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  13. Programmable and coherent crystallization of semiconductors

    KAUST Repository

    Yu, Liyang

    2017-03-04

    The functional properties and technological utility of polycrystalline materials are largely determined by the structure, geometry, and spatial distribution of their multitude of crystals. However, crystallization is seeded through stochastic and incoherent nucleation events, limiting the ability to control or pattern the microstructure, texture, and functional properties of polycrystalline materials. We present a universal approach that can program the microstructure of materials through the coherent seeding of otherwise stochastic homogeneous nucleation events. The method relies on creating topographic variations to seed nucleation and growth at designated locations while delaying nucleation elsewhere. Each seed can thus produce a coherent growth front of crystallization with a geometry designated by the shape and arrangement of seeds. Periodic and aperiodic crystalline arrays of functional materials, such as semiconductors, can thus be created on demand and with unprecedented sophistication and ease by patterning the location and shape of the seeds. This approach is used to demonstrate printed arrays of organic thin-film transistors with remarkable performance and reproducibility owing to their demonstrated spatial control over the microstructure of organic and inorganic polycrystalline semiconductors.

  14. New Organic Semiconductor Materials Applied in Organic Photovoltaic and Optical Devices

    Directory of Open Access Journals (Sweden)

    Andre F. S. Guedes

    2015-04-01

    Full Text Available The development of flexible organic photovoltaic solar cells, using an optically transparent substrate material and organic semiconductor materials, has been widely utilized by the electronic industry when producing new technological products. The flexible organic photovoltaic solar cells are the base Poly (3,4-ethylenedioxythiophene, PEDOT, Poly(3-hexyl thiophene, P3HT, Phenyl-C61-butyric acid methyl ester, PCBM and Polyaniline, PANI, were deposited in Indium Tin Oxide, ITO, and characterized by Electrical Measurements and Scanning Electron Microscopy (SEM. In addition, the thin film obtained by the deposition of PANI, prepared in perchloric acid solution, was identified through PANI-X1. The result obtained by electrical Measurements has demonstrated that the PET/ITO/PEDOT/P3HT:PCBM Blend/PANI-X1 layer presents the characteristic curve of standard solar cell after spin-coating and electrodeposition. The Thin film obtained by electrodeposition of PANI-X1 on P3HT/PCBM Blend was prepared in perchloric acid solution. These flexible organic photovoltaic solar cells presented power conversion efficiency of 12%. The inclusion of the PANI-X1 layer reduced the effects of degradation these organic photovoltaic panels induced for solar irradiation. In Scanning Electron Microscopy (SEM these studies reveal that the surface of PANI-X1 layers is strongly conditioned by the surface morphology of the dielectric.

  15. The Effects of Doping and Processing on the Thermoelectric Properties of Platinum Diantimonide Based Materials for Cryogenic Peltier Cooling Applications

    Science.gov (United States)

    Waldrop, Spencer Laine

    The study of thermoelectrics is nearly two centuries old. In that time a large number of applications have been discovered for these materials which are capable of transforming thermal energy into electricity or using electrical work to create a thermal gradient. Current use of thermoelectric materials is in very niche applications with contemporary focus being upon their capability to recover waste heat. A relatively undeveloped region for thermoelectric application is focused upon Peltier cooling at low temperatures. Materials based on bismuth telluride semiconductors have been the gold standard for close to room temperature applications for over sixty years. For applications below room temperature, semiconductors based on bismuth antimony reign supreme with few other possible materials. The cause of this diculty in developing new, higher performing materials is due to the interplay of the thermoelectric properties of these materials. The Seebeck coecient, which characterizes the phenomenon of the conversion of heat to electricity, the electrical conductivity, and the thermal conductivity are all interconnected properties of a material which must be optimized to generate a high performance thermoelectric material. While for above room temperature applications many advancements have been made in the creation of highly ecient thermoelectric materials, the below room temperature regime has been stymied by ill-suited properties, low operating temperatures, and a lack of research. The focus of this work has been to investigate and optimize the thermoelectric properties of platinum diantimonide, PtSb2, a nearly zero gap semiconductor. The electronic properties of PtSb2 are very favorable for cryogenic Peltier applications, as it exhibits good conductivity and large Seebeck coecient below 200 K. It is shown that both n- and p-type doping may be applied to this compound to further improve its electronic properties. Through both solid solution formation and processing

  16. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  17. Analysis of Logistics Costs of the Ukrainian Semiconductor Industry

    Directory of Open Access Journals (Sweden)

    Popova Viktoriya D.

    2014-01-01

    Full Text Available The goal of the article is analysis of logistics costs in production of semiconductor materials using example of two Ukrainian enterprises. The article studies influence of logistics management and logistics costs upon formation of the final cost value (price of a commodity (service. It gives an assessment of logistics costs of Ukrainian semiconductor enterprises and establishes its structure by types of main expenditure items: material, transport, production and storehouse. It establishes the generalised quantitative structure of logistics costs of Ukrainian semiconductor enterprises with various forms of ownership under conditions of a situational growth of cost value of products and reduction of profitability of production, caused by common crisis tendencies in economy. Prospects of further studies in this direction are analysis of costs in production of semiconductor products and establishment of the specific feature of their grouping and classifying from the point of view of logistics and justification of the model of assessment of cost value of products, which takes into account mutually contradictory influence of direct logistics costs and logistics management upon the final result.

  18. Semiconductor Photonic Components for RF Applications

    National Research Council Canada - National Science Library

    Yu, Paul

    2002-01-01

    ... time delay beam formation and beam steering subsystem in phased array antennas. Device and material approaches were investigated to improve the modulator based on semiconductor structures for achieving high spur free dynamic range (SFDR...

  19. Semiconductor laser using multimode interference principle

    Science.gov (United States)

    Gong, Zisu; Yin, Rui; Ji, Wei; Wu, Chonghao

    2018-01-01

    Multimode interference (MMI) structure is introduced in semiconductor laser used in optical communication system to realize higher power and better temperature tolerance. Using beam propagation method (BPM), Multimode interference laser diode (MMI-LD) is designed and fabricated in InGaAsP/InP based material. As a comparison, conventional semiconductor laser using straight single-mode waveguide is also fabricated in the same wafer. With a low injection current (about 230 mA), the output power of the implemented MMI-LD is up to 2.296 mW which is about four times higher than the output power of the conventional semiconductor laser. The implemented MMI-LD exhibits stable output operating at the wavelength of 1.52 μm and better temperature tolerance when the temperature varies from 283.15 K to 293.15 K.

  20. Ripening of Semiconductor Nanoplatelets.

    Science.gov (United States)

    Ott, Florian D; Riedinger, Andreas; Ochsenbein, David R; Knüsel, Philippe N; Erwin, Steven C; Mazzotti, Marco; Norris, David J

    2017-11-08

    Ostwald ripening describes how the size distribution of colloidal particles evolves with time due to thermodynamic driving forces. Typically, small particles shrink and provide material to larger particles, which leads to size defocusing. Semiconductor nanoplatelets, thin quasi-two-dimensional (2D) particles with thicknesses of only a few atomic layers but larger lateral dimensions, offer a unique system to investigate this phenomenon. Experiments show that the distribution of nanoplatelet thicknesses does not defocus during ripening, but instead jumps sequentially from m to (m + 1) monolayers, allowing precise thickness control. We investigate how this counterintuitive process occurs in CdSe nanoplatelets. We develop a microscopic model that treats the kinetics and thermodynamics of attachment and detachment of monomers as a function of their concentration. We then simulate the growth process from nucleation through ripening. For a given thickness, we observe Ostwald ripening in the lateral direction, but none perpendicular. Thicker populations arise instead from nuclei that capture material from thinner nanoplatelets as they dissolve laterally. Optical experiments that attempt to track the thickness and lateral extent of nanoplatelets during ripening appear consistent with these conclusions. Understanding such effects can lead to better synthetic control, enabling further exploration of quasi-2D nanomaterials.

  1. Integrated Materials Design of Organic Semiconductors for Field-Effect Transistors

    KAUST Repository

    Mei, Jianguo; Diao, Ying; Appleton, Anthony L.; Fang, Lei; Bao, Zhenan

    2013-01-01

    The past couple of years have witnessed a remarkable burst in the development of organic field-effect transistors (OFETs), with a number of organic semiconductors surpassing the benchmark mobility of 10 cm2/(V s). In this perspective, we highlight

  2. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  3. Carrier scattering in metals and semiconductors

    CERN Document Server

    Gantmakher, VF

    1987-01-01

    The transport properties of solids, as well as the many optical phenomena in them are determined by the scattering of current carriers. ``Carrier Scattering in Metals and Semiconductors'' elucidates the state of the art in the research on the scattering mechanisms for current carriers in metals and semiconductors and describes experiments in which these mechanisms are most dramatically manifested.The selection and organization of the material is in a form to prepare the reader to reason independently and to deal just as independently with available theoretical results and experimental

  4. Quantum Effects in the Thermoelectric Power Factor of Low-Dimensional Semiconductors.

    Science.gov (United States)

    Hung, Nguyen T; Hasdeo, Eddwi H; Nugraha, Ahmad R T; Dresselhaus, Mildred S; Saito, Riichiro

    2016-07-15

    We theoretically investigate the interplay between the confinement length L and the thermal de Broglie wavelength Λ to optimize the thermoelectric power factor of semiconducting materials. An analytical formula for the power factor is derived based on the one-band model assuming nondegenerate semiconductors to describe quantum effects on the power factor of the low-dimensional semiconductors. The power factor is enhanced for one- and two-dimensional semiconductors when L is smaller than Λ of the semiconductors. In this case, the low-dimensional semiconductors having L smaller than their Λ will give a better thermoelectric performance compared to their bulk counterpart. On the other hand, when L is larger than Λ, bulk semiconductors may give a higher power factor compared to the lower dimensional ones.

  5. Quantum theory of the optical and electronic properties of semiconductors

    CERN Document Server

    Haug, Hartmut

    2009-01-01

    This invaluable textbook presents the basic elements needed to understand and research into semiconductor physics. It deals with elementary excitations in bulk and low-dimensional semiconductors, including quantum wells, quantum wires and quantum dots. The basic principles underlying optical nonlinearities are developed, including excitonic and many-body plasma effects. Fundamentals of optical bistability, semiconductor lasers, femtosecond excitation, the optical Stark effect, the semiconductor photon echo, magneto-optic effects, as well as bulk and quantum-confined Franz-Keldysh effects, are covered. The material is presented in sufficient detail for graduate students and researchers with a general background in quantum mechanics.This fifth edition includes an additional chapter on 'Quantum Optical Effects' where the theory of quantum optical effects in semiconductors is detailed. Besides deriving the 'semiconductor luminescence equations' and the expression for the stationary luminescence spectrum, the resu...

  6. On the Effect of Confinement on the Structure and Properties of Small-Molecular Organic Semiconductors

    KAUST Repository

    Martin, Jaime; Dyson, Matthew; Reid, Obadiah G.; Li, Ruipeng; Nogales, Aurora; Smilgies, Detlef-M.; Silva, Carlos; Rumbles, Garry; Amassian, Aram; Stingelin, Natalie

    2017-01-01

    Many typical organic optoelectronic devices, such as light-emitting diodes, field-effect transistors, and photovoltaic cells, use an ultrathin active layer where the organic semiconductor is confined within nanoscale dimensions. However, the question of how this spatial constraint impacts the active material is rarely addressed, although it may have a drastic influence on the phase behavior and microstructure of the active layer and hence the final performance. Here, the small-molecule semiconductor p-DTS(FBTTh) is used as a model system to illustrate how sensitive this class of material can be to spatial confinement on device-relevant length scales. It is also shown that this effect can be exploited; it is demonstrated, for instance, that spatial confinement is an efficient tool to direct the crystal orientation and overall texture of p-DTS(FBTTh) structures in a controlled manner, allowing for the manipulation of properties including photoluminescence and charge transport characteristics. This insight should be widely applicable as the temperature/confinement phase diagrams established via differential scanning calorimetry and grazing-incidence X-ray diffraction are used to identify specific processing routes that can be directly extrapolated to other functional organic materials, such as polymeric semiconductors, ferroelectrics or high-refractive-index polymers, to induce desired crystal textures or specific (potentially new) polymorphs.

  7. On the Effect of Confinement on the Structure and Properties of Small-Molecular Organic Semiconductors

    KAUST Repository

    Martín, Jaime

    2017-12-11

    Many typical organic optoelectronic devices, such as light-emitting diodes, field-effect transistors, and photovoltaic cells, use an ultrathin active layer where the organic semiconductor is confined within nanoscale dimensions. However, the question of how this spatial constraint impacts the active material is rarely addressed, although it may have a drastic influence on the phase behavior and microstructure of the active layer and hence the final performance. Here, the small-molecule semiconductor p-DTS(FBTTh) is used as a model system to illustrate how sensitive this class of material can be to spatial confinement on device-relevant length scales. It is also shown that this effect can be exploited; it is demonstrated, for instance, that spatial confinement is an efficient tool to direct the crystal orientation and overall texture of p-DTS(FBTTh) structures in a controlled manner, allowing for the manipulation of properties including photoluminescence and charge transport characteristics. This insight should be widely applicable as the temperature/confinement phase diagrams established via differential scanning calorimetry and grazing-incidence X-ray diffraction are used to identify specific processing routes that can be directly extrapolated to other functional organic materials, such as polymeric semiconductors, ferroelectrics or high-refractive-index polymers, to induce desired crystal textures or specific (potentially new) polymorphs.

  8. Nano-/microstructure improved photocatalytic activities of semiconductors.

    Science.gov (United States)

    Zhao, Tianyi; Zhao, Yong; Jiang, Lei

    2013-10-13

    Photocatalysis has emerged as a promising technique owing to its valuable applications in environmental purification. With the demand of building effective photocatalyst materials, semiconductor investigation experienced a developing process from simple chemical modification to complicated morphology design. In this review, the general relationship between morphology structures and photocatalytic properties is mainly discussed. Various nano-/microsized structures from zero- to three-dimensional are discussed, and the photocatalytic efficiency correspon- ding to the structures is analysed. The results showed that simple structures can be easily obtained and can facilitate chemical modification, whereas one- or three-dimensional structures can provide structure-enhanced properties such as surface area increase, multiple reflections of UV light, etc. Those principles of structure-related photocatalytic properties will afford basic ideology in designing new photocatalytic materials with more effective catalytic properties.

  9. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  10. New organic semiconductors with imide/amide-containing molecular systems.

    Science.gov (United States)

    Liu, Zitong; Zhang, Guanxin; Cai, Zhengxu; Chen, Xin; Luo, Hewei; Li, Yonghai; Wang, Jianguo; Zhang, Deqing

    2014-10-29

    Due to their high electron affinities, chemical and thermal stabilities, π-conjugated molecules with imide/amide frameworks have received considerable attentions as promising candidates for high-performance optoelectronic materials, particularly for organic semiconductors with high carrier mobilities. The purpose of this Research News is to give an overview of recent advances in development of high performance imide/amide based organic semiconductors for field-effect transistors. It covers naphthalene diimide-, perylene diimide- and amide-based conjugated molecules and polymers for organic semiconductors. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Material Selection for Dye Sensitized Solar Cells Using Multiple Attribute Decision Making Approach

    Directory of Open Access Journals (Sweden)

    Sarita Baghel

    2014-01-01

    Full Text Available Dye sensitized solar cells (DSCs provide a potential alternative to conventional p-n junction photovoltaic devices. The semiconductor thin film plays a crucial role in the working of DSC. This paper aims at formulating a process for the selection of optimum semiconductor material for nanostructured thin film using multiple attribute decision making (MADM approach. Various possible available semiconducting materials and their properties like band gap, cost, mobility, rate of electron injection, and static dielectric constant are considered and MADM technique is applied to select the best suited material. It was found that, out of all possible candidates, titanium dioxide (TiO2 is the best semiconductor material for application in DSC. It was observed that the proposed results are in good agreement with the experimental findings.

  12. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  13. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  14. Materials and processing approaches for foundry-compatible transient electronics

    Science.gov (United States)

    Chang, Jan-Kai; Fang, Hui; Bower, Christopher A.; Song, Enming; Yu, Xinge; Rogers, John A.

    2017-07-01

    Foundry-based routes to transient silicon electronic devices have the potential to serve as the manufacturing basis for “green” electronic devices, biodegradable implants, hardware secure data storage systems, and unrecoverable remote devices. This article introduces materials and processing approaches that enable state-of-the-art silicon complementary metal-oxide-semiconductor (CMOS) foundries to be leveraged for high-performance, water-soluble forms of electronics. The key elements are (i) collections of biodegradable electronic materials (e.g., silicon, tungsten, silicon nitride, silicon dioxide) and device architectures that are compatible with manufacturing procedures currently used in the integrated circuit industry, (ii) release schemes and transfer printing methods for integration of multiple ultrathin components formed in this way onto biodegradable polymer substrates, and (iii) planarization and metallization techniques to yield interconnected and fully functional systems. Various CMOS devices and circuit elements created in this fashion and detailed measurements of their electrical characteristics highlight the capabilities. Accelerated dissolution studies in aqueous environments reveal the chemical kinetics associated with the underlying transient behaviors. The results demonstrate the technical feasibility for using foundry-based routes to sophisticated forms of transient electronic devices, with functional capabilities and cost structures that could support diverse applications in the biomedical, military, industrial, and consumer industries.

  15. Donors in Semiconductors - are they Understood in Electronic Era?

    International Nuclear Information System (INIS)

    Dmochowski, Janusz E

    2007-01-01

    The physics of semiconductors and contemporary electronics cannot be understood without impurities. The hydrogen-like shallow donor (and acceptor) state of electron (hole) bound by Coulomb electrostatic force of excess charge of impurity is used to control conductivity of semiconductors and construct semiconductor diodes, transistors and numerous types of semiconductor electronic and optoelectronic devices, including lasers. Recently, surprisingly, the physics of impurity donors appeared to be much reacher. Experimental evidence has been provided for universal existence of other types of electronic states of the same donor impurity: i) mysterious, deep, DX-type state resulting in metastability - slow hysteresis phenomena - understood as two-electron, acceptor-like state of donor impurity, formed upon large lattice distortion or rearrangement around impurity and accompanying capture of second electron, resulting in negative electron correlation energy U; ii) deep, localized, fully symmetric, A1, one-electron donor state of substitutional impurity. The latter state can be formed from the 'ordinary' shallow hydrogen-like state in the process of strong localization of electron by short range, local potential of impurity core, preserving full (A 1 ) symmetry of the substitutional impurity in the host lattice. The 'anticrossing' of the two A 1 (shallow hydrogenic and deep localized) energy levels upon transformation is observed. All types of electronic states of impurity can be universally observed for the same donor impurity and mutual transformation between different states occur upon changing experimental conditions. The knowledge about existence and properties of these n ew , molecular type, donor states in semiconductors seems still await general recognition and positive application in contemporary material and device science and engineering

  16. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  17. Semiconductor applications of plasma immersion ion implantation ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 25; Issue 6. Semiconductor applications of plasma immersion ion implantation technology ... Department of Electronic Science, Kurukshetra University, Kurukshetra 136 119, India ...

  18. Modification of semiconductors with proton beams. A review

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Lomasov, V.N.; Kozlov, V.A.

    2000-01-01

    Analysis is given of the progress in the modification of semiconductors by proton beams in fields such as proton-enhanced diffusion, ion-beam mixing, and formation of porous layers. This method of modification (doping) is shown to have high potential in monitoring the properties of semiconductor materials and designing devices of micro and nano electronics as compared to the conventional doping techniques such as thermal diffusion, epitaxy, and ion implantation

  19. Cathodoluminescence | Materials Science | NREL

    Science.gov (United States)

    shown on a computer screen; the image of a sample semiconductor material appears as a striated oval material sample shown above; the image is a high-contrast light and dark oval on a dark background and was top left of copper indium gallium selenide semiconductor material sample; the image is shown on a

  20. 3D analysis of semiconductor devices: A combination of 3D imaging and 3D elemental analysis

    Science.gov (United States)

    Fu, Bianzhu; Gribelyuk, Michael A.

    2018-04-01

    3D analysis of semiconductor devices using a combination of scanning transmission electron microscopy (STEM) Z-contrast tomography and energy dispersive spectroscopy (EDS) elemental tomography is presented. 3D STEM Z-contrast tomography is useful in revealing the depth information of the sample. However, it suffers from contrast problems between materials with similar atomic numbers. Examples of EDS elemental tomography are presented using an automated EDS tomography system with batch data processing, which greatly reduces the data collection and processing time. 3D EDS elemental tomography reveals more in-depth information about the defect origin in semiconductor failure analysis. The influence of detector shadowing and X-rays absorption on the EDS tomography's result is also discussed.

  1. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  2. Advanced crystal growth techniques for thallium bromide semiconductor radiation detectors

    Science.gov (United States)

    Datta, Amlan; Becla, Piotr; Guguschev, Christo; Motakef, Shariar

    2018-02-01

    Thallium Bromide (TlBr) is a promising room-temperature radiation detector candidate with excellent charge transport properties. Currently, Travelling Molten Zone (TMZ) technique is widely used for growth of semiconductor-grade TlBr crystals. However, there are several challenges associated with this type of crystal growth process including lower yield, high thermal stress, and low crystal uniformity. To overcome these shortcomings of the current technique, several different crystal growth techniques have been implemented in this study. These include: Vertical Bridgman (VB), Physical Vapor Transport (PVT), Edge-defined Film-fed Growth (EFG), and Czochralski Growth (Cz). Techniques based on melt pulling (EFG and Cz) were demonstrated for the first time for semiconductor grade TlBr material. The viability of each process along with the associated challenges for TlBr growth has been discussed. The purity of the TlBr crystals along with its crystalline and electronic properties were analyzed and correlated with the growth techniques. Uncorrected 662 keV energy resolutions around 2% were obtained from 5 mm x 5 mm x 10 mm TlBr devices with virtual Frisch-grid configuration.

  3. Drain-induced barrier lowering effect for short channel dual material gate 4H silicon carbide metal—semiconductor field-effect transistor

    Science.gov (United States)

    Zhang, Xian-Jun; Yang, Yin-Tang; Duan, Bao-Xing; Chai, Chang-Chun; Song, Kun; Chen, Bin

    2012-09-01

    Sub-threshold characteristics of the dual material gate 4H-SiC MESFET (DMGFET) are investigated and the analytical models to describe the drain-induced barrier lowering (DIBL) effect are derived by solving one- and two-dimensional Poisson's equations. Using these models, we calculate the bottom potential of the channel and the threshold voltage shift, which characterize the drain-induced barrier lowering (DIBL) effect. The calculated results reveal that the dual material gate (DMG) structure alleviates the deterioration of the threshold voltage and thus suppresses the DIBL effect due to the introduced step function, which originates from the work function difference of the two gate materials when compared with the conventional single material gate metal—semiconductor field-effect transistor (SMGFET).

  4. Drain-induced barrier lowering effect for short channel dual material gate 4H silicon carbide metal—semiconductor field-effect transistor

    International Nuclear Information System (INIS)

    Zhang Xian-Jun; Yang Yin-Tang; Duan Bao-Xing; Chai Chang-Chun; Song Kun; Chen Bin

    2012-01-01

    Sub-threshold characteristics of the dual material gate 4H-SiC MESFET (DMGFET) are investigated and the analytical models to describe the drain-induced barrier lowering (DIBL) effect are derived by solving one- and two-dimensional Poisson's equations. Using these models, we calculate the bottom potential of the channel and the threshold voltage shift, which characterize the drain-induced barrier lowering (DIBL) effect. The calculated results reveal that the dual material gate (DMG) structure alleviates the deterioration of the threshold voltage and thus suppresses the DIBL effect due to the introduced step function, which originates from the work function difference of the two gate materials when compared with the conventional single material gate metal—semiconductor field-effect transistor (SMGFET)

  5. Controlling semiconductor nanoparticle size distributions with tailored ultrashort pulses

    International Nuclear Information System (INIS)

    Hergenroeder, R; Miclea, M; Hommes, V

    2006-01-01

    The laser generation of size-controlled semiconductor nanoparticle formation under gas phase conditions is investigated. It is shown that the size distribution can be changed if picosecond pulse sequences of tailored ultra short laser pulses (<200 fs) are employed. By delivering the laser energy in small packages, a temporal energy flux control at the target surface is achieved, which results in the control of the thermodynamic pathway the material takes. The concept is tested with silicon and germanium, both materials with a predictable response to double pulse sequences, which allows deduction of the materials' response to complicated pulse sequences. An automatic, adaptive learning algorithm was employed to demonstrate a future strategy that enables the definition of more complex optimization targets such as particle size on materials less predictable than semiconductors

  6. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  7. Electron beam processing of materials-R and D and industrial utilization

    International Nuclear Information System (INIS)

    Sarma, K.S.S.

    2005-01-01

    The early sixties witnessed the beginning of Electron Beam (EB) processing of materials using high-energy electrons and has emerged as a well established technology, presently being adapted by the industry. The process and the processed materials showed definite and distinct advantages/characteristics over the available conventional methods. Even though the commercial exploitation started initially in polymer modifications for better (and suitable) performance through polymerization, cross-linking, degradation and grafting, the processing fields are now diverged to sterilization of health care, food irradiation, controlled defects in semiconductor devices and semi and/or precious stones, waste water/flue gas treatment etc. The availability of electron accelerators that operate as per the requirement of the industrial needs, easy maintenance, expertise availability etc brought the EB processing industry into a multi dollar business world wide. In USA and Japan there are more than 1200 accelerators currently operative in automobile tire, wire and cable and heat shrinkable industry. Output beam powers exceeding 400 kW with electron energy ranging from few hundred keV up to 10 MeV are made available to the industry. In BARC EB processing started with the 2MeV/20 kW electron accelerator and suitable processing techniques have been developed for applications like polymer cross linking (heat resistant LDPE O-rings, wire and cable insulation), color enhancement in precious stones (diamonds) on industrial scale and polymer curing, grafting, degradation on R and D/pilot scale. The commercial success of the process enabled the private cable industry to set up accelerators at their factories. On research and development front, the accelerator is being utilized to develop new polymer blends for high temperature applications, for solid and liquid waste treatment, polypropylene grafting experiments for uranium extraction from sea water, surface curing etc. This paper gives

  8. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  9. Molecular and polymeric organic semiconductors for applications in photovoltaic devices

    International Nuclear Information System (INIS)

    Meinhardt, G.

    2000-01-01

    Photovoltaic devices based on molecular as well as polymeric semiconductors were investigated and characterized. The organic materials presented here exhibit the advantages of low price, low processing costs and the possibility of tuning their optical properties. The photovoltaic properties were investigated by photocurrent action spectroscopy and I/V-characterization and the electric field distribution in each layer by electroabsorption spectroscopy. Single layer devices of molecular semiconductors and semiconducting polymers like methyl-substituted polyparaphenylene, CN-Ether-PPV, copper-phthalocyanine, the terryleneimide DOTer, the perylene derivatives BBP-perylene and polyBBP-perylene show low photocurrents as well as a small photovoltaic effect in their pristine form. One way to enhance the performance is to blend the active layer with molecular dopands like a soluble form of titaniumoxophthalocyanine or the aromatic macromolecule RS19 or to combine two organic semiconductors in heterostructure devices. The motivation for these experiments was the optimization of either charge transfer or energy transfer from one molecule to its neighbor molecule. A model based on the internal filter effect was used for fitting the photoresponse of single layer devices. For optimising heterostructure solar cells a more sophisticated theoretical model taking into account interference effects was used. (author)

  10. Process monitoring in high volume semiconductor production environment with in-fab TXRF

    International Nuclear Information System (INIS)

    Ghatak-Roy, A.R.; Hossain, T.Z.

    2000-01-01

    After its introduction in the 80's, TXRF has become an important tool for surface contamination analysis. This is particularly true for the semiconductor industries, where monitoring trace level contamination in ultra clean environment is absolutely necessary for successful device production with reasonable yield. In FAB 25 of the Advanced Micro Devices in Austin, we have installed two TXRF tools, which are model TXRF3750 manufactured by Rigaku. They contain rotating tungsten anodes with three beam capability for wide selection of elements. One of the beams (WM) is used for monitoring of low Z elements such as Na, Mg and Al. The standard output is 9 kW with 300 mA at 30 kV. The tool runs 24 hours a day, 7 days a week, except for maintenance and breakdowns. We have been using TXRF for in-fab monitoring of various tools and processes for trace contamination and some quantification of materials. This in-fab operation is important because it gives real time monitoring without the necessity of bringing the wafers out of the fab. Secondly, being in ultra clean fab environment, the risk of background contamination is minimized. Since TXRF measurement is fast and does not need any sample preparation, this works very well as production support tool. Several wafer fab technicians have been trained to use the tool for round the clock operation. We have successfully monitored tools and processes in our fab. One example is the monitoring of numerous sinks used in the cleaning of production wafers after various processes. Monitor wafers are run after sink cleaning and solvent changes and they are then analyzed for any contamination. Another example is the monitoring of tools that use Ferrofluidic seals so as to prevent any contamination from Fe and Cr. Other tools using TXRF include diffusion furnaces, etchers and plasma cleaning tools. We have also been monitoring processes such as ion implantation, metal deposition and rapid thermal annealing. In this presentation, we will

  11. Low-voltage organic electronics based on a gate-tunable injection barrier in vertical graphene-organic semiconductor heterostructures.

    Science.gov (United States)

    Hlaing, Htay; Kim, Chang-Hyun; Carta, Fabio; Nam, Chang-Yong; Barton, Rob A; Petrone, Nicholas; Hone, James; Kymissis, Ioannis

    2015-01-14

    The vertical integration of graphene with inorganic semiconductors, oxide semiconductors, and newly emerging layered materials has recently been demonstrated as a promising route toward novel electronic and optoelectronic devices. Here, we report organic thin film transistors based on vertical heterojunctions of graphene and organic semiconductors. In these thin heterostructure devices, current modulation is accomplished by tuning of the injection barriers at the semiconductor/graphene interface with the application of a gate voltage. N-channel devices fabricated with a thin layer of C60 show a room temperature on/off ratio >10(4) and current density of up to 44 mAcm(-2). Because of the ultrashort channel intrinsic to the vertical structure, the device is fully operational at a driving voltage of 200 mV. A complementary p-channel device is also investigated, and a logic inverter based on two complementary transistors is demonstrated. The vertical integration of graphene with organic semiconductors via simple, scalable, and low-temperature fabrication processes opens up new opportunities to realize flexible, transparent organic electronic, and optoelectronic devices.

  12. Characterization of the structure and chemistry of defects in materials

    International Nuclear Information System (INIS)

    Larson, B.C.; Ruehle, M.; Seidman, D.N.

    1988-01-01

    Research programs, presented at the materials research symposium, on defects in materials are presented. Major areas include: point defects, defect aggregates, and ordering; defects in non-metals and semiconductors; atomic resolution imaging of defects; and gain boundaries, interfaces, and layered materials. Individual projects are processed separately for the data bases

  13. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  14. Etch Pit Studies of II-VI-Wide Bandgap Semiconductor Materials ZnSe, ZnCdSe, and ZnCdMgSe Grown on InP

    National Research Council Canada - National Science Library

    Semendy, Fred

    1999-01-01

    Etch pit density (EPD) determination studies have been conducted on II-VI semiconductor materials ZnSe, ZnCdSe, and ZnCdMgSe grown on InP surfaces for the first time by using various etching solutions under different...

  15. Semiconductor radiation detectors: device physics

    National Research Council Canada - National Science Library

    Lutz, Gerhard

    1999-01-01

    ..., including nuclear physics, elementary particle physics, optical and x-ray astronomy, medicine, and materials testing - and the number of applications is growing continually. Closely related, and initiated by the application of semiconductors, is the development of low-noise low-power integrated electronics for signal readout. The success of semicond...

  16. Broadband absorption of semiconductor nanowire arrays for photovoltaic applications

    International Nuclear Information System (INIS)

    Huang, Ningfeng; Lin, Chenxi; Povinelli, Michelle L

    2012-01-01

    We use electromagnetic simulations to carry out a systematic study of broadband absorption in vertically-aligned semiconductor nanowire arrays for photovoltaic applications. We study six semiconductor materials that are commonly used for solar cells. We optimize the structural parameters of each nanowire array to maximize the ultimate efficiency. We plot the maximal ultimate efficiency as a function of height to determine how it approaches the perfect-absorption limit. We further show that the ultimate efficiencies of optimized nanowire arrays exceed those of equal-height thin films for all six materials and over a wide range of heights from 100 nm to 100 µm

  17. Bandgap Optimization of Perovskite Semiconductors for Photovoltaic Applications.

    Science.gov (United States)

    Xiao, Zewen; Zhou, Yuanyuan; Hosono, Hideo; Kamiya, Toshio; Padture, Nitin P

    2018-02-16

    The bandgap is the most important physical property that determines the potential of semiconductors for photovoltaic (PV) applications. This Minireview discusses the parameters affecting the bandgap of perovskite semiconductors that are being widely studied for PV applications, and the recent progress in the optimization of the bandgaps of these materials. Perspectives are also provided for guiding future research in this area. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Direct observation of triplet energy transfer from semiconductor nanocrystals.

    Science.gov (United States)

    Mongin, Cédric; Garakyaraghi, Sofia; Razgoniaeva, Natalia; Zamkov, Mikhail; Castellano, Felix N

    2016-01-22

    Triplet excitons are pervasive in both organic and inorganic semiconductors but generally remain confined to the material in which they originate. We demonstrated by transient absorption spectroscopy that cadmium selenide semiconductor nanoparticles, selectively excited by green light, engage in interfacial Dexter-like triplet-triplet energy transfer with surface-anchored polyaromatic carboxylic acid acceptors, extending the excited-state lifetime by six orders of magnitude. Net triplet energy transfer also occurs from surface acceptors to freely diffusing molecular solutes, further extending the lifetime while sensitizing singlet oxygen in an aerated solution. The successful translation of triplet excitons from semiconductor nanoparticles to the bulk solution implies that such materials are generally effective surrogates for molecular triplets. The nanoparticles could thereby potentially sensitize a range of chemical transformations that are relevant for fields as diverse as optoelectronics, solar energy conversion, and photobiology. Copyright © 2016, American Association for the Advancement of Science.

  19. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    Science.gov (United States)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  20. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  1. Organic semiconductors based on [1]benzothieno[3,2-b][1]benzothiophene substructure.

    Science.gov (United States)

    Takimiya, Kazuo; Osaka, Itaru; Mori, Takamichi; Nakano, Masahiro

    2014-05-20

    The design, synthesis, and characterization of organic semiconductors applicable to organic electronic devices, such as organic field-effect transistors (OFETs) and organic photovoltaics (OPVs), had been one of the most important topics in materials chemistry in the past decade. Among the vast number of materials developed, much expectation had been placed on thienoacenes, which are rigid and planar structures formed by fusing thiophenes and other aromatic rings, as a promising candidate for organic semiconductors for high-performance OFETs. However, the thienoacenes examined as an active material in OFETs in the 1990s afforded OFETs with only moderate hole mobilities (approximately 0.1 cm(2) V(-1) s(-1)). We speculated that this was due to the sulfur atoms in the thienoacenes, which hardly contributed to the intermolecular orbital overlap in the solid state. On the other hand, we have focused on other types of thienoacenes, such as [1]benzothieno[3,2-b][1]benzothiophene (BTBT), which seem to have appropriate HOMO spatial distribution for effective intermolecular orbital overlap. In fact, BTBT derivatives and their related materials, including dinaphtho[2,3-b:2',3'-f]thieno[3,2-b]thiophene (DNTT), have turned out to be superior organic semiconductors, affording OFETs with very high mobilities. To illustrate some examples, we have developed 2,7-diphenyl BTBT (DPh-BTBT) that yields vapor-deposited OFETs having mobilities of up to 2.0 cm(2) V(-1) s(-1) under ambient conditions, highly soluble dialkyl-BTBTs (Cn-BTBTs) that afford solution-processed OFETs with mobilities higher than 1.0 cm(2) V(-1) s(-1), and DNTT and its derivatives that yield OFETs with even higher mobilities (>3.0 cm(2) V(-1) s(-1)) and stability under ambient conditions. Such high performances are rationalized by their solid-state electronic structures that are calculated based on their packing structures: the large intermolecular orbital overlap and the isotropic two-dimensional electronic

  2. Skylab experiments. Volume 3: Materials science. [Skylab experiments on metallurgy, crystal growth, semiconductors, and combustion physics in weightless environment for high school level education

    Science.gov (United States)

    1973-01-01

    The materials science and technology investigation conducted on the Skylab vehicle are discussed. The thirteen experiments that support these investigations have been planned to evaluate the effect of a weightless environment on melting and resolidification of a variety of metals and semiconductor crystals, and on combustion of solid flammable materials. A glossary of terms which define the space activities and a bibliography of related data are presented.

  3. Semiconductor light sources fabricated by vapor phase epitaxial regrowth

    International Nuclear Information System (INIS)

    Powazinik, W.; Olshansky, R.; Meland, E.; Lauer, R.B.

    1986-01-01

    An extremely versatile technique for the fabrication of semiconductor light sources is described. The technique which is based on the halide vapor phase regrowth (VPR) of InP on channeled and selectively etched InGaAsP/InP double heterostructure material, results in a buried heterostructure (BH) index-guided VPR-BH diode laser structure which can be optimized for a number of different types of semiconductor light sources. The conditions and parameters associated with the halide VPR process are given, and the properties of the regrown InP are reported. The processing and characterization of high-frequency lasers with 18-GHz bandwidths and high-power lasers with cw single-spatial-mode powers of 60 mW are described. Additionally, the fabrication and characterization of superluminescent LEDs based on the this basic VPR-BH structure are described. These LEDs are capable of coupling more than 80 μW of optical power into a single-mode fiber at 100 mA, and can couple as much as 8 μW of optical power into a single-mode fiber at drive currents as low as 20 mA

  4. Two-color mid-infrared spectroscopy of optically doped semiconductors

    International Nuclear Information System (INIS)

    Forcales, M.; Klik, M.A.J.; Vinh, N.Q.; Phillips, J.; Wells, J-P.R.; Gregorkiewicz, T.

    2003-01-01

    Optical doping is an attractive method to tailor photonic properties of semiconductor matrices for development of solid-state electroluminescent structures. For practical applications, thermal stability of emission obtained from these materials is required. Thermal processes can be conveniently investigated by two-color spectroscopy in the visible and the mid-infrared. Free-electron laser is a versatile high-brilliance source of radiation in the latter spectral range. In this contribution, we briefly review some of the results obtained recently by the two-color spectroscopy with a free-electron laser in different semiconductors optically doped with rare earth and transition metal ions. Effects leading to both enhancement and quenching of emission from optical dopants will be presented. For InP:Yb, Si:Er, and Si:Cu activation of particular optically induced non-radiative recombination paths will be shown. For Si:Er and Si:Ag, observation of a low temperature optical memory effect will be reported

  5. Resonant inelastic scattering in dilute magnetic semiconductors by x-ray fluorescence spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Lawniczak-Jablonska, K. [Lawrence Berkeley National Lab., CA (United States)]|[Institute of Physics, Warsaw (Poland); Jia, J.J.; Underwood, J.H. [Lawrence Berkeley National Lab., CA (United States)] [and others

    1997-04-01

    As modern, technologically important materials have become more complex, element specific techniques have become invaluable in studying the electronic structure of individual components from the system. Soft x-ray fluorescence (SXF) and absorption (SXA) spectroscopies provide a unique means of measuring element and angular momentum density of electron states, respectively, for the valence and conducting bands in complex materials. X-ray absorption and the decay through x-ray emission are generally assumed to be two independent one-photon processes. Recent studies, however have demonstrated that SXF excited near the absorption threshold generate an array of spectral features that depend on nature of materials, particularly on the localization of excited states in s and d-band solids and that these two processes can no be longer treated as independent. Resonant SXF offers thus the new way to study the dynamics of the distribution of electronic valence states in the presence of a hole which is bound to the electron low lying in the conduction band. This process can simulate the interaction between hole-electron pair in wide gap semiconductors. Therefore such studies can help in understanding of transport and optics phenomena in the wide gap semiconductors. The authors report the result of Mn and S L-resonant emission in Zn{sub 1{minus}x}Mn{sub x}S (with x=0.2 and 0.3) and MnS as the energy of exciting radiation is tuned across the Mn and S L{sub 3,2} absorption edge, along with the resonant excited spectra from elemental Mn as a reference.

  6. New materials and structures for photovoltaics

    Science.gov (United States)

    Zunger, Alex; Wagner, S.; Petroff, P. M.

    1993-01-01

    Despite the fact that over the years crystal chemists have discovered numerous semiconducting substances, and that modern epitaxial growth techniques are able to produce many novel atomic-scale architectures, current electronic and opto-electronic technologies are based but on a handful of ˜10 traditional semiconductor core materials. This paper surveys a number of yet-unexploited classes of semiconductors, pointing to the much-needed research in screening, growing, and characterizing promising members of these classes. In light of the unmanageably large number of a-priori possibilities, we emphasize the role that structural chemistry and modern computer-aided design must play in screening potentially important candidates. The basic classes of materials discussed here include nontraditional alloys, such as non-isovalent and heterostructural semiconductors, materials at reduced dimensionality, including superlattices, zeolite-caged nanostructures and organic semiconductors, spontaneously ordered alloys, interstitial semiconductors, filled tetrahedral structures, ordered vacancy compounds, and compounds based on d and f electron elements. A collaborative effort among material predictor, material grower, and material characterizer holds the promise for a successful identification of new and exciting systems.

  7. Circuit design techniques for non-crystalline semiconductors

    CERN Document Server

    Sambandan, Sanjiv

    2012-01-01

    Despite significant progress in materials and fabrication technologies related to non-crystalline semiconductors, fundamental drawbacks continue to limit real-world application of these devices in electronic circuits. To help readers deal with problems such as low mobility and intrinsic time variant behavior, Circuit Design Techniques for Non-Crystalline Semiconductors outlines a systematic design approach, including circuit theory, enabling users to synthesize circuits without worrying about the details of device physics. This book: Offers examples of how self-assembly can be used as a powerf

  8. A full time-domain approach to spatio-temporal dynamics of semiconductor lasers. II. Spatio-temporal dynamics

    Science.gov (United States)

    Böhringer, Klaus; Hess, Ortwin

    The spatio-temporal dynamics of novel semiconductor lasers is discussed on the basis of a space- and momentum-dependent full time-domain approach. To this means the space-, time-, and momentum-dependent Full-Time Domain Maxwell Semiconductor Bloch equations, derived and discussed in our preceding paper I [K. Böhringer, O. Hess, A full time-domain approach to spatio-temporal dynamics of semiconductor lasers. I. Theoretical formulation], are solved by direct numerical integration. Focussing on the device physics of novel semiconductor lasers that profit, in particular, from recent advances in nanoscience and nanotechnology, we discuss the examples of photonic band edge surface emitting lasers (PBE-SEL) and semiconductor disc lasers (SDLs). It is demonstrated that photonic crystal effects can be obtained for finite crystal structures, and leading to a significant improvement in laser performance such as reduced lasing thresholds. In SDLs, a modern device concept designed to increase the power output of surface-emitters in combination with near-diffraction-limited beam quality, we explore the complex interplay between the intracavity optical fields and the quantum well gain material in SDL structures. Our simulations reveal the dynamical balance between carrier generation due to pumping into high energy states, momentum relaxation of carriers, and stimulated recombination from states near the band edge. Our full time-domain approach is shown to also be an excellent framework for the modelling of the interaction of high-intensity femtosecond and picosecond pulses with semiconductor nanostructures. It is demonstrated that group velocity dispersion, dynamical gain saturation and fast self-phase modulation (SPM) are the main causes for the induced changes and asymmetries in the amplified pulse shape and spectrum of an ultrashort high-intensity pulse. We attest that the time constants of the intraband scattering processes are critical to gain recovery. Moreover, we present

  9. Materials Discovery | Materials Science | NREL

    Science.gov (United States)

    Discovery Materials Discovery Images of red and yellow particles NREL's research in materials characterization of sample by incoming beam and measuring outgoing particles, with data being stored and analyzed Staff Scientist Dr. Zakutayev specializes in design of novel semiconductor materials for energy

  10. Layered semiconductor neutron detectors

    Science.gov (United States)

    Mao, Samuel S; Perry, Dale L

    2013-12-10

    Room temperature operating solid state hand held neutron detectors integrate one or more relatively thin layers of a high neutron interaction cross-section element or materials with semiconductor detectors. The high neutron interaction cross-section element (e.g., Gd, B or Li) or materials comprising at least one high neutron interaction cross-section element can be in the form of unstructured layers or micro- or nano-structured arrays. Such architecture provides high efficiency neutron detector devices by capturing substantially more carriers produced from high energy .alpha.-particles or .gamma.-photons generated by neutron interaction.

  11. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  12. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  13. Materials processing using supercritical fluids

    Directory of Open Access Journals (Sweden)

    Orlović Aleksandar M.

    2005-01-01

    Full Text Available One of the most interesting areas of supercritical fluids applications is the processing of novel materials. These new materials are designed to meet specific requirements and to make possible new applications in Pharmaceuticals design, heterogeneous catalysis, micro- and nano-particles with unique structures, special insulating materials, super capacitors and other special technical materials. Two distinct possibilities to apply supercritical fluids in processing of materials: synthesis of materials in supercritical fluid environment and/or further processing of already obtained materials with the help of supercritical fluids. By adjusting synthesis parameters the properties of supercritical fluids can be significantly altered which further results in the materials with different structures. Unique materials can be also obtained by conducting synthesis in quite specific environments like reversed micelles. This paper is mainly devoted to processing of previously synthesized materials which are further processed using supercritical fluids. Several new methods have been developed to produce micro- and nano-particles with the use of supercritical fluids. The following methods: rapid expansion of supercritical solutions (RESS supercritical anti-solvent (SAS, materials synthesis under supercritical conditions and encapsulation and coating using supercritical fluids were recently developed.

  14. Doping of semiconductors using radiation defects produced by irradiation with protons and alpha particles

    International Nuclear Information System (INIS)

    Kozlov, V.A.; Kozlovski, V.V.

    2001-01-01

    One of the modern methods for modifying semiconductors using beams of protons and alpha particles is analyzed; this modification is accomplished by the controlled introduction of radiation defects into the semiconductor. It is shown that doping semiconductors with radiation defects produced by irradiation with light ions opens up fresh opportunities for controlling the properties of semiconducting materials and for the development of new devices designed for optoelectronics, microelectronics, and nanoelectronics based on these materials; these devices differ favorably from those obtained by conventional doping methods, i.e., by diffusion, epitaxy, and ion implantation

  15. Semiconductor type n for applications in gas sensing film

    International Nuclear Information System (INIS)

    Cerón Hurtado, Nathalie Marcela; Rodríguez Páez, Jorge Enrique

    2008-01-01

    Semiconductors are materials commonly used in the conformation of the active material in gas sensors, in this paper the synthesis routes are shown for obtaining raw material Sn02-Ti02 system, n-type semiconductor material, methods of characterization the same and the formation of thick films. The synthesis was performed using the methods of precipitation Controlled Polymeric Precursor, characterization of ceramic powders are made using techniques of differential thermal analysis and thermogravimetric (DTA / TG), X-ray diffraction (XRD), Transmission Electron Microscopy (TEM ) and Scanning Electron Microscopy (SEM); Finally they settled in thick films by screen printing method and microstructurally characterized by Optical Microscopy (M0) and Scanning Electron Microscopy (SEM), besides this electrically characterized. The ceramic powders obtained are nanoscale high chemical purity and respond favorably formed films in the presence of oxygen and carbon monoxide.

  16. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  17. Physical aspects of ferroelectric semiconductors for photovoltaic solar energy conversion

    Energy Technology Data Exchange (ETDEWEB)

    Lopez-Varo, Pilar [Departamento de Electrónica y Tecnología de Computadores, CITIC-UGR, Universidad de Granada, 18071 Granada (Spain); Bertoluzzi, Luca [Institute of Advanced Materials (INAM), Universitat Jaume I, 12006 Castelló (Spain); Bisquert, Juan, E-mail: bisquert@uji.es [Institute of Advanced Materials (INAM), Universitat Jaume I, 12006 Castelló (Spain); Department of Chemistry, Faculty of Science, King Abdulaziz University, Jeddah (Saudi Arabia); Alexe, Marin [Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Coll, Mariona [Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus UAB, 08193, Bellaterra, Catalonia (Spain); Huang, Jinsong [Department of Mechanical and Materials Engineering and Nebraska Center for Materials and Nanoscience, University of Nebraska-Lincoln, Lincoln, NE 68588-0656 (United States); Jimenez-Tejada, Juan Antonio [Departamento de Electrónica y Tecnología de Computadores, CITIC-UGR, Universidad de Granada, 18071 Granada (Spain); Kirchartz, Thomas [IEK5-Photovoltaik, Forschungszentrum Jülich, 52425 Jülich (Germany); Faculty of Engineering and CENIDE, University of Duisburg–Essen, Carl-Benz-Str. 199, 47057 Duisburg (Germany); Nechache, Riad; Rosei, Federico [INRS—Center Énergie, Matériaux et Télécommunications, Boulevard Lionel-Boulet, Varennes, Québec, J3X 1S2 (Canada); Yuan, Yongbo [Department of Mechanical and Materials Engineering and Nebraska Center for Materials and Nanoscience, University of Nebraska-Lincoln, Lincoln, NE 68588-0656 (United States)

    2016-10-07

    Solar energy conversion using semiconductors to fabricate photovoltaic devices relies on efficient light absorption, charge separation of electron–hole pair carriers or excitons, and fast transport and charge extraction to counter recombination processes. Ferroelectric materials are able to host a permanent electrical polarization which provides control over electrical field distribution in bulk and interfacial regions. In this review, we provide a critical overview of the physical principles and mechanisms of solar energy conversion using ferroelectric semiconductors and contact layers, as well as the main achievements reported so far. In a ferroelectric semiconductor film with ideal contacts, the polarization charge would be totally screened by the metal layers and no charge collection field would exist. However, real materials show a depolarization field, smooth termination of polarization, and interfacial energy barriers that do provide the control of interface and bulk electric field by switchable spontaneous polarization. We explore different phenomena as the polarization-modulated Schottky-like barriers at metal/ferroelectric interfaces, depolarization fields, vacancy migration, and the switchable rectifying behavior of ferroelectric thin films. Using a basic physical model of a solar cell, our analysis provides a general picture of the influence of ferroelectric effects on the actual power conversion efficiency of the solar cell device, and we are able to assess whether these effects or their combinations are beneficial or counterproductive. We describe in detail the bulk photovoltaic effect and the contact layers that modify the built-in field and the charge injection and separation in bulk heterojunction organic cells as well as in photocatalytic and water splitting devices. We also review the dominant families of ferroelectric materials that have been most extensively investigated and have provided the best photovoltaic performance.

  18. Physical aspects of ferroelectric semiconductors for photovoltaic solar energy conversion

    International Nuclear Information System (INIS)

    Lopez-Varo, Pilar; Bertoluzzi, Luca; Bisquert, Juan; Alexe, Marin; Coll, Mariona; Huang, Jinsong; Jimenez-Tejada, Juan Antonio; Kirchartz, Thomas; Nechache, Riad; Rosei, Federico; Yuan, Yongbo

    2016-01-01

    Solar energy conversion using semiconductors to fabricate photovoltaic devices relies on efficient light absorption, charge separation of electron–hole pair carriers or excitons, and fast transport and charge extraction to counter recombination processes. Ferroelectric materials are able to host a permanent electrical polarization which provides control over electrical field distribution in bulk and interfacial regions. In this review, we provide a critical overview of the physical principles and mechanisms of solar energy conversion using ferroelectric semiconductors and contact layers, as well as the main achievements reported so far. In a ferroelectric semiconductor film with ideal contacts, the polarization charge would be totally screened by the metal layers and no charge collection field would exist. However, real materials show a depolarization field, smooth termination of polarization, and interfacial energy barriers that do provide the control of interface and bulk electric field by switchable spontaneous polarization. We explore different phenomena as the polarization-modulated Schottky-like barriers at metal/ferroelectric interfaces, depolarization fields, vacancy migration, and the switchable rectifying behavior of ferroelectric thin films. Using a basic physical model of a solar cell, our analysis provides a general picture of the influence of ferroelectric effects on the actual power conversion efficiency of the solar cell device, and we are able to assess whether these effects or their combinations are beneficial or counterproductive. We describe in detail the bulk photovoltaic effect and the contact layers that modify the built-in field and the charge injection and separation in bulk heterojunction organic cells as well as in photocatalytic and water splitting devices. We also review the dominant families of ferroelectric materials that have been most extensively investigated and have provided the best photovoltaic performance.

  19. Measuring the Edge Recombination Velocity of Monolayer Semiconductors.

    Science.gov (United States)

    Zhao, Peida; Amani, Matin; Lien, Der-Hsien; Ahn, Geun Ho; Kiriya, Daisuke; Mastandrea, James P; Ager, Joel W; Yablonovitch, Eli; Chrzan, Daryl C; Javey, Ali

    2017-09-13

    Understanding edge effects and quantifying their impact on the carrier properties of two-dimensional (2D) semiconductors is an essential step toward utilizing this material for high performance electronic and optoelectronic devices. WS 2 monolayers patterned into disks of varying diameters are used to experimentally explore the influence of edges on the material's optical properties. Carrier lifetime measurements show a decrease in the effective lifetime, τ effective , as a function of decreasing diameter, suggesting that the edges are active sites for carrier recombination. Accordingly, we introduce a metric called edge recombination velocity (ERV) to characterize the impact of 2D material edges on nonradiative carrier recombination. The unpassivated WS 2 monolayer disks yield an ERV ∼ 4 × 10 4 cm/s. This work quantifies the nonradiative recombination edge effects in monolayer semiconductors, while simultaneously establishing a practical characterization approach that can be used to experimentally explore edge passivation methods for 2D materials.

  20. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  1. Estimation of Bulk modulus and microhardness of tetrahedral semiconductors

    International Nuclear Information System (INIS)

    Gorai, Sanjay Kumar

    2012-01-01

    A general empirical formula was found for calculating of bulk modulus (B) and microhardness (H) from electronegativity and principal quantum number of II-VI, III-V semiconductors. Constant C1, appearing the in the expression of bulk modulus and constants C2 and C3, appearing in the expression of microhardness and the exponent M have following values respectively The numerical values of C1,C2, C3 and M are respectively 206.6, 8.234, 1.291, -1.10 for II-VI 72.4, 31.87, 7.592, -0.95 for III-V semiconductors. Both electro-negativity and principal quantum number can effectively reflect on the chemical bonding behaviour of constituent atoms in these semiconductors. The calculated values of bulk modulus and microhardness are in good agreement with the reported values in the literature. Present study helps in designing novel semiconductor materials, and to further explore the mechanical properties of these semiconductors.

  2. The physics of semiconductors an introduction including nanophysics and applications

    CERN Document Server

    Grundmann, Marius

    2016-01-01

    The 3rd edition of this successful textbook contains ample material for a comprehensive upper-level undergraduate or beginning graduate course, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. Coverage also includes additional advanced topics, such as Bragg mirrors, resonators, polarized and magnetic semiconductors, nanowires, quantum dots, multi-junction solar cells, thin film transistors, carbon-based nanostructures and transparent conductive oxides. The text derives explicit formulas for many results to support better under...

  3. Micropores preparation in A3B5 semiconductors

    Czech Academy of Sciences Publication Activity Database

    Nohavica, Dušan; Gladkov, Petar; Zelinka, Jiří; Jarchovský, Zdeněk

    -, mim. číslo (2007), s. 1-16 ISSN 1335-9053. [Development of Materials Science in Research and Education . Tatranská Štrba, 10.09.2007-14.09.2007] R&D Projects: GA ČR GA202/06/1315; GA MŠk ME 834 Institutional research plan: CEZ:AV0Z20670512 Keywords : porous semiconductors * III-V semiconductors * nanoelectronics Subject RIV: BM - Solid Matter Physics ; Magnetism http://www.mtf.stuba.sk/docs//internetovy_casopis/2007/mimorcis/nohavica.pdf

  4. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  5. Green synthesis of water soluble semiconductor nanocrystals and their applications

    Science.gov (United States)

    Wang, Ying

    II-VI semiconductor nanomaterials, e.g. CdSe and CdTe, have attracted great attention over the past decades due to their fascinating optical and electrical properties. The research presented here focuses on aqueous semiconductor nanomaterials. The work can be generally divided into three parts: synthesis, property study and application. The synthetic work is devoted to develop new methods to prepare shape- and structure-controlled II-VI semiconductor nanocrystals including nanoparticles and nanowires. CdSe and CdSe CdS semiconductor nanocrystals have been synthesized using sodium citrate as a stabilizer. Upon prolonged illumination with visible light, photoluminescence quantum yield of those quantum dots can be enhanced up to 5000%. The primary reason for luminescence enhancement is considered to be the removing of specific surface states (photocorrosion) and the smoothing of the CdSe core surface (photoannealing). CdTe nanowires are prepared through self-organization of stabilizer-depleted CdTe nanoparticles. The dipolar-dipolar attraction is believed to be the driving force of nanowire formation. The rich surface chemistry of CdTe nanowire is reflected by the formation of silica shell with different morphologies when nanowires with different capping ligands are used. Te and Se nanowires are prepared by chemical decomposition of CdTe and CdSe nanoparticles in presence of an external chemical stimulus, EDTA. These results not only provide a new example of NP→NW transformation, but also lead to a better understanding of the molecular process occurring in the stabilizer-depleted nanoparticles. The applications of those semiconductor materials are primarily based on the construction of nano-structured ultrathin films with desirable functions by using layer-by-layer technique (LBL). We demonstrate that light-induced micro-scale multicolor luminescent patterns can be obtained on photoactivable CdSe/CdS nanoparticles thin films by combining the advantages of LBL as

  6. Zinc Alloys for the Fabrication of Semiconductor Devices

    Science.gov (United States)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  7. Artificially Structured Semiconductors to Model Novel Quantum Phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Pinczuk, Aron [Columbia Univ., New York, NY (United States). Dept. of Applied Physics and Applied Mathematics; Wind, Shalom J. [Columbia Univ., New York, NY (United States). Dept. of Applied Physics and Applied Mathematics

    2018-01-13

    small periods are about three times smaller than previously reported in GaAs quantum wells. This milestone establishes a new state-of-the-art in fields of research and nanofabrication. In experiments using optical scattering methods we uncovered evidence that free electrons in the small period AG lattices display novel features that arise from the symmetry of the honeycomb lattice. These achievements create semiconductor platforms for explorations of novel states and effects that offer opportunities to create quasiparticles with tunable character. The quest for the discovery of novel quantum physics by nanofabrication of ‘artificial structures’ in semiconductor quantum structures overlaps with the development of quantum simulators. Nanopatterns were created at Columbia University by the group of co-PI Shalom Wind using a 100keV e-beam nanolithography instrument (along with associated processing) that is part of the Columbia Nano Initiative. Optical experiments were carried out in the group of PI Aron Pinczuk. GaAs/AlGaAs quantum wells(QWs) of world-class perfection that serve as electron hosts are the starting material grown by molecular beam epitaxy (MBE) by our partners Dr. Loren Pfeiffer (Princeton Univ.) and Prof. Michael Manfra (Purdue Univ.). The inductively coupled plasma reactive ion etching (ICP-RIE) was carried out at the PRISM Micro/Nano Fabrication Laboratory of Princeton University. Dr. Vittorio Pellegrini (Istituto Italiano di Tecnologia, Genoa, Italy) has contributed critical insight on this research. Two graduate students in this project Sheng Wang and Diego Scarabelli, graduated in the summer/fall of 2016. Dr. Yuliya Kuznetsova has been a postdoc in the group. The current work is led by Dr. Lingjie Du, a postdoctoral scientist that joined the group of the PI on October 15th, 2016. Since the start of this project we have focused primarily on developing protocols towards the fabrication of the artificial lattices and in the implementation of

  8. Using of the Modern Semiconductor Devices Based on the SiC

    Directory of Open Access Journals (Sweden)

    Pavel Drabek

    2008-01-01

    Full Text Available This paper deals with possibility of application of the semiconductor devices based on the SiC (Silicon Carbide inthe power electronics. Basic synopsis of SiC based materials problems are presented, appreciation of their properties incomparison with current using power semiconductor devices ((IGBT, MOSFET, CoolFET transistors.

  9. Time-resolved photoluminescence spectroscopy of semiconductors for optical applications beyond the visible spectral range

    Energy Technology Data Exchange (ETDEWEB)

    Chernikov, Alexey A.

    2011-07-01

    The work discussed in this thesis is focused on the experimental studies regarding these three steps: (1) investigation of the fundamental effects, (2) characterization of new material systems, and (3) optimization of the semiconductor devices. In all three cases, the experimental technique of choice is photoluminescence (PL) spectroscopy. The thesis is organized as follows. Chapter 2 gives a summary of the PL properties of semiconductors relevant for this work. The first section deals with the intrinsic processes in an ideal direct band gap material, starting with a brief summary of the theoretical background followed by the overview of a typical PL scenario. In the second part of the chapter, the role of the lattice-vibrations, the internal electric fields as well as the influence of the band-structure and the dielectric environment are discussed. Finally, extrinsic PL properties are presented in the third section, focusing on defects and disorder in real materials. In chapter 3, the experimental realization of the spectroscopic studies is discussed. The time-resolved photoluminescence (TRPL) setup is presented, focusing on the applied excitation source, non-linear frequency mixing, and the operation of the streak camera used for the detection. In addition, linear spectroscopy setup for continous-wave (CW) PL and absorption measurements is illustrated. Chapter 4 aims at the study of the interactions between electrons and lattice-vibrations in semiconductor crystals relevant for the proper description of carrier dynamics as well as the heat-transfer processes. The presented discussion covers the experimental studies of many-body effects in phonon-assisted emission of semiconductors due to the carriercarrier Coulomb-interaction. The corresponding theoretical background is discussed in detail in chapter 2. The investigations are focused on the two main questions regarding electron-hole plasma contributions to the phonon-assisted light-matter interaction as well as

  10. Dictionary materials engineering, materials testing

    International Nuclear Information System (INIS)

    1994-01-01

    This dictionary contains about 9,500 entries in each part of the following fields: 1) Materials using and selection; 2) Mechanical engineering materials -Metallic materials - Non-metallic inorganic materials - Plastics - Composites -Materials damage and protection; 3) Electrical and electronics materials -Conductor materials - Semiconductors - magnetic materials - Dielectric materials - non-conducting materials; 4) Materials testing - Mechanical methods - Analytical methods - Structure investigation - Complex methods - Measurement of physical properties - Non-destructive testing. (orig.) [de

  11. Luminescence studies of molecular materials

    International Nuclear Information System (INIS)

    Miller, P.F.

    2000-01-01

    Molecular materials have been widely studied for their potential uses in novel semiconductor devices. They occupy the intellectually interesting area between molecular and bulk descriptions of matter, and as such often have unique and useful characteristics. The design and engineering of these structures is inter-disciplinary in its nature, embracing the fields of physics, electrical engineering and both synthetic and physical chemistry. In this thesis luminescence studies of molecular materials will be presented that probe the nature of the excited states in two promising semiconductor systems. Luminescence techniques provide a powerful and sensitive tool in the investigation of kinetic pathways of radiative and non-radiative emission from these samples. This is particularly appropriate here, as the materials being studied are of potential use in electroluminescent devices. The suitability of photoluminescence techniques comes from both the electroluminescence and photoluminescence sharing the same emitting state. The first class of material studied here is an organic semiconducting polymer, cyano-substituted polyphenylenevinylene (CN-PPV). Conjugated polymers combine semiconducting electronic properties with favourable processing properties and offer the possibility of tuning their optical and electronic properties chemically. The cyanosubstitution increases the electron affinity of the polymer backbone, facilitating electron injection in light-emitting diodes. The polymers are soluble in solvents such as toluene and chloroform due the presence of alkoxy sidegroups. CdSe semiconductor nanocrystals are the other class of material characterised in this work. Semiconductor nanocrystals exhibit interesting size-tunable optical properties due to the confinement of the electronic wave functions. Characterisation of samples produced by different synthetic routes has been carried out to demonstrate the advantages of a novel synthetic method in terms of physical and

  12. I want to make mysterious material

    International Nuclear Information System (INIS)

    Kim, Do Yeon; Jo, Wok

    2006-10-01

    This book deals with material engineering. The contents of this book are revolution of fire, development of material technology, development of metal technology, synthetic fiber, semiconductor revolution, new material alloy, fine ceramics, plastic revolution, superconductivity materials, material for a light emitting display of semiconductor and nano technology. It tells of prologue of artificial material era, beginning of material engineering material engineering revolution and the future of material engineering.

  13. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de

  14. Identifying semiconductors by d.c. ionization conductivity

    International Nuclear Information System (INIS)

    Derenzo, Stephen E.; Bourret-Courchesne, Edith; James, Floyd J.; Klintenberg, Mattias K.; Porter-Chapman, Yetta; Wang, Jie; Weber, Marvin J.

    2006-01-01

    We describe a method for identifying semiconductor radiation detector materials based on the mobility of internally generated electrons and holes. It was designed for the early stages of exploration, when samples are not available as single crystals, but as crystalline powders. Samples are confined under pressure in an electric field and the increase in current resulting from exposure to a high-intensity source of 60Co gamma rays (i.e. the ionization current) is measured. We find that for known semiconductors the d.c. ionization current depends on voltage according to the Hecht equation, and for known insulators the d.c. ionization current is below our detection limits. This shows that the method can identify semiconductors in spite of significant carrier trapping. Using this method, we have determined that BiOI, PbIF,BiPbO2Cl, BiPbO2Br, BiPbO2I, Bi2GdO4Cl, Pb3O2I2, and Pb5O4I2 are semiconductors

  15. Two-dimensional Semiconductor-Superconductor Hybrids

    DEFF Research Database (Denmark)

    Suominen, Henri Juhani

    This thesis investigates hybrid two-dimensional semiconductor-superconductor (Sm-S) devices and presents a new material platform exhibiting intimate Sm-S coupling straight out of the box. Starting with the conventional approach, we investigate coupling superconductors to buried quantum well....... To overcome these issues we integrate the superconductor directly into the semiconducting material growth stack, depositing it in-situ in a molecular beam epitaxy system under high vacuum. We present a number of experiments on these hybrid heterostructures, demonstrating near unity interface transparency...

  16. A study on the optical parts for a semiconductor laser module

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Jun-Girl; Lee, Dong-Kil; Kim, Yang-Gyu; Lee, Kwang-Hoon; Park, Young-Sik [Korea Photonics Technology Institute, Gwangju (Korea, Republic of); Jang, Kwang-Ho [Hanvit Optoline, Gwangju (Korea, Republic of); Kang, Seung-Goo [COSET, Gwangju (Korea, Republic of)

    2014-11-15

    A semiconductor laser module consists of a LD (laser diode) chip that generates a laser beam, two cylindrical lenses to collimate the laser beam, a high-reflection mirror to produce a large output by collecting the laser beam, a collimator lens to guide the laser beam to an optical fiber and a protection filter to block reflected laser light that might damage the LD chip. The cylindrical lenses used in a semiconductor laser module are defined as FACs (fast axis collimators) and SACs (slow axis collimators) and are attached to the system module to control the shape of the laser beam. The FAC lens and the SAC lens are made of a glass material to protect the lenses from thermal deformation. In addition, they have aspheric shapes to improve optical performances. This paper presents a mold core grinding process for an asymmetrical aspheric lens and a GMP (glass molding press), what can be used to make aspheric cylindrical lenses for use as FACs or SACs, and a protection filter made by using IAD (ion-beam-assisted deposition). Finally, we developed the aspheric cylindrical lenses and the protection filter for a 10-W semiconductor laser module.

  17. A Brief History of ... Semiconductors

    Science.gov (United States)

    Jenkins, Tudor

    2005-01-01

    The development of studies in semiconductor materials is traced from its beginnings with Michael Faraday in 1833 to the production of the first silicon transistor in 1954, which heralded the age of silicon electronics and microelectronics. Prior to the advent of band theory, work was patchy and driven by needs of technology. However, the arrival…

  18. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  19. High efficiency semimetal/semiconductor nanocomposite thermoelectric materials

    International Nuclear Information System (INIS)

    Zide, J. M. O.; Bahk, J.-H.; Zeng, G.; Bowers, J. E.; Singh, R.; Zebarjadi, M.; Bian, Z. X.; Shakouri, A.; Lu, H.; Gossard, A. C.; Feser, J. P.; Xu, D.; Singer, S. L.; Majumdar, A.

    2010-01-01

    Rare-earth impurities in III-V semiconductors are known to self-assemble into semimetallic nanoparticles which have been shown to reduce lattice thermal conductivity without harming electronic properties. Here, we show that adjusting the band alignment between ErAs and In 0.53 Ga 0.47-X Al X As allows energy-dependent scattering of carriers that can be used to increase thermoelectric power factor. Films of various Al concentrations were grown by molecular beam epitaxy, and thermoelectric properties were characterized. We observe concurrent increases in electrical conductivity and Seebeck coefficient with increasing temperatures, demonstrating energy-dependent scattering. We report the first simultaneous power factor enhancement and thermal conductivity reduction in a nanoparticle-based system, resulting in a high figure of merit, ZT=1.33 at 800 K.

  20. Influence of energy bands on the Hall effect in degenerate semiconductors

    International Nuclear Information System (INIS)

    Wu, Chhi-Chong; Tsai, Jensan

    1989-01-01

    The influence of energy bands on the Hall effect and transverse magnetoresistance has been investigated according to the scattering processes of carriers in degenerate semiconductors such as InSb. Results show that the Hall angle, Hall coefficient, and transverse magnetoresistance depend on the dc magnetic field for both parabolic and nonparabolic band structures of semiconductors and also depend on the scattering processes of carriers in semiconductors due to the energy-dependent relaxation time. From their numerical analysis for the Hall effect, it is shown that the conduction electrons in degenerate semiconductors play a major role for the carrier transport phenomenon. By comparing with experimental data of the transverse magnetoresistance, it shows that the nonparabolic band model is better in agreement with the experimental work than the parabolic band model of semiconductors

  1. Surface Plasmon Polariton-Assisted Long-Range Exciton Transport in Monolayer Semiconductor Lateral Heterostructure

    Science.gov (United States)

    Shi, Jinwei; Lin, Meng-Hsien; Chen, Yi-Tong; Estakhri, Nasim Mohammadi; Tseng, Guo-Wei; Wang, Yanrong; Chen, Hung-Ying; Chen, Chun-An; Shih, Chih-Kang; Alã¹, Andrea; Li, Xiaoqin; Lee, Yi-Hsien; Gwo, Shangjr

    Recently, two-dimensional (2D) semiconductor heterostructures, i.e., atomically thin lateral heterostructures (LHSs) based on transition metal dichalcogenides (TMDs) have been demonstrated. In an optically excited LHS, exciton transport is typically limited to a rather short spatial range ( 1 micron). Furthermore, additional losses may occur at the lateral interfacial regions. Here, to overcome these challenges, we experimentally implement a planar metal-oxide-semiconductor (MOS) structure by placing a monolayer of WS2/MoS2 LHS on top of an Al2O3 capped Ag single-crystalline plate. We found that the exciton transport range can be extended to tens of microns. The process of long-range exciton transport in the MOS structure is confirmed to be mediated by an exciton-surface plasmon polariton-exciton conversion mechanism, which allows a cascaded energy transfer process. Thus, the planar MOS structure provides a platform seamlessly combining 2D light-emitting materials with plasmonic planar waveguides, offering great potential for developing integrated photonic/plasmonic functionalities.

  2. Extraordinary Magnetoresistance in Semiconductor/Metal Hybrids: A Review

    Science.gov (United States)

    Sun, Jian; Kosel, Jürgen

    2013-01-01

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device’s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed. PMID:28809321

  3. Photo-induced transformation process at gold clusters-semiconductor interface: Implications for the complexity of gold clusters-based photocatalysis

    Science.gov (United States)

    Liu, Siqi; Xu, Yi-Jun

    2016-03-01

    The recent thrust in utilizing atomically precise organic ligands protected gold clusters (Au clusters) as photosensitizer coupled with semiconductors for nano-catalysts has led to the claims of improved efficiency in photocatalysis. Nonetheless, the influence of photo-stability of organic ligands protected-Au clusters at the Au/semiconductor interface on the photocatalytic properties remains rather elusive. Taking Au clusters-TiO2 composites as a prototype, we for the first time demonstrate the photo-induced transformation of small molecular-like Au clusters to larger metallic Au nanoparticles under different illumination conditions, which leads to the diverse photocatalytic reaction mechanism. This transformation process undergoes a diffusion/aggregation mechanism accompanied with the onslaught of Au clusters by active oxygen species and holes resulting from photo-excited TiO2 and Au clusters. However, such Au clusters aggregation can be efficiently inhibited by tuning reaction conditions. This work would trigger rational structural design and fine condition control of organic ligands protected-metal clusters-semiconductor composites for diverse photocatalytic applications with long-term photo-stability.

  4. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  5. Layers of metal nanoparticles on semiconductors deposited by electrophoresis from solutions with reverse micelles

    Czech Academy of Sciences Publication Activity Database

    Žďánský, Karel; Kacerovský, Pavel; Zavadil, Jiří; Lorinčík, Jan; Fojtík, A.

    2007-01-01

    Roč. 2, č. 9 (2007), s. 450-454 ISSN 1931-7573. [Semiconducting & Insulating Materials Conference - SIMC /14./. Fayetteville, 15.05.2007-20.05.2007] R&D Projects: GA AV ČR KAN400670651 Institutional research plan: CEZ:AV0Z20670512 Keywords : semiconductor junctions * nanostructured materials * semiconductor devices Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.158, year: 2007

  6. Rational In Silico Design of an Organic Semiconductor with Improved Electron Mobility.

    Science.gov (United States)

    Friederich, Pascal; Gómez, Verónica; Sprau, Christian; Meded, Velimir; Strunk, Timo; Jenne, Michael; Magri, Andrea; Symalla, Franz; Colsmann, Alexander; Ruben, Mario; Wenzel, Wolfgang

    2017-11-01

    Organic semiconductors find a wide range of applications, such as in organic light emitting diodes, organic solar cells, and organic field effect transistors. One of their most striking disadvantages in comparison to crystalline inorganic semiconductors is their low charge-carrier mobility, which manifests itself in major device constraints such as limited photoactive layer thicknesses. Trial-and-error attempts to increase charge-carrier mobility are impeded by the complex interplay of the molecular and electronic structure of the material with its morphology. Here, the viability of a multiscale simulation approach to rationally design materials with improved electron mobility is demonstrated. Starting from one of the most widely used electron conducting materials (Alq 3 ), novel organic semiconductors with tailored electronic properties are designed for which an improvement of the electron mobility by three orders of magnitude is predicted and experimentally confirmed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  8. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  9. Vibrational spectra for hydrogenated amorphous semiconductors

    International Nuclear Information System (INIS)

    Kamitakahara, W.A.; Bouchard, A.M.; Biswas, R.; Gompf, F.; Suck, J.B.

    1990-01-01

    Hydrogen vibration spectra have been measured by neutron scattering for several amorphous semiconductor materials, including a-Ge:H and a-SiC:H samples containing about 10 at. % H. The data for a-Ge:H are compared in detail with the results of realistic computer simulations

  10. Modeling multiphase materials processes

    CERN Document Server

    Iguchi, Manabu

    2010-01-01

    ""Modeling Multiphase Materials Processes: Gas-Liquid Systems"" describes the methodology and application of physical and mathematical modeling to multi-phase flow phenomena in materials processing. The book focuses on systems involving gas-liquid interaction, the most prevalent in current metallurgical processes. The performance characteristics of these processes are largely dependent on transport phenomena. This volume covers the inherent characteristics that complicate the modeling of transport phenomena in such systems, including complex multiphase structure, intense turbulence, opacity of

  11. Synthesis of Semiconductor Nanocrystals, Focusing on Nontoxic and Earth-Abundant Materials

    NARCIS (Netherlands)

    Reiss, Peter; Carrière, Marie; Lincheneau, Christophe; Vaure, Louis; Tamang, Sudarsan

    2016-01-01

    We review the synthesis of semiconductor nanocrystals/colloidal quantum dots in organic solvents with special emphasis on earth-abundant and toxic heavy metal free compounds. Following the Introduction, section 2 defines the terms related to the toxicity of nanocrystals and gives a comprehensive

  12. Quantum Dot Semiconductor Optical Amplifiers - Physics and Applications

    DEFF Research Database (Denmark)

    Berg, Tommy Winther

    2004-01-01

    This thesis describes the physics and applications of quantum dot semiconductor optical amplifiers based on numerical simulations. These devices possess a number of unique properties compared with other types of semiconductor amplifiers, which should allow enhanced performance of semiconductor...... respects is comparable to those of fiber amplifiers. The possibility of inverting the optically active states to a large degree is essential in order to achieve this performance. Optical signal processing through cross gain modulation and four wave mixing is modeled and described. For both approaches...... and QW devices and to experiments on quantum dot amplifiers. These comparisons outline the qualitative differences between the different types of amplifiers. In all cases focus is put on the physical processes responsible the differences....

  13. Soft X-ray spectromicroscopy and application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.; Warwick, A.; Padmore, H.A.; Triplett, B.B.

    1997-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved by conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at thr Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An Advanced Light Source μ-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers. (author)

  14. Spin-filter and spin-gapless semiconductors: The case of Heusler compounds

    International Nuclear Information System (INIS)

    Galanakis, I.; Özdoğan, K.; Şaşıoğlu, E.

    2016-01-01

    We review our recent first-principles results on the inverse Heusler compounds and the ordered quaternary (also known as LiMgPdSn-type) Heusler compounds. Among these two subfamilies of the full-Heusler compounds, several have been shown to be magnetic semiconductors. Such material can find versatile applications, e.g. as spin-filter materials in magnetic tunnel junctions. Finally, a special case are the spin-gapless semiconductors, where the energy gap at the Fermi level for the one spin-direction is almost vanishing, offering novel functionalities in spintronic/magnetoelectronic devices.

  15. Real-time and online screening method for materials emitting volatile organic compounds

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Changhyuk [University of Minnesota, Department of Mechanical Engineering (United States); Sul, Yong Tae [Hoseo University (Korea, Republic of); Pui, David Y. H., E-mail: dyhpui@umn.edu [University of Minnesota, Department of Mechanical Engineering (United States)

    2016-09-15

    In the semiconductor industry, volatile organic compounds (VOCs) in the cleanroom air work as airborne molecular contamination, which reduce the production yield of semiconductor chips by forming nanoparticles and haze on silicon wafers and photomasks under ultraviolet irradiation during photolithography processes. Even though VOCs in outdoor air are removed by gas filters, VOCs can be emitted from many kinds of materials used in cleanrooms, such as organic solvents and construction materials (e.g., adhesives, flame retardants and sealants), threatening the production of semiconductors. Therefore, finding new replacements that emit lower VOCs is now essential in the semiconductor industry. In this study, we developed a real-time and online method to screen materials for developing the replacements by converting VOCs into nanoparticles under soft X-ray irradiation. This screening method was applied to measure VOCs emitted from different kinds of organic solvents and adhesives. Our results showed good repeatability and high sensitivity for VOCs, which come from aromatic compounds, some alcohols and all tested adhesives (Super glue and cleanroom-use adhesives). In addition, the overall trend of measured VOCs from cleanroom-use adhesives was well matched with those measured by a commercial thermal desorption–gas chromatography–mass spectrometry, which is a widely used off-line method for analyzing VOCs. Based on the results, this screening method can help accelerate the developing process for reducing VOCs in cleanrooms.

  16. Diffusion in Intrinsic and Highly Doped III-V Semiconductors

    CERN Multimedia

    Stolwijk, N

    2002-01-01

    %title\\\\ \\\\Diffusion plays a key role in the fabrication of semiconductor devices. The diffusion of atoms in crystals is mediated by intrinsic point defects. Investigations of the diffusion behaviour of self- and solute atoms on the Ga sublattice of gallium arsenide led to the conclusion that in intrinsic and n-type material charged Ga vacancies are involved in diffusion processes whereas in p-type material diffusion if governed by charged Ga self-interstitials. Concerning the As sublattice of gallium arsenide there is a severe lack of reliable diffusion data. The few available literature data on intrinsic GaAs are not mutually consistent. A systematic study of the doping dependence of diffusion is completely missing. The most basic diffusion process - self-diffusion of As and its temperature and doping dependence - is practically not known. For GaP a similar statement holds.\\\\ \\\\The aim of the present project is to perform a systematic diffusion study of As diffusion in intrinsic and doped GaAs and in GaP. P...

  17. Pr-O-Al-N dielectrics for metal insulator semiconductor stacks

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, Karsten; Torche, Mohamed; Sohal, Rakesh; Karavaev, Konstantin; Burkov, Yevgen; Schwiertz, Carola; Schmeisser, Dieter [Brandenburg University of Technology, Chair of Applied Physics and Sensors, K.-Wachsmann-Allee 1, 03046 Cottbus (Germany)

    2011-02-15

    This work focuses on praseodymium oxide films as a high-k material on silicon and silicon carbide (SiC) in metal insulator semiconductor samples. The electrical results are correlated to spectroscopic findings on this material system. Strong interfacial reactions between the praseodymium oxide and the semiconductor as well as silicon inter-diffusion into the high-k material are observed. The importance of a buffer layer is discussed and its optimisation is addressed, too. In particular the improvement of the performance by the introduction of an aluminium oxynitride buffer layer, which acts as an inter-diffusion barrier and reduces the leakage current, the interface state density and the equivalent oxide thickness is demonstrated. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  19. Beam processing of advanced materials

    International Nuclear Information System (INIS)

    Singh, J.; Copley, S.M.

    1993-01-01

    International Conference on Beam Processing of Advanced Materials was held at the Fall TMS/ASM Materials Week at Chicago, Illinois, November 2--5, 1992. The symposium was devoted to the recent advances in processing of materials by an energy source such as laser, electron, ion beams, etc. The symposium served as a forum on the science of beam-induced materials processing and implications of this science to practical implementation. An increased emphasis on obtaining an understanding of the fundamental mechanisms of beam-induced surface processes was a major trend observed at this years symposium. This has resulted in the increased use of advanced diagnostic techniques and modeling studies to determine the rate controlling steps in these processes. Individual papers have been processed separately for inclusion in the appropriate data bases

  20. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    Science.gov (United States)

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852