WorldWideScience

Sample records for semiconductor manufacturing conference

  1. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  2. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  3. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  4. 32nd International Conference on the Physics of Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Chelikowsky, James [Univ. of Texas, Austin, TX (United States)

    2016-10-17

    The International Conference on the Physics of Semiconductors (ICPS) continues a series of biennial conferences that began in the 1950's. ICPS is the premier meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties with an emphasis on new materials and their applications. The meeting will reflect the state of art in the semiconductor physics field and will serve as a forum where scholars, researchers, and specialists can interact to discuss future research directions and technological advancements. The conference typically draws 1,000 international physicists, scientists, and students. This is one of the largest science meetings on semiconductors and related materials to be held in the United States.

  5. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  6. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  7. Strengthening the Competitiveness and Sustainability of a Semiconductor Manufacturer with Cloud Manufacturing

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2014-01-01

    Full Text Available Cloud manufacturing (CMfg is a new-generation service-oriented networked manufacturing model that provides distributed users centralized managed manufacturing resources, ability, and services. CMfg is applied here to a semiconductor manufacturing factory. Benefits are classified into five aspects: cost savings, efficiency, additional data analysis capabilities, flexibility, and closer partner relationships. A strength, weakness, opportunity, and threat (SWOT analysis is done which guides a semiconductor manufacturer in planning CMfg implementation projects. Simulation of a wafer fabrication factory (wafer fab is used as an example. Several CMfg services are proposed for assisting the fab simulation activities through the collaboration of cloud service providers, software vendors, equipment suppliers, and the wafer fab. The connection with the competitiveness and sustainability of a wafer fab is also stressed.

  8. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  9. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  10. Semiconductors integrated circuit design for manufacturability

    CERN Document Server

    Balasinki, Artur

    2011-01-01

    Because of the continuous evolution of integrated circuit manufacturing (ICM) and design for manufacturability (DfM), most books on the subject are obsolete before they even go to press. That's why the field requires a reference that takes the focus off of numbers and concentrates more on larger economic concepts than on technical details. Semiconductors: Integrated Circuit Design for Manufacturability covers the gradual evolution of integrated circuit design (ICD) as a basis to propose strategies for improving return-on-investment (ROI) for ICD in manufacturing. Where most books put the spotl

  11. Joint conference of iMEC 2015 (2nd International Manufacturing Engineering Conference & APCOMS 2015 (3rd Asia-Pacific Conference on Manufacturing Systems)

    Science.gov (United States)

    2016-02-01

    The iMEC 2015 is the second International Manufacturing Engineering Conference organized by the Faculty of Manufacturing, Universiti Malaysia Pahang (UMP), held from 12-14th November 2015 in Kuala Lumpur, Malaysia, with a theme "Materials, Manufacturing and Systems for Tomorrow". For the first time, iMEC is organized together with 3rd Asia- Pacific Conference on Manufacturing System (APCOMS 2015) which owned by Fakulti Teknologi Industri, Institut Teknologi Bandung (ITB), Indonesia. This is an extended collaboration between UMP and ITB to intensify knowledge sharing and experiences between higher learning institutions. This conference (iMEC & APCOMS 2015) is a platform for knowledge exchange and the growth of ideas, particularly in manufacturing engineering. The conference aims to bring researchers, academics, scientists, students, engineers and practitioners from around the world together to present their latest findings, ideas, developments and applications related to manufacturing engineering and other related research areas. With rapid advancements in manufacturing engineering, iMEC is an appropriate medium for the associated community to keep pace with the changes. In 2015, the conference theme is “Materials, Manufacturing and Systems for Tomorrow” which reflects the acceleration of knowledge and technology in global manufacturing. The papers in these proceedings are examples of the work presented at the conference. They represent the tip of the iceberg, as the conference attracted over 200 abstracts from Malaysia, Indonesia, Japan, United Kingdom, Australia, India, Bangladesh, South Africa, Turkey and Morocco and 151 full papers were accepted in these proceedings. The conference was run in four parallel sessions with 160 presenters sharing their latest finding in the areas of manufacturing process, systems, advanced materials and automation. The first keynote presentation was given by Prof. B. S. Murthy (IIT, Madras) on "Nanomaterials with Exceptional

  12. 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors

    CERN Document Server

    Saraniti, M; Nonequilibrium Carrier Dynamics in Semiconductors

    2006-01-01

    International experts gather every two years at this established conference to discuss recent developments in theory and experiment in non-equilibrium transport phenomena. These developments have been the driving force behind the spectacular advances in semiconductor physics and devices over the last few decades. Originally known as "Hot Carriers in Semiconductors," the 14th conference in the series covered a wide spectrum of traditional topics dealing with non-equilibrium phenomena, ranging from quantum transport to optical phenomena in mesoscopic and nano-scale structures. Particular attention was given this time to emerging areas of this rapidly evolving field, with many sessions covering terahertz devices, high field transport in nitride semiconductors, spintronics, molecular electronics, and bioelectronics applications.

  13. Joint conference of iMEC 2015 (2nd International Manufacturing Engineering Conference and APCOMS 2015 (3rd Asia-Pacific Conference on Manufacturing Systems)

    International Nuclear Information System (INIS)

    2016-01-01

    The iMEC 2015 is the second International Manufacturing Engineering Conference organized by the Faculty of Manufacturing, Universiti Malaysia Pahang (UMP), held from 12-14th November 2015 in Kuala Lumpur, Malaysia, with a theme 'Materials, Manufacturing and Systems for Tomorrow'. For the first time, iMEC is organized together with 3rd Asia- Pacific Conference on Manufacturing System (APCOMS 2015) which owned by Fakulti Teknologi Industri, Institut Teknologi Bandung (ITB), Indonesia. This is an extended collaboration between UMP and ITB to intensify knowledge sharing and experiences between higher learning institutions. This conference (iMEC and APCOMS 2015) is a platform for knowledge exchange and the growth of ideas, particularly in manufacturing engineering. The conference aims to bring researchers, academics, scientists, students, engineers and practitioners from around the world together to present their latest findings, ideas, developments and applications related to manufacturing engineering and other related research areas. With rapid advancements in manufacturing engineering, iMEC is an appropriate medium for the associated community to keep pace with the changes. In 2015, the conference theme is “Materials, Manufacturing and Systems for Tomorrow” which reflects the acceleration of knowledge and technology in global manufacturing. The papers in these proceedings are examples of the work presented at the conference. They represent the tip of the iceberg, as the conference attracted over 200 abstracts from Malaysia, Indonesia, Japan, United Kingdom, Australia, India, Bangladesh, South Africa, Turkey and Morocco and 151 full papers were accepted in these proceedings. The conference was run in four parallel sessions with 160 presenters sharing their latest finding in the areas of manufacturing process, systems, advanced materials and automation. The first keynote presentation was given by Prof. B. S. Murthy (IIT, Madras) on &apos

  14. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  15. Second International Conference on Neutron Transmutation Doping in Semiconductors

    CERN Document Server

    Neutron Transmutation Doping in Semiconductors

    1979-01-01

    This volume contains the invited and contributed papers presented at the Second International Conference on Neutron Transmutation Doping in Semiconductors held April 23-26, 1978 at the University of Missouri-Columbia. The first "testing of the waters" symposium on this subject was organized by John Cleland and Dick Wood of the Solid-State Division of Oak Ridge National Laboratory in April of 1976, just one year after NTD-silicon appeared on the marketplace. Since this first meeting, NTD-silicon has become established as the starting material for the power device industry and reactor irradiations are now measured in tens of tons of material per annum making NTD processing the largest radiation effects technology in the semiconductor industry. Since the first conference at Oak Ridge, new applications and irradiation techniques have developed. Interest in a second con­ ference and in publishing the proceedings has been extremely high. The second conference at the University of Missouri was attended by 114 perso...

  16. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  17. 4. Ukrainian Scientific Conference on Semiconductor Physics (USCPS - 4). Part 2. Abstracts

    International Nuclear Information System (INIS)

    Machulin, V.F.

    2009-01-01

    The materials reflect the content of the conference papers, in which the novel results, state and perspectives of research in the field of semiconductor physics, electronic or phonon phenomena on the surface and in bulk semiconductors, nano- and quantum dimensional structures, physics of modern solid state devices, semiconductor materials and technologies are presented.

  18. 16th Russian Youth Conference on Physics of Semiconductors and Nanostructures, Opto- and Nanoelectronics

    Science.gov (United States)

    Suris, Robert A.; Vorobjev, Leonid E.; Firsov, Dmitry A.

    2015-01-01

    The 16th Russian Youth Conference on Physics of Semiconductors and Nanostructures, Opto- and Nanoelectronics was held on November 24 - 28 at St. Petersburg Polytechnic University. The program of the Conference included semiconductor technology, heterostructures with quantum wells and quantum dots, opto- and nanoelectronic devices, and new materials. A large number of participants with about 200 attendees from many regions of Russia provided a perfect platform for the valuable discussions between students and experienced scientists. The Conference included two invited talks given by a corresponding member of RAS P.S. Kopyev ("Nitrides: the 4th Nobel Prize on semiconductor heterostructures") and Dr. A.V. Ivanchik ("XXI century is the era of precision cosmology"). Students, graduate and postgraduate students presented their results on plenary and poster sessions. The total number of accepted papers published in Russian (the official conference language) was 92. Here we publish 18 of them in English. Like previous years, the participants were involved in the competition for the best report. Certificates and cash prizes were awarded to a number of participants for the presentations selected by the Program Committee. Two special E.F. Gross Prizes were given for the best presentations in semiconductor optics. Works with potential applications were recommended for participation in the following competition for support from the Russian Foundation for Assistance to Small Innovative Enterprises in Science and Technology. The Conference was supported by the Russian Foundation for Basic Research, the "Dynasty" foundation and the innovation company "ATC - Semiconductor Devices", St. Petersburg. The official Conference website is http://www.semicond.spbstu.ru/conf2014-eng.html

  19. Method of manufacturing semiconductor devices

    International Nuclear Information System (INIS)

    Sun, Y.S.E.

    1980-01-01

    A method of improving the electrical characteristics of semiconductor devices such as SCR's, rectifiers and triacs during their manufacture is described. The system consists of electron irradiation at an energy in excess of 250 KeV and most preferably between 1.5 and 12 MeV, producing an irradiation dose of between 5.10 12 and 5.10 15 electrons per sq. cm., and at a temperature in excess of 100 0 C preferably between 150 and 375 0 C. (U.K.)

  20. 23rd International Conference on Flexible Automation & Intelligent Manufacturing

    CERN Document Server

    2013-01-01

    The proceedings includes the set of revised papers from the 23rd International Conference on Flexible Automation and Intelligent Manufacturing (FAIM 2013). This conference aims to provide an international forum for the exchange of leading edge scientific knowledge and industrial experience regarding the development and integration of the various aspects of Flexible Automation and Intelligent Manufacturing Systems covering the complete life-cycle of a company’s Products and Processes. Contents will include topics such as: Product, Process and Factory Integrated Design, Manufacturing Technology and Intelligent Systems, Manufacturing Operations Management and Optimization and Manufacturing Networks and MicroFactories.

  1. 4th International Conference on Sustainable Design and Manufacturing

    CERN Document Server

    Howlett, Robert; Setchi, Rossi; Cimatti, Barbara

    2017-01-01

    This volume includes papers presented at the 4th International Conference on Sustainable Design and Manufacturing (SDM-17) held in Bologna, Italy, in April 2017. The conference covered a wide range of topics from cutting-edge sustainable product design and service innovation, sustainable processes and technology for the manufacturing of sustainable products, sustainable manufacturing systems and enterprises, decision support for sustainability, and the study of the societal impact of sustainability including research for circular economy. Application areas are wide and varied, and the book provides an excellent overview of the latest research and development in the area of Sustainable Design and Manufacturing.

  2. Big Data Analytics for Smart Manufacturing: Case Studies in Semiconductor Manufacturing

    Directory of Open Access Journals (Sweden)

    James Moyne

    2017-07-01

    Full Text Available Smart manufacturing (SM is a term generally applied to the improvement in manufacturing operations through integration of systems, linking of physical and cyber capabilities, and taking advantage of information including leveraging the big data evolution. SM adoption has been occurring unevenly across industries, thus there is an opportunity to look to other industries to determine solution and roadmap paths for industries such as biochemistry or biology. The big data evolution affords an opportunity for managing significantly larger amounts of information and acting on it with analytics for improved diagnostics and prognostics. The analytics approaches can be defined in terms of dimensions to understand their requirements and capabilities, and to determine technology gaps. The semiconductor manufacturing industry has been taking advantage of the big data and analytics evolution by improving existing capabilities such as fault detection, and supporting new capabilities such as predictive maintenance. For most of these capabilities: (1 data quality is the most important big data factor in delivering high quality solutions; and (2 incorporating subject matter expertise in analytics is often required for realizing effective on-line manufacturing solutions. In the future, an improved big data environment incorporating smart manufacturing concepts such as digital twin will further enable analytics; however, it is anticipated that the need for incorporating subject matter expertise in solution design will remain.

  3. International Joint Conference on Mechanics, Design Engineering & Advanced Manufacturing

    CERN Document Server

    Nigrelli, Vincenzo; Oliveri, Salvatore; Peris-Fajarnes, Guillermo; Rizzuti, Sergio

    2017-01-01

    This book gathers papers presented at the International Joint Conference on Mechanics, Design Engineering and Advanced Manufacturing (JCM 2016), held on 14-16 September, 2016, in Catania, Italy. It reports on cutting-edge topics in product design and manufacturing, such as industrial methods for integrated product and process design; innovative design; and computer-aided design. Further topics covered include virtual simulation and reverse engineering; additive manufacturing; product manufacturing; engineering methods in medicine and education; representation techniques; and nautical, aeronautics and aerospace design and modeling. The book is divided into eight main sections, reflecting the focus and primary themes of the conference. The contributions presented here will not only provide researchers, engineers and experts in a range of industrial engineering subfields with extensive information to support their daily work; they are also intended to stimulate new research directions, advanced applications of t...

  4. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  5. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  6. A Systematic Cycle Time Reduction Procedure for Enhancing the Competitiveness and Sustainability of a Semiconductor Manufacturer

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2013-11-01

    Full Text Available Cycle time reduction plays an important role in improving the competitiveness and sustainability of a semiconductor manufacturer. However, in the past, cycle time reduction was usually unplanned owing to the lack of a systematic and quantitative procedure. To tackle this problem, a systematic procedure was established in this study for planning cycle time reduction actions to enhance the competitiveness and sustainability of a semiconductor manufacturer. First, some controllable factors that are influential to the job cycle time are identified. Subsequently, the relationship between the controllable factors and the job cycle time is fitted with a back propagation network. Based on this relationship, actions to shorten the job cycle time can be planned. The feasibility and effectiveness of an action have to be assessed before it can be taken in practice. An example containing the real data of hundreds of jobs has been used to illustrate the applicability of the proposed methodology. In addition, the financial benefits of the cycle time reduction action were analyzed, which provided the evidence that the proposed methodology enabled the sustainable development of the semiconductor manufacturer, since capital adequacy is very important in the semiconductor manufacturing industry.

  7. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  8. 75 FR 879 - National Semiconductor Corporation Arlington Manufacturing Site Including On-Site Leased Workers...

    Science.gov (United States)

    2010-01-06

    ... engaged in activities related to the production of integrated circuits. The company reports that on-site... Corporation Arlington Manufacturing Site Including On-Site Leased Workers From GCA, CMPA (Silverleaf), Custom..., applicable to workers of National Semiconductor Corporation, Arlington Manufacturing Site, including on-site...

  9. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  10. PREFACE: 19th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON'19)

    Science.gov (United States)

    González, T.; Martín-Martínez, M. J.; Mateos, J.

    2015-10-01

    The 19th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON'19) was held at the Hospedería Fonseca (Universidad de Salamanca, Spain), on 29 June - 2 July, 2015, and was organized by the Electronics Area from the University of Salamanca. The Conference is held biannually and covers the recent progress in the field of electron dynamics in solid-state materials and devices. This was the 19th meeting of the international conference series formerly named Hot Carriers in Semiconductors (HCIS), first held in Modena in 1973. In the edition of 1997 in Berlin the name of the conference changed to International Conference on Nonequilibrium Carrier Dynamics in Semiconductors, keeping the same acronym, HCIS; and finally in the edition of Montpellier in 2009 the name was again changed to the current one, International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures (EDISON). The latest editions took place in Santa Barbara, USA, in 2011 and Matsue, Japan, in 2013. Research work on electron dynamics involves quite different disciplines, and requires both fundamental and technological scientific efforts. Attendees to the conference come mostly from academic institutions, belonging to both theoretical and experimental groups working in a variety of fields, such as solid-state physics, electronics, optics, electrical engineering, material science, laser physics, etc. In this framework, events like the EDISON conference become a basic channel for the progress in the field. Here, researchers working in different areas can meet, present their latest advances and exchange their ideas. The program of EDISON'19 included 13 invited papers, 61 oral contributions and 73 posters. These contributions originated from scientists in more than 30 different countries. The Conference gathered 140 participants, coming from 24 different countries, most from Europe, but also with a significant participation

  11. 2014 Joint Conference on Mechanical Design Engineering and Advanced Manufacturing

    CERN Document Server

    Daidie, Alain; Eynard, Benoit; Paredes, Manuel

    2016-01-01

    Covering key topics in the field such as technological innovation, human-centered sustainable engineering and manufacturing, and manufacture at a global scale in a virtual world, this book addresses both advanced techniques and industrial applications of key research in interactive design and manufacturing. Featuring the full papers presented at the 2014 Joint Conference on Mechanical Design Engineering and Advanced Manufacturing, which took place in June 2014 in Toulouse, France, it presents recent research and industrial success stories related to implementing interactive design and manufacturing solutions.

  12. PREFACE: Trends in Aerospace Manufacturing 2009 International Conference

    Science.gov (United States)

    Ridgway, Keith; Gault, Rosemary; Allen, Adrian

    2011-12-01

    The aerospace industry is rapidly changing. New aircraft structures are being developed and aero-engines are becoming lighter and more environmentally friendly. In both areas, innovative materials and manufacturing methods are used in an attempt to get maximum performance for minimum cost. At the same time, the structure of the industry has changed and there has been a move from large companies designing, manufacturing components and assembling aircraft to one of large global supply chains headed by large system integrators. All these changes have forced engineers and managers to bring in innovations in design, materials, manufacturing technologies and supply chain management. In September 2009, the Advanced Manufacturing Research Centre (AMRC) at the University of Sheffield held the inaugural Trends in Aerospace Manufacturing conference (TRAM09). This brought together 28 speakers over two days, who presented in sessions on advanced manufacturing trends for the aerospace sector. Areas covered included new materials, including composites, advanced machining, state of the art additive manufacturing techniques, assembly and supply chain issues.

  13. Preface: Special issue featuring papers from the International Conference on Nonequilibrium Carrier Dynamics in Semiconductors

    Science.gov (United States)

    Reggiani, L.; Bordone, P.; Brunetti, R.

    2004-02-01

    The International Conference on Nonequilibrium Carrier Dynamics in Semiconductors (HCIS-13) celebrates 30 years since it first took place in Modena. Nonequilibrium dynamics of charge carriers, pioneered by the hot-electron concept, is an important issue for understanding electro-optic transport properties in semiconductor materials and structures. In these 30 years several topics have matured, and new ones have emerged thus fertilizing the field with a variety of physical problems and new ideas. The history of the conference is summarized in the opening paper `30 years of HCIS'. The future of the conference seems secure considering the continued lively interest of the participants. The conference addressed eleven major topics which constitute the backbone of the proceedings and are summarized as follows: carrier transport in low dimensional and nanostructure systems, nonequilibrium carriers in superlattices and devices, small devices and related phenomena, carrier dynamics and fluctuations, carrier quantum dynamics, coherent/incoherent carrier dynamics of optical excitations and ultra-fast optical phenomena, nonlinear optical effects, transport in organic matter, semiconductor-based spintronics, coherent dynamics in solid state systems for quantum processing and communication, novel materials and devices. Nanometric space scale and femtosecond time scale represent the ultimate domains of theoretical, experimental and practical interest. Traditional fields such as bulk properties, quantum transport, fluctuations and chaotic phenomena, etc, have received thorough and continuous attention. Emerging fields from previous conferences, such as quantum processing and communication, have been better assessed. New fields, such as spintronics and electron transport in organic matter, have appeared for the first time. One plenary talk, 11 invited talks, 230 submitted abstracts covering all these topics constituted a single-session conference. Following scientific selection

  14. 5th International Conference on Advanced Manufacturing Engineering and Technologies

    CERN Document Server

    Jakovljevic, Zivana; NEWTECH2017

    2017-01-01

    This book presents the proceedings from the 5th NEWTECH conference (Belgrade, Serbia, 5–9 June 2017), the latest in a series of high-level conferences that bring together experts from academia and industry in order to exchange knowledge, ideas, experiences, research results, and information in the field of manufacturing. The range of topics addressed is wide, including, for example, machine tool research and in-machine measurements, progress in CAD/CAM technologies, rapid prototyping and reverse engineering, nanomanufacturing, advanced material processing, functional and protective surfaces, and cyber-physical and reconfigurable manufacturing systems. The book will benefit readers by providing updates on key issues and recent progress in manufacturing engineering and technologies and will aid the transfer of valuable knowledge to the next generation of academics and practitioners. It will appeal to all who work or conduct research in this rapidly evolving field.

  15. A Review of Related Work on Machine Learning in Semiconductor Manufacturing and Assembly Lines

    OpenAIRE

    Stanisavljevic, Darko; Spitzer, Michael

    2017-01-01

    This paper deals with applications of machine learning algorithms in manufacturing. Machine learning can be defined as a field of computer science that gives computers the ability to learn without explicitly developing the needed algorithms. Manufacturing is the production of merchandise by manual labour, machines and tools. The focus of this paper is on automatic production lines. The areas of interest of this paper are semiconductor manufacturing and production on assembly lines. The purpos...

  16. 3rd International Conference on Sustainable Design and Manufacturing

    CERN Document Server

    Howlett, Robert; Liu, Ying; Theobald, Peter

    2016-01-01

    This volumes consists of 59 peer-reviewed papers, presented at the International Conference on Sustainable Design and Manufacturing (SDM-16) held in Chania, Crete Greece in April 2016. Leading-edge research into sustainable design and manufacturing aims to enable the manufacturing industry to grow by adopting more advanced technologies, and at the same time improve its sustainability by reducing its environmental impact. SDM-16 covers a wide range of topics from sustainable product design and service innovation, sustainable process and technology for the manufacturing of sustainable products, sustainable manufacturing systems and enterprises, decision support for sustainability, and the study of societal impact of sustainability including research for circular economy. Application areas are wide and varied. The book will provide an excellent overview of the latest research and development in the area of Sustainable Design and Manufacturing.

  17. 2nd International Conference on Ion Implantation in Semiconductors, Physics and Technology, Fundamental and Applied Aspects

    CERN Document Server

    Graul, Jürgen

    1971-01-01

    In recent years great progress has been made in the field of ion implantation, particularly with respect to applications in semiconductors. It would be impos­ sible not to note the growing interest in this field, both by research groups and those directly concerned with production of devices. Furthermore, as several papers have pointed out, ion implantation and its associated technologies promise exciting advances in the development of new kinds of devices and provide power­ ful new tools for materials investigations. It was, therefore, appropriate to arrange the II. International Conference on Ion Implantation in Semiconductors within the rather short time of one year since the first conference was held in 1970 in Thousand Oaks, California. Although ori­ ginally planned on a small scale with a very limited number of participants, more than two hundred scientists from 15 countries participated in the Conference which was held May 24 - 28, 1971 at the Congress Center in Garmisch-Partenkirchen. This volume c...

  18. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  19. Advanced excimer laser technologies enable green semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Yoo, Youngsun; Minegishi, Yuji; Hisanaga, Naoto; Enami, Tatsuo

    2014-03-01

    "Green" has fast become an important and pervasive topic throughout many industries worldwide. Many companies, especially in the manufacturing industries, have taken steps to integrate green initiatives into their high-level corporate strategies. Governments have also been active in implementing various initiatives designed to increase corporate responsibility and accountability towards environmental issues. In the semiconductor manufacturing industry, there are growing concerns over future environmental impact as enormous fabs expand and new generation of equipments become larger and more powerful. To address these concerns, Gigaphoton has implemented various green initiatives for many years under the EcoPhoton™ program. The objective of this program is to drive innovations in technology and services that enable manufacturers to significantly reduce both the financial and environmental "green cost" of laser operations in high-volume manufacturing environment (HVM) - primarily focusing on electricity, gas and heat management costs. One example of such innovation is Gigaphoton's Injection-Lock system, which reduces electricity and gas utilization costs of the laser by up to 50%. Furthermore, to support the industry's transition from 300mm to the next generation 450mm wafers, technologies are being developed to create lasers that offer double the output power from 60W to 120W, but reducing electricity and gas consumption by another 50%. This means that the efficiency of lasers can be improve by up to 4 times in 450mm wafer production environments. Other future innovations include the introduction of totally Heliumfree Excimer lasers that utilize Nitrogen gas as its replacement for optical module purging. This paper discusses these and other innovations by Gigaphoton to enable green manufacturing.

  20. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    Science.gov (United States)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  1. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  2. 2nd International Conference on Mechanical, Manufacturing and Process Plant Engineering

    CERN Document Server

    2017-01-01

    This volume presents selected papers from the 2nd International Conference on Mechanical, Manufacturing and Process Plant Engineering (ICMMPE 2016) which was held from 23rd to 24th November, 2016 in Kuala Lumpur, Malaysia. The proceedings discuss genuine problems of joining technologies that are heart of manufacturing sectors. It discusses the findings of experimental and numerical works from soldering, arc welding to solid state joining technology that faced by current industry. .

  3. 77 FR 69634 - International Conference on Harmonisation; Guidance on Q11 Development and Manufacture of Drug...

    Science.gov (United States)

    2012-11-20

    ...] International Conference on Harmonisation; Guidance on Q11 Development and Manufacture of Drug Substances... Administration (FDA) is announcing the availability of a guidance entitled ``Q11 Development and Manufacture of... guidance is intended to apply only to the manufacture of drug substance, not the manufacture of finished...

  4. 76 FR 38187 - International Conference on Harmonisation; Draft Guidance on Q11 Development and Manufacture of...

    Science.gov (United States)

    2011-06-29

    ...] International Conference on Harmonisation; Draft Guidance on Q11 Development and Manufacture of Drug Substances... Manufacture of Drug Substances.'' The draft guidance was prepared under the auspices of the International... that a draft guidance entitled ``Q11 Development and Manufacture of Drug Substances'' should be made...

  5. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  6. 2014 International Conference on Manufacturing, Optimization, Industrial and Material Engineering

    International Nuclear Information System (INIS)

    Gaol, Ford Lumban; Webb, Jeff; Ding, Jun

    2014-01-01

    The 2nd International Conference on Manufacturing, Optimization, Industrial and Material Engineering 2014 (MOIME 2014), was held at the Grand Mercure Harmoni, Opal Room 3rd Floor, Jakarta, Indonesia, during 29–30 March 2014. The MOIME 2014 conference is designed to bring together researchers, engineers and scientists in the domain of interest from around the world. MOIME 2014 is placed on promoting interaction between the theoretical, experimental, and applied communities, so that a high level exchange is achieved in new and emerging areas within Material Engineering, Industrial Engineering and all areas that relate to Optimization. We would like to express our sincere gratitude to all in the Technical Program Committee who have reviewed the papers and developed a very interesting Conference Program as well as the invited and plenary speakers. This year, we received 97 papers and after rigorous review, 24 papers were accepted. The participants come from 7 countries. There are 4 (four) parallel session and 2 Invited Speakers and one workshop. It is an honour to present this volume of IOP Conference Series: Materials Science and Engineering (MSE) and we deeply thank the authors for their enthusiastic and high-grade contributions. Finally, we would like to thank the conference chairmen, the members of the steering committee, the organizing committee, the organizing secretariat and the financial support from the conference sponsors that allowed the success of MOIME 2014. The Editors of the MOIME 2014 Proceedings Editors Dr Ford Lumban Gaol Jeff Webb, PhD Professor Jun Ding, PhD

  7. Micro-manufacturing: design and manufacturing of micro-products

    National Research Council Canada - National Science Library

    Koç, Muammer; Özel, Tuğrul

    2011-01-01

    .... After addressing the fundamentals and non-metallic-based micro-manufacturing processes in the semiconductor industry, it goes on to address specific metallic-based micro-manufacturing processes...

  8. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  9. 2014 Defects in Semiconductors Gordon Research Conference & Gordon Research Seminar. Research Area 1: Materials Science, 1.3 Physical Properties of Materials

    Science.gov (United States)

    2014-08-01

    invite leading experts from the full range of industry to academia to cover the topics of wide bandgap nitride and oxide semiconductors , the...Tsukuba University) " Positron Annihilation Studies in InGaN" 12:10 pm - 12:30 pm Discussion 9 12:30 pm Lunch 1:30 pm - 4:00 pm Free Time 4:00 pm...SECURITY CLASSIFICATION OF: The Gordon Research Conference on DEFECTS IN SEMICONDUCTORS was held at Bentley University in Waltham, Massachusetts

  10. 5th International and 26th All India Manufacturing Technology, Design and Research Conference

    CERN Document Server

    Dixit, Uday

    2015-01-01

    This book presents selected research papers of the AIMTDR 2014 conference on application of laser technology for various manufacturing processes such as cutting, forming, welding, sintering, cladding and micro-machining. State-of-the-art of these technologies in terms of numerical modeling, experimental studies and industrial case studies are presented. This book will enrich the knowledge of budding technocrats, graduate students of mechanical and manufacturing engineering, and researchers working in this area.  

  11. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  12. Microeconomics of yield learning and process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Simple microeconomic models that directly link yield learning to profitability in semiconductor manufacturing have been rare or non-existent. In this work, we review such a model and provide links to inspection capability and cost. Using a small number of input parameters, we explain current yield management practices in 200mm factories. The model is then used to extrapolate requirements for 300mm factories, including the impact of technology transitions to 130nm design rules and below. We show that the dramatic increase in value per wafer at the 300mm transition becomes a driver for increasing metrology and inspection capability and sampling. These analyses correlate well wtih actual factory data and often identify millions of dollars in potential cost savings. We demonstrate this using the example of grating-based overlay metrology for the 65nm node.

  13. Productivity improvement through industrial engineering in the semiconductor industry

    Science.gov (United States)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  14. Semiconductor device and method of manufacturing the same

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a semiconductor device (10) with a semiconductor body (12) comprising a bipolar transistor with an emitter region, a base region and a collector region (1, 2, 3) of, respectively, a first conductivity type, a second conductivity type opposite to the first conductivity type,

  15. Analytical chemistry in semiconductor manufacturing: Techniques, role of nuclear methods and need for quality control

    International Nuclear Information System (INIS)

    1989-06-01

    This report is the result of a consultants meeting held in Gaithersburg, USA, 2-3 October 1987. The meeting was hosted by the National Bureau of Standards and Technology, and it was attended by 18 participants from Denmark, Finland, India, Japan, Norway, People's Republic of China and the USA. The purpose of the meeting was to assess the present status of analytical chemistry in semiconductor manufacturing, the role of nuclear analytical methods and the need for internationally organized quality control of the chemical analysis. The report contains the three presentations in full and a summary report of the discussions. Thus, it gives an overview of the need of analytical chemistry in manufacturing of silicon based devices, the use of nuclear analytical methods, and discusses the need for quality control. Refs, figs and tabs

  16. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  17. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  18. PREFACE: 16th Nordic Semiconductor Meeting

    Science.gov (United States)

    Pétur Gíslason, Hafliði; Guðmundsson, Viðar

    1994-01-01

    Some 30 years ago an informal meeting of the few Nordic specialists in semiconductor physics marked the beginning of what has become a biannual meeting of some hundred physicists and physics students from all the Nordic countries. The 16th Nordic Semiconductor Meeting took place at Laugarvatn, Iceland, June 12-15,1994. As a regional meeting the Nordic Semiconductor meeting has three characteristic features all of which distinguish it from more traditional international meetings in the field. First, it has the purpose of promoting Nordic cooperation in the international field of semiconductor physics. Research in the fields of advanced science and technology in the Nordic countries is likely to benefit from joining national forces before participating in the increasing European integration. Second, there is an unusually large fraction of graduate students amongst the participants of the Nordic Semiconductor Meeting. In fact, attending this conference is traditionally a part of the graduate program in seniconductor physics and technology. The Nordic Semiconductor Meeting is often the first conference of international character that graduate students attend in order to present a paper of poster. Third, there is an interdisciplinary quality of the meeting which is normally not the case for meetings of this size. In particular, the number of professional scientists from industry is comparable to the number of their academic colleagues. This is important for both groups, but perhaps the graduate students benefit most from presenting their results to both groups. The 16th Nordic Semiconductor Meeting, the first one in this series held in Iceland, attracted 129 active participants. The scientific programme was divided in twelve oral sessions. A novelty of this meeting was the emphasis on more fundamental physics in one of the two parallel sessions but more applied topics in the other, although the distinction was sometimes a matter of predilection. A poster session

  19. 2006 DoD Diminishing Manufacturing Sources and Material Shortages (DMSMS) Conference, Exhibition and Workshop

    Science.gov (United States)

    2006-07-13

    manufacturing capabilities.” DMSMS Influences • Performance Requirement Challenges – Fabrication accuracies orders of magnitude beyond metal machining ...Conference July 11, 2006 - Charlotte, NC Background There is a global transition to lead-free Reduction of Hazardous Substances (RoHS) EU Directive banning ...Medical/Dental, 5.4% Gaming/ Vending Equipment, 0.8% Computer Peripherals, 7.7% Military/Aerospace, 20.5% Communications (RF/Wireless), 6.4% Commercial

  20. 3D Ceramic Microfluidic Device Manufacturing

    International Nuclear Information System (INIS)

    Natarajan, Govindarajan; Humenik, James N

    2006-01-01

    Today, semiconductor processing serves as the backbone for the bulk of micromachined devices. Precision lithography and etching technology used in the semiconductor industry are also leveraged by alternate techniques like electroforming and molding. The nature of such processing is complex, limited and expensive for any manufacturing foundry. This paper details the technology elements developed to manufacture cost effective and versatile microfluidic devices for applications ranging from medical diagnostics to characterization of bioassays. Two applications using multilayer ceramic technology to manufacture complex 3D microfluidic devices are discussed

  1. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  2. International Conference on the Physics of Semiconductors (17th) Held in San Francisco, California on August 6-10, 1984

    Science.gov (United States)

    1984-09-30

    Levels of Two-Dimensional Holes in GaAs - (A[Ga)As Quantum Well Heterostructures 219 11:00 M. A. Chin , V. Narayanamurti, H. L. Stormer, A. C. Gossard...W. Chye , P. Skeath, C. Y. Su and I. Lindau, J. Vac. Sci. Technol. 16, 1422 (1979). 121 17th International Conference on the Physics of Semiconductors...DIMENSIONAL HOLE GAS M. A. Chin , V. Narayanamurti, H. L. Stormer and A. C. Gossard AT&T Bell Laboratories, Murray Hill, NJ 07974 The enhanced electron

  3. Offshoring in the Semiconductor Industry: Historical Perspectives

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these earlie...

  4. Disruptive Innovation in Manufacturing Engineering towards the 4th Industrial Revolution: 8th International Conference on Digital Enterprise Technology

    OpenAIRE

    Constantinescu, Carmen; Bauer, Wilhelm; Sauer, Olaf; Maropoulos, Paul

    2014-01-01

    The CIRP sponsored DET 2014 conference focusses on the employment of modern ICT-technologies and tools for the modeling, simulation, optimization, advanced control and monitoring, real-time visualization of products, factories and manufacturing processes. The addressed areas cover all phases of product, factory and manufacturing processes life cycle starting with product design and development, engineering of factories, machines, equipment and technical processes, commissioning and rump-up, f...

  5. Based on Weibull Information Fusion Analysis Semiconductors Quality the Key Technology of Manufacturing Execution Systems Reliability

    Science.gov (United States)

    Huang, Zhi-Hui; Tang, Ying-Chun; Dai, Kai

    2016-05-01

    Semiconductor materials and Product qualified rate are directly related to the manufacturing costs and survival of the enterprise. Application a dynamic reliability growth analysis method studies manufacturing execution system reliability growth to improve product quality. Refer to classical Duane model assumptions and tracking growth forecasts the TGP programming model, through the failure data, established the Weibull distribution model. Combining with the median rank of average rank method, through linear regression and least squares estimation method, match respectively weibull information fusion reliability growth curve. This assumption model overcome Duane model a weakness which is MTBF point estimation accuracy is not high, through the analysis of the failure data show that the method is an instance of the test and evaluation modeling process are basically identical. Median rank in the statistics is used to determine the method of random variable distribution function, which is a good way to solve the problem of complex systems such as the limited sample size. Therefore this method has great engineering application value.

  6. Funding Proposal for EDISON’20 Conference Buffalo, New York, 07/17 - 07/21, 2017

    Energy Technology Data Exchange (ETDEWEB)

    Bird, Jonathan [Univ. at Buffalo, Buffalo, NY (United States)

    2017-06-15

    EDISON’20 – The 20th International Conference on Electron Dynamics in Semiconductors, Optoe- lectronics and Nanostructures – was held at the Hyatt Regency Hotel, Buffalo, NY from July 17 – 21, 2017. The technical focus of this conference was on the fundamental physics and applications of nonequilibrium classical and quantum carrier dynamics in semiconductors, optoelectronic de- vices, and nanostructures. This five-day, single-session conference featured a program consisting of some 15 invited talks, given by internationally-renowned academics from the U.S., Europe, and Japan. Their keynote presentations covered topics including: terahertz phenomena in semiconductors; quantum transport in novel two-dimensional semiconductors; topological insulators; mesoscopic phenomena in semiconductors, and; semiconductor spintronics. The invited papers were supplemented by some 30 contributed talks, selected from almost 120 abstracts submitted in response to the conference’s call for papers, and by two poster sessions that each consisted of close to 40 different reports. This critical mass in terms of scientific content ensured a highly vibrant conference, in which leaders in the field had the opportunity to interact closely with early-career scientists.

  7. Offshoring in the Semiconductor Industry: A Historical Perspective

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these...

  8. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  9. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  10. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  11. Proceedings of Republic conference (with participation of scientists from Commonwealth of Independent States countries) 'Modern problems of semiconductor physics', dedicated for twentieth anniversary of independence of Republic Uzbekistan

    International Nuclear Information System (INIS)

    Matchanov, A.T.; Tagaev, M.B.; Ismaylov, K.A.

    2011-11-01

    Republic conference with participation of scientists from Commonwealth of Independent States countries 'Modern problems of semiconductor physics', dedicated for twentieth anniversary of independence of Republic Uzbekistan was held on 23-25 November, 2011 in Nukus, Uzbekistan. Specialists and young scientists from universities and academic research institutes discussed various aspects of modern problems of semiconductor physics. More than 100 talks were presented in the meeting on the following subjects: solid state physics, physics of condensed matter and nano materials; educational tools and information technologies. (K.M.)

  12. Enabling Manufacturing Competitiveness and Economic Sustainability : Proceedings of the 4th International Conference on Changeable, Agile, Reconfigurable and Virtual production

    CERN Document Server

    2012-01-01

    The changing manufacturing environment requires more responsive and adaptable manufacturing systems. The theme of the 4th International Conference on Changeable, Agile, Reconfigurable and Virtual production (CARV2011) is “Enabling Manufacturing Competitiveness and Economic Sustainability”. Leading edge research and best implementation practices and experiences, which address these important issues and challenges, are presented. The proceedings include advances in manufacturing systems design, planning, evaluation, control and evolving paradigms such as mass customization, personalization, changeability, re-configurability and flexibility. New and important concepts such as the dynamic product families and platforms, co-evolution of products and systems, and methods for enhancing manufacturing systems’ economic sustainability and prolonging their life to produce more than one product generation are treated. Enablers of change in manufacturing systems, production volume and capability scalability and man...

  13. A system approach to controlling semiconductor manufacturing operations

    OpenAIRE

    Σταυράκης, Γιώργος Δ.

    1987-01-01

    Semicoductor manufacturers, faced with stiffening competition in both product cost and quality, require improved utilization of their development and manufacturing resources. Manufacturing philosophy must be changed, from focusing on short term results, to support continuous improvements in both output and quality. Such improvements demand better information management to monitor and control the manufacturing process. From these considerations, a process control methodology was develope...

  14. Application of statistics to VLSI circuit manufacturing : test, diagnosis, and reliability

    NARCIS (Netherlands)

    Krishnan, Shaji

    2017-01-01

    Semiconductor product manufacturing companies strive to deliver defect free, and reliable products to their customers. However, with the down-scaling of technology, increasing the throughput at every stage of semiconductor product manufacturing becomes a harder challenge. To avoid process-related

  15. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  16. National Manufacturing Strategy: Is a National Manufacturing Strategy Essential to National Security?

    Science.gov (United States)

    2011-05-01

    cycle found nearly a quarter of all homeowners owning more than their home was worth. 11 Both Paul Volcker and Warren Buffet arrived at similar...November 15, 2010; Warren Buffet , Testimony, Financial Crisis Inquiry Commission, June 2, 2010; “Subprime Mortgage Crisis,” http://en.wikipedia.org...overseas manufacturing. Case Study: Semiconductor Wafer Industry. The history of the semiconductor industry is an instructive account . It begins with

  17. 37th International MATADOR Conference

    CERN Document Server

    Li, Lin

    2013-01-01

    Presented here are 97 refereed papers given at the 37th MATADOR Conference held at The University of Manchester in July 2012. The MATADOR series of conferences covers the topics of Manufacturing Automation and Systems Technology, Applications, Design, Organisation and Management, and Research.   The proceedings of this conference contain original papers contributed by researchers from many countries on different continents. The papers cover the principles, techniques and applications in aerospace, automotive, biomedical, energy, consumable goods and process industries.    The papers in this volume reflect: the importance of manufacturing to international wealth creation; the emerging fields of micro- and nano-manufacture; the increasing trend towards the fabrication of parts using lasers; the growing demand for precision engineering and part inspection techniques, and the changing trends in manufacturing within a global environment. .

  18. PREFACE: Euro-TMCS I: Theory, Modelling and Computational Methods for Semiconductors

    Science.gov (United States)

    Gómez-Campos, F. M.; Rodríguez-Bolívar, S.; Tomić, S.

    2015-05-01

    The present issue contains a selection of the best contributed works presented at the first Euro-TMCS conference (Theory, Modelling and Computational Methods for Semiconductors, European Session). The conference was held at Faculty of Sciences, Universidad de Granada, Spain on 28st-30st January 2015. This conference is the first European edition of the TMCS conference series which started in 2008 at the University of Manchester and has always been held in the United Kingdom. Four previous conferences have been previously carried out (Manchester 2008, York 2010, Leeds 2012 and Salford 2014). Euro-TMCS is run for three days; the first one devoted to giving invited tutorials, aimed particularly at students, on recent development of theoretical methods. On this occasion the session was focused on the presentation of widely-used computational methods for the modelling of physical processes in semiconductor materials. Freely available simulation software (SIESTA, Quantum Espresso and Yambo) as well as commercial software (TiberCad and MedeA) were presented in the conference by members of their development team, offering to the audience an overview of their capabilities for research. The second part of the conference showcased prestigious invited and contributed oral presentations, alongside poster sessions, in which direct discussion with authors was promoted. The scope of this conference embraces modelling, theory and the use of sophisticated computational tools in semiconductor science and technology. Theoretical approaches represented in this meeting included: Density Functional Theory, Semi-empirical Electronic Structure Methods, Multi-scale Approaches, Modelling of PV devices, Electron Transport, and Graphene. Topics included, but were not limited to: Optical Properties of Quantum Nanostructures including Colloids and Nanotubes, Plasmonics, Magnetic Semiconductors, Photonic Structures, and Electronic Devices. The Editors Acknowledgments: We would like to thank all

  19. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  20. Tungsten and other heavy metal contamination in aquatic environments receiving wastewater from semiconductor manufacturing

    International Nuclear Information System (INIS)

    Hsu, Shih-Chieh; Hsieh, Hwey-Lian; Chen, Chang-Po; Tseng, Chun-Mao; Huang, Shou-Chung; Huang, Chou-Hao; Huang, Yi-Tang; Radashevsky, Vasily; Lin, Shuen-Hsin

    2011-01-01

    Through analyses of water and sediments, we investigate tungsten and 14 other heavy metals in a stream receiving treated effluents from a semiconductor manufacturer-clustered science park in Taiwan. Treated effluents account for ∼50% of total annual river discharge and <1% of total sediment discharge. Dissolved tungsten concentrations in the effluents abnormally reach 400 μg/L, as compared to the world river average concentration of <0.1 μg/L. Particulate tungsten concentrations are up to 300 μg/g in suspended and deposited sediments, and the corresponding enrichment factors are three orders of magnitude higher than average crust composition. Surprisingly, the estimated amount of tungsten exported to the adjacent ocean is 23.5 t/yr, which can approximate the amount from the Yangtze River should it be unpolluted. This study highlights the urgency of investigating the biological effect of such contamination.

  1. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  2. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  3. Mathematical Modelling and Simulation of Electrical Circuits and Semiconductor Devices

    CERN Document Server

    Merten, K; Bulirsch, R

    1990-01-01

    Numerical simulation and modelling of electric circuits and semiconductor devices are of primal interest in today's high technology industries. At the Oberwolfach Conference more than forty scientists from around the world, in­ cluding applied mathematicians and electrical engineers from industry and universities, presented new results in this area of growing importance. The contributions to this conference are presented in these proceedings. They include contributions on special topics of current interest in circuit and device simulation, as well as contributions that present an overview of the field. In the semiconductor area special lectures were given on mixed finite element methods and iterative procedures for the solution of large linear systems. For three dimensional models new discretization procedures including software packages were presented. Con­ nections between semiconductor equations and the Boltzmann equation were shown as well as relations to the quantum transport equation. Other issues dis...

  4. Risk for work-related fatigue among the employees on semiconductor manufacturing lines.

    Science.gov (United States)

    Lin, Yu-Cheng; Chen, Yen-Cheng; Hsieh, Hui-I; Chen, Pau-Chung

    2015-03-01

    To examine the potential risk factors for work-related fatigue (WRF) among workers in modern industries, the authors analyzed the records of need-for-recovery questionnaires and health checkup results for 1545 employees. Compared with regular daytime workers, and after adjusting for confounders, the workers adapting to day-and-night rotating shift work (RSW) had a 4.0-fold (95% confidence interval [CI] = 2.7-5.9) increased risk for WRF, higher than the 2.2-fold risk (95% CI = 1.5-3.3) for persistent shift workers. Based on highest education level, the male employees with university degrees had the highest adjusted odds ratio (a-OR) 2.8 (95% CI = 1.0-7.8) for complaining of WRF versus compulsory education group. For female workers, currently married/cohabiting status was inversely associated with WRF (a-OR = 0.5; 95% CI = 0.2-0.9), and child-rearing responsibility moderately increased WRF risk (a-OR = 1.9; 95% CI = 1.0-3.7). Day-and-night RSW and the adaptation, educational levels of males, and domestic factors for females contributed to WRF among semiconductor manufacturing employees. © 2013 APJPH.

  5. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  6. Revenue sharing in semiconductor industry supply chain ...

    Indian Academy of Sciences (India)

    to reduce demand opportunities, inventory needs and production efficiencies, in addition to reducing .... design based on coalition structures in semiconductor supply chain. ..... supplier/contract manufacturer for a product/component category.

  7. A system approach for reducing the environmental impact of manufacturing and sustainability improvement of nano-scale manufacturing

    Science.gov (United States)

    Yuan, Yingchun

    This dissertation develops an effective and economical system approach to reduce the environmental impact of manufacturing. The system approach is developed by using a process-based holistic method for upstream analysis and source reduction of the environmental impact of manufacturing. The system approach developed consists of three components of a manufacturing system: technology, energy and material, and is useful for sustainable manufacturing as it establishes a clear link between manufacturing system components and its overall sustainability performance, and provides a framework for environmental impact reductions. In this dissertation, the system approach developed is applied for environmental impact reduction of a semiconductor nano-scale manufacturing system, with three case scenarios analyzed in depth on manufacturing process improvement, clean energy supply, and toxic chemical material selection. The analysis on manufacturing process improvement is conducted on Atomic Layer Deposition of Al2O3 dielectric gate on semiconductor microelectronics devices. Sustainability performance and scale-up impact of the ALD technology in terms of environmental emissions, energy consumption, nano-waste generation and manufacturing productivity are systematically investigated and the ways to improve the sustainability of the ALD technology are successfully developed. The clean energy supply is studied using solar photovoltaic, wind, and fuel cells systems for electricity generation. Environmental savings from each clean energy supply over grid power are quantitatively analyzed, and costs for greenhouse gas reductions on each clean energy supply are comparatively studied. For toxic chemical material selection, an innovative schematic method is developed as a visual decision tool for characterizing and benchmarking the human health impact of toxic chemicals, with a case study conducted on six chemicals commonly used as solvents in semiconductor manufacturing. Reliability of

  8. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  9. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  10. ICCG-10: Tenth International Conference on Crystal Growth. Poster presentation abstracts

    Science.gov (United States)

    1992-01-01

    Poster presentation abstracts from the tenth International Conference on Crystal Growth (ICCG) (Aug. 16-21, 1992) are provided. Topics discussed at the conference include crystal growth mechanisms, superconductors, semiconductors, laser materials, optical materials, and biomaterials. Organizing committees, ICCG advisory board and officers, and sponsors of the conference are also included.

  11. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  12. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.; Hanna, Amir; Yapici, Tahir; Wehbe, N.; Diallo, Elhadj; Kutbee, Arwa T.; Bahabry, Rabab R.; Hussain, Muhammad Mustafa

    2017-01-01

    , in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured

  13. 76 FR 57746 - Conference on the International Conference on Harmonisation Q10 Pharmaceutical Quality System: A...

    Science.gov (United States)

    2011-09-16

    ...] Conference on the International Conference on Harmonisation Q10 Pharmaceutical Quality System: A Practical Approach to Effective Life- Cycle Implementation of Systems and Processes for Pharmaceutical Manufacturing... ``Pharmaceutical Quality System (ICH Q10) Conference: A Practical Approach to Effective Life- Cycle Implementation...

  14. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  15. PREFACE: 3rd Workshop on Theory, Modelling and Computational Methods for Semiconductors (TMCSIII)

    Science.gov (United States)

    Califano, Marco; Migliorato, Max; Probert, Matt

    2012-05-01

    These conference proceedings contain the written papers of the contributions presented at the 3rd International Conference on Theory, Modelling and Computational Methods for Semiconductor materials and nanostructures. The conference was held at the School of Electronic and Electrical Engineering, University of Leeds, Leeds, UK on 18-20 January 2012. The previous conferences in this series took place in 2010 at St William's College, York and in 2008 at the University of Manchester, UK. The development of high-speed computer architectures is finally allowing the routine use of accurate methods for calculating the structural, thermodynamic, vibrational, optical and electronic properties of semiconductors and their hetero- and nano-structures. The scope of this conference embraces modelling, theory and the use of sophisticated computational tools in semiconductor science and technology, where there is substantial potential for time-saving in R&D. Theoretical approaches represented in this meeting included: Density Functional Theory, Tight Binding, Semiempirical Pseudopotential Methods, Effective Mass Models, Empirical Potential Methods and Multiscale Approaches. Topics included, but were not limited to: Optical and Transport Properties of Quantum Nanostructures including Colloids and Nanotubes, Plasmonics, Magnetic Semiconductors, Graphene, Lasers, Photonic Structures, Photovoltaic and Electronic Devices. This workshop ran for three days, with the objective of bringing together UK and international leading experts in the theoretical modelling of Group IV, III-V and II-VI semiconductors, as well as students, postdocs and early-career researchers. The first day focused on providing an introduction and overview of this vast field, aimed particularly at students, with several lectures given by recognised experts in various theoretical approaches. The following two days showcased some of the best theoretical research carried out in the UK in this field, with several

  16. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  17. 2012 Gordon Research Conference on Defects in Semiconductors - Formal Schedule and Speaker/Poster Program

    Energy Technology Data Exchange (ETDEWEB)

    Glaser, Evan [Naval Research Lab. (NRL), Washington, DC (United States)

    2012-08-17

    The meeting shall strive to develop and further the fundamental understanding of defects and their roles in the structural, electronic, optical, and magnetic properties of bulk, thin film, and nanoscale semiconductors and device structures. Point and extended defects will be addressed in a broad range of electronic materials of particular current interest, including wide bandgap semiconductors, metal-oxides, carbon-based semiconductors (e.g., diamond, graphene, etc.), organic semiconductors, photovoltaic/solar cell materials, and others of similar interest. This interest includes novel defect detection/imaging techniques and advanced defect computational methods.

  18. Flexible, Photopatterned, Colloidal CdSe Semiconductor Nanocrystal Integrated Circuits

    Science.gov (United States)

    Stinner, F. Scott

    As semiconductor manufacturing pushes towards smaller and faster transistors, a parallel goal exists to create transistors which are not nearly as small. These transistors are not intended to match the performance of traditional crystalline semiconductors; they are designed to be significantly lower in cost and manufactured using methods that can make them physically flexible for applications where form is more important than speed. One of the developing technologies for this application is semiconductor nanocrystals. We first explore methods to develop CdSe nanocrystal semiconducting "inks" into large-scale, high-speed integrated circuits. We demonstrate photopatterned transistors with mobilities of 10 cm2/Vs on Kapton substrates. We develop new methods for vertical interconnect access holes to demonstrate multi-device integrated circuits including inverting amplifiers with 7 kHz bandwidths, ring oscillators with NFC) link. The device draws its power from the NFC transmitter common on smartphones and eliminates the need for a fixed battery. This allows for the mass deployment of flexible, interactive displays on product packaging.

  19. Hybrid organic semiconductor lasers for bio-molecular sensing.

    Science.gov (United States)

    Haughey, Anne-Marie; Foucher, Caroline; Guilhabert, Benoit; Kanibolotsky, Alexander L; Skabara, Peter J; Burley, Glenn; Dawson, Martin D; Laurand, Nicolas

    2014-01-01

    Bio-functionalised luminescent organic semiconductors are attractive for biophotonics because they can act as efficient laser materials while simultaneously interacting with molecules. In this paper, we present and discuss a laser biosensor platform that utilises a gain layer made of such an organic semiconductor material. The simple structure of the sensor and its operation principle are described. Nanolayer detection is shown experimentally and analysed theoretically in order to assess the potential and the limits of the biosensor. The advantage conferred by the organic semiconductor is explained, and comparisons to laser sensors using alternative dye-doped materials are made. Specific biomolecular sensing is demonstrated, and routes to functionalisation with nucleic acid probes, and future developments opened up by this achievement, are highlighted. Finally, attractive formats for sensing applications are mentioned, as well as colloidal quantum dots, which in the future could be used in conjunction with organic semiconductors.

  20. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  1. 75 FR 24742 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2010-05-05

    ... Semiconductor, Xiqing Integrated Semiconductor, Manufacturing Site, No. 15 Xinghua Road, Xiqing Economic... Malaysia Sdn. Bhd., NO. 2 Jalan SS 8/2, Free Industrial Zone, Sungai Way, 47300 Petaling Jaya, Selengor, Malaysia. Freescale Semiconductor Pte. Ltd., 7 Changi South Street 2, 03-00, Singapore 486415. Freescale...

  2. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  3. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  4. 4th Neutron Transmutation Doping Conference

    CERN Document Server

    1984-01-01

    viii The growing use of NTD silicon outside the U. S. A. motivated an interest in having the next NTD conference in Europe. Therefore, the Third International Conference on Neutron Transmutation-Doped Silicon was organized by Jens Guldberg and held in Copenhagen, Denmark on August 27-29, 1980. The papers presented at this conference reviewed the developments which occurred during the t'A'O years since the previous conference and included papers on irradiation technology, radiation-induced defects, characteriza­ tion of NTD silicon, and the use of NTD silicon for device appli­ cations. The proceedings of this conference were edited by Jens Guldberg and published by Plenum Press in 1981. Interest in, and commercial use of, NTD silicon continued to grow after the Third NTD Conference, and research into neutron trans­ mutation doping of nonsilicon semiconductors had begun to accel­ erate. The Fourth International Transmutation Doping Conference reported in this volume includes invited papers summarizing the p...

  5. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  6. Green Manufacturing Fundamentals and Applications

    CERN Document Server

    2013-01-01

    Green Manufacturing: Fundamentals and Applications introduces the basic definitions and issues surrounding green manufacturing at the process, machine and system (including supply chain) levels. It also shows, by way of several examples from different industry sectors, the potential for substantial improvement and the paths to achieve the improvement. Additionally, this book discusses regulatory and government motivations for green manufacturing and outlines the path for making manufacturing more green as well as making production more sustainable. This book also: • Discusses new engineering approaches for manufacturing and provides a path from traditional manufacturing to green manufacturing • Addresses regulatory and economic issues surrounding green manufacturing • Details new supply chains that need to be in place before going green • Includes state-of-the-art case studies in the areas of automotive, semiconductor and medical areas as well as in the supply chain and packaging areas Green Manufactu...

  7. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  8. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  9. 76 FR 59542 - Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To...

    Science.gov (United States)

    2011-09-27

    ... Mandatory Reporting of Greenhouse Gases: Changes to Provisions for Electronics Manufacturing To Provide... regulation to amend the calculation and monitoring provisions in the Electronics Manufacturing portion of the... Electronics Manufacturing 334111 Microcomputer manufacturing facilities. 334413 Semiconductor, photovoltaic...

  10. Unified Controller Design for Intelligent Manufacturing Automation

    National Research Council Canada - National Science Library

    Kosut, Robert

    1997-01-01

    .... The demonstration system selected was rapid thermal processing (RTP) of semiconductor wafers. This novel approach in integrated circuit manufacturing demands fast tracking control laws that achieve near uniform spatial temperature distributions...

  11. PREFACE: 4th Workshop on Theory, Modelling and Computational Methods for Semiconductors (TMCSIV)

    Science.gov (United States)

    Tomić, Stanko; Probert, Matt; Migliorato, Max; Pal, Joydeep

    2014-06-01

    These conference proceedings contain the written papers of the contributions presented at the 4th International Conference on Theory, Modelling and Computational Methods for Semiconductor materials and nanostructures. The conference was held at the MediaCityUK, University of Salford, Manchester, UK on 22-24 January 2014. The previous conferences in this series took place in 2012 at the University of Leeds, in 2010 at St William's College, York and in 2008 at the University of Manchester, UK. The development of high-performance computer architectures is finally allowing the routine use of accurate methods for calculating the structural, thermodynamic, vibrational, optical and electronic properties of semiconductors and their hetero- and nano-structures. The scope of this conference embraces modelling, theory and the use of sophisticated computational tools in semiconductor science and technology, where there is substantial potential for time-saving in R&D. Theoretical approaches represented in this meeting included: Density Functional Theory, Semi-empirical Electronic Structure Methods, Multi-scale Approaches, Modelling of PV devices, Electron Transport, and Graphene. Topics included, but were not limited to: Optical Properties of Quantum Nanostructures including Colloids and Nanotubes, Plasmonics, Magnetic Semiconductors, Photonic Structures, and Electronic Devices. This workshop ran for three days, with the objective of bringing together UK and international leading experts in the theoretical modelling of Group IV, III-V and II-VI semiconductors, as well as students, postdocs and early-career researchers. The first day focused on providing an introduction and overview of this vast field, aimed particularly at students, with several lectures given by recognized experts in various theoretical approaches. The following two days showcased some of the best theoretical research carried out in the UK in this field, with several contributions also from representatives of

  12. Characterization and Metrology for ULSI Technology: 1998 International Conference. Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Seiler, D.G. [NIST, Gaithersburg, MD 20899 (United States); Diebold, A.C. [SEMATECH, Austin, TX 78741 (United States); Bullis, W.M. [SEMI, Mountain View, CA 94043 (United States); Schaffner, T.J. [Texas Instruments, Dallas, TX 75221 (United States); McDonald, R. [Intel Corp., Santa Clara, CA 95050 (United States); Walters, E.J. [NIST, Gaithersburg, MD 20899 (United States)

    1998-11-01

    These proceedings represent papers presented at the 1998 International Conference on Characterization and Metrology for ULSI Technology (INIST) in March 1998. The Conference reviewed important semiconductor techniques that are crucial to continued advancements in the semiconductor industry. It brought together leaders, scientists, and engineers concerned with all aspects of the technology and characterization techniques for silicon research. The topics covered included front end processes consisting of modeling, materials, gate dielectrics, doping and wafer issues. Interconnects were discussed in detail including deposition technology. Lithography and patterning was also discussed. Finally, packaging/assembly of the integrated circuits and materials characterization including dopant profiling was discussed. The papers provide an effective portrayal of industry characterization needs and point out some of the problems that must be addressed by industry, academia, and government to continue the dramatic progress in semiconductor technology. There were 141 papers included in these proceedings, out of which 9 have been abstracted for the Energy,Science and Technology database.(AIP)

  13. Agile Multi-Parallel Micro Manufacturing Using a Grid of Equiplets

    NARCIS (Netherlands)

    Leo van Moergestel; Ing. Erik Puik

    2010-01-01

    Abstract: Unlike manufacturing technology for semiconductors and printed circuit boards, the market for traditional micro assembly lacks a clear public roadmap. More agile manufacturing strategies are needed in an environment in which dealing with change becomes a rule instead of an exception. In

  14. Layers of metal nanoparticles on semiconductors deposited by electrophoresis from solutions with reverse micelles

    Czech Academy of Sciences Publication Activity Database

    Žďánský, Karel; Kacerovský, Pavel; Zavadil, Jiří; Lorinčík, Jan; Fojtík, A.

    2007-01-01

    Roč. 2, č. 9 (2007), s. 450-454 ISSN 1931-7573. [Semiconducting & Insulating Materials Conference - SIMC /14./. Fayetteville, 15.05.2007-20.05.2007] R&D Projects: GA AV ČR KAN400670651 Institutional research plan: CEZ:AV0Z20670512 Keywords : semiconductor junctions * nanostructured materials * semiconductor devices Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.158, year: 2007

  15. Semiconductor sensor device, diagnostic instrument comprising such a device and method of manufacturing such a device

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor sensor device (10) for sensing a substance comprising at least one mesa- shaped semiconductor region (11) which is formed on a surface of a semiconductor body (12) and which is connected at a first end to a first electrically conducting connection region (13)

  16. Supplymentary type semiconductor device and manufacturing method. Soho gata handotai sochi oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Uno, Masaaki

    1990-01-08

    As a supplementary type semiconductor device has a complicated structure, it is extremely difficult to construct it in a three dimensional structure. This invention aims to reduce its occupying area by forming p-channel and n-channel transistors in a solid structure; moreover in an easy method of production. In other words, an opening is made in the element-forming region of a semiconductor substrate, forming a gate-insulation film on each of the p-type and n-type semiconductors which are exposed on the two facing surfaces; on it formed a gate electrode; p-type semiconductor surface is used as a channel domain; a drain region of n-channel transistor on one surface and a source region on another surface; the n-type semiconductor surface corresponding to the gate electrode is used as a channel region; a source region of the n-channel transistor is formed on the same surface and the drain region on the substrate surface. Occupied area is thus made less and the production gets easier. 20 figs.

  17. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  18. Disruptive Innovation in Manufacturing Engineering towards the 4th Industrial Revolution: 8th International Conference on Digital Enterprise Technology, DET 2014, March 25 -28 2014, Stuttgart, Germany, Abstracts

    OpenAIRE

    Bauer, Wilhelm; Constantinescu, Carmen; Sauer, Olaf; Maropoulos, Paul; Muelaner, Jody

    2014-01-01

    The CIRP sponsored DET 2014 conference focusses on the employment of modern ICT-technologies and tools for the modeling, simulation, optimization, advanced control and monitoring, real-time visualization of products, factories and manufacturing processes. The addressed areas cover all phases of product, factory and manufacturing processes life cycle starting with product design and development, engineering of factories, machines, equipment and technical processes, commissioning and rump-up, f...

  19. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  20. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  1. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  2. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  3. EDITORIAL: Extreme Ultraviolet Light Sources for Semiconductor Manufacturing

    Science.gov (United States)

    Attwood, David

    2004-12-01

    The International Technology Roadmap for Semiconductors (ITRS) [1] provides industry expectations for high volume computer chip fabrication a decade into the future. It provides expectations to anticipated performance and requisite specifications. While the roadmap provides a collective projection of what international industry expects to produce, it does not specify the technology that will be employed. Indeed, there are generally several competing technologies for each two or three year step forward—known as `nodes'. Recent successful technologies have been based on KrF (248 nm), and now ArF (193 nm) lasers, combined with ultraviolet transmissive refractive optics, in what are known as step and scan exposure tools. Less fortunate technologies in the recent past have included soft x-ray proximity printing and, it appears, 157 nm wavelength F2 lasers. In combination with higher numerical aperture liquid emersion optics, 193 nm is expected to be used for the manufacture of leading edge chip performance for the coming five years. Beyond that, starting in about 2009, the technology to be employed is less clear. The leading candidate for the 2009 node is extreme ultraviolet (EUV) lithography, however this requires that several remaining challenges, including sufficient EUV source power, be overcome in a timely manner. This technology is based on multilayer coated reflective optics [2] and an EUV emitting plasma. Following Moore's Law [3] it is expected, for example, that at the 2009 `32 nm node' (printable patterns of 32 nm half-pitch), isolated lines with 18 nm width will be formed in resist (using threshold effects), and that these will be further narrowed to 13 nm in transfer to metalized electronic gates. These narrow features are expected to provide computer chips of 19 GHz clock frequency, with of the order of 1.5 billion transistors per chip [1]. This issue of Journal of Physics D: Applied Physics contains a cluster of eight papers addressing the critical

  4. Dynamic detection of spin accumulation in ferromagnet-semiconductor devices by ferromagnetic resonance (Conference Presentation)

    Science.gov (United States)

    Crowell, Paul A.; Liu, Changjiang; Patel, Sahil; Peterson, Tim; Geppert, Chad C.; Christie, Kevin; Stecklein, Gordon; Palmstrøm, Chris J.

    2016-10-01

    A distinguishing feature of spin accumulation in ferromagnet-semiconductor devices is its precession in a magnetic field. This is the basis for detection techniques such as the Hanle effect, but these approaches become ineffective as the spin lifetime in the semiconductor decreases. For this reason, no electrical Hanle measurement has been demonstrated in GaAs at room temperature. We show here that by forcing the magnetization in the ferromagnet to precess at resonance instead of relying only on the Larmor precession of the spin accumulation in the semiconductor, an electrically generated spin accumulation can be detected up to 300 K. The injection bias and temperature dependence of the measured spin signal agree with those obtained using traditional methods. We further show that this new approach enables a measurement of short spin lifetimes (C. Liu, S. J. Patel, T. A. Peterson, C. C. Geppert, K. D. Christie, C. J. Palmstrøm, and P. A. Crowell, "Dynamic detection of electron spin accumulation in ferromagnet-semiconductor devices by ferromagnetic resonance," Nature Communications 7, 10296 (2016). http://dx.doi.org/10.1038/ncomms10296

  5. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    Science.gov (United States)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  6. Editorial

    Science.gov (United States)

    Bruzzi, Mara; Cartiglia, Nicolo; Pace, Emanuele; Talamonti, Cinzia

    2015-10-01

    The 10th edition of the International Conference on Radiation Effects on Semiconductor Materials, Detectors and Devices (RESMDD) was held in Florence, at Dipartimento di Fisica ed Astronomia on October 8-10, 2014. It has been aimed at discussing frontier research activities in several application fields as nuclear and particle physics, astrophysics, medical and solid-state physics. Main topics discussed in this conference concern performance of heavily irradiated silicon detectors, developments required for the luminosity upgrade of the Large Hadron Collider (HL-LHC), ultra-fast silicon detectors design and manufacturing, high-band gap semiconductor detectors, novel semiconductor-based devices for medical applications, radiation damage issues in semiconductors and related radiation-hardening technologies.

  7. Oxide Ferromagnetic Semiconductors for Spin-Electronic Transprt

    International Nuclear Information System (INIS)

    Pandey, R.K.

    2008-01-01

    The objective of this research was to investigate the viability of oxide magnetic semiconductors as potential materials for spintronics. We identified some members of the solid solution series of ilmenite (FeTiO3) and hematite (Fe2O3), abbreviated as (IH) for simplicity, for our investigations based on their ferromagnetic and semiconducting properties. With this objective in focus we limited our investigations to the following members of the modified Fe-titanates: IH33 (ilmenitehematite with 33 atomic percent hematite), IH45 (ilmenite-hematite with 45 atomic percent hematite), Mn-substituted ilmenite (Mn-FeTiO3), and Mn-substituted pseudobrookite (Mn- Fe2TiO5). All of them are: (1) wide bandgap semiconductors with band gaps ranging in values between 2.5 to 3.5 eV; (2) n-type semiconductors; (3) they exhibit well defined magnetic hysteresis loops and (4) their magnetic Curie points are greater than 400K. Ceramic, film and single crystal samples were studied and based on their properties we produced varistors (also known as voltage dependent resistors) for microelectronic circuit protection from power surges, three-terminal microelectronic devices capable of generating bipolar currents, and an integrated structured device with controlled magnetic switching of spins. Eleven refereed journal papers, three refereed conference papers and three invention disclosures resulted from our investigations. We also presented invited papers in three international conferences and one national conference. Furthermore two students graduated with Ph.D. degrees, three with M.S. degrees and one with B.S. degree. Also two post-doctoral fellows were actively involved in this research. We established the radiation hardness of our devices in collaboration with a colleague in an HBCU institution, at the Cyclotron Center at Texas A and M University, and at DOE National Labs (Los Alamos and Brookhaven). It is to be appreciated that we met most of our goals and expanded vastly the scope of

  8. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    Science.gov (United States)

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  9. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  10. Joint US/German Conference

    CERN Document Server

    Gulledge, Thomas; Jones, Albert

    1993-01-01

    This proceedings volume contains selected and refereed contributions that were presented at the conference on "Recent Developments and New Perspectives of Operations Research in the Area of Production Planning and Control" in Hagen/Germany, 25. - 26. June 1992. This conference was organized with the cooperation of the FernuniversiHit Hagen and was jointly hosted by the "Deutsche Gesellschaft fur Operations Research (DGOR)" and the "Manufacturing Special Interest Group of the Operations Research Society of America (ORSA-SIGMA)". For the organization of the conference we received generous financial support from the sponsors listed at the end of this volume. We wish to express our appreciation to all supporters for their contributions. This conference was the successor of the JOInt ORSA/DGOR-conference in Gaithersburg/Maryland, USA, on the 30. and 31. July 1991. Both OR-societies committed themselves in 1989 to host joint conferences on special topics of interest from the field of operations research. This goal ...

  11. Measurement of ionising radiation semiconductor detectors: a review

    International Nuclear Information System (INIS)

    Aussel, J.P.

    1986-06-01

    Manufacturing techniques for nuclear detectors using semiconductors are constantly advancing, and a large range of models with different specificities and characteristics are available. After a theoretical reminder, this report describes the main types of detectors, their working and their preferential use. A comparative table guides the neophyte reader in his choice [fr

  12. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    Science.gov (United States)

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  13. 77 FR 20790 - Manufacturing Extension Partnership Advisory Board

    Science.gov (United States)

    2012-04-06

    ...: This meeting is being held in conjunction with MEP's Manufacturing Innovations 2012 Conference in... Board members, (2) a national manufacturing strategy, and (3) an update on MEP's workforce initiatives. The agenda may change to accommodate other Board business. Admission Instructions: Anyone wishing to...

  14. International Conference on Vehicle and Automotive Engineering

    CERN Document Server

    Bolló, Betti

    2017-01-01

    This book presents the proceedings of the first vehicle engineering and vehicle industry conference. It captures the outcome of theoretical and practical studies as well as the future development trends in a wide field of automotive research. The themes of the conference include design, manufacturing, economic and educational topics.

  15. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  16. Conference on instrumental activation analysis IAA 86

    International Nuclear Information System (INIS)

    Vobecky, M.; Obrusnik, I.

    1986-04-01

    Thirty five papers were presented at the conference held in Klucenice, Czechoslovakia from May 4 to 8, 1986. The abstracts of all papers are printed in the proceedings. The conference discussed the following problem areas: the application of activation analysis in determining elements in ores, tectites, fungi, the thyroid, the primary circuit coolant, semiconductor materials; the application of nuclear reaction analysis in determining elements in rubber and coal; the application of tracer techniques in metallurgy; the description of alpha and gamma spectrometric systems and their testing; the use of microcomputers for data processing, and the description of programs. (J.P.)

  17. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  18. Fourth Australian conference on nuclear techniques of analysis: AINSE, Lucas Heights, N.S.W. Proceedings

    International Nuclear Information System (INIS)

    1985-11-01

    Conference sessions covered the areas of materials science, semiconductors, ceramics and metals, archaeometry, industrial applications, environmental applications, bioscience, instrumentation, surfaces and geoscience

  19. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  20. Semiconductor relay and its manufacture method. Handotai relay oyobi sono seizo hoho

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, M

    1993-06-01

    The invention relates to a semiconductor relay in which a light emitting diode and a photovoltaic element are arranged in the opposite positions and connected with a light connection and aims to present a light transmission path to transmit input signals to the light emitting diode to the side of the photovoltaic element with a negligible light loss effectively. The invention presents a semiconductor relay, in which a light emitting diode loaded on the first lead frame and the light receiving part of the photovoltaic element to drive a MOSFET element loaded on the second lead frame and acting as a switch element are connected through an insulator tube with an opaque outer wall, and the interior of the insulator tube is filled with a transparent insulating filler, so that the invention affords a light transmission path without light leakage from the interior of the opaque insulator tube and with the stability in the form and no light loss. 3 figs.

  1. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  2. Applications of Nuclear Reaction Analysis for Semiconductor Industry

    International Nuclear Information System (INIS)

    Wei Luncun

    2003-01-01

    Many thin film samples used in the semiconductor industry contain C, N and O. The detection limits and accuracy obtained by Rutherford Backscattering Spectroscopy (RBS) measurement are limited due to the small cross section values. High energy non-Rutherford backscattering is often used to enhance the sensitivities. But non-Rutherford cross section values are irregular and can not be calculated as normal Rutherford backscattering values. It is also difficult to find an appropriate energy window that for all these elements, and high-energy ions are needed. In this paper, the Nuclear Reaction Analysis (NRA) method is used to simultaneously measure C, N and O. several applications in the semiconductor research, development, and manufacturing areas are presented

  3. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  4. Evaluation of efficiency of a semiconductor gamma camera

    CERN Document Server

    Otake, H; Takeuchi, Y

    2002-01-01

    We evaluation basic characteristics of a compact type semiconductor gamma camera (eZ-SCOPE AN) of Cadmium Zinc Telluride (CdZnTe). This new compact gamma camera has 256 semiconductors representing the same number of pixels. Each semiconductor is 2 mm square and is located in 16 lines and rows on the surface of the detector. The specific performance characteristics were evaluated in the study referring to National Electrical Manufactures Association (NEMA) standards; intrinsic energy resolution, intrinsic count rate performance, integral uniformity, system planar sensitivity, system spatial resolution, and noise to the neighboring pixels. The intrinsic energy resolution measured 5.7% as full width half maximum (FWHM). The intrinsic count rate performance ranging from 17 kcps to 1,285 kcps was evaluated, but the highest intrinsic count rate was not observed. Twenty percents count loss was recognized at 1,021 kcps. The integral uniformity was 1.3% with high sensitivity collimator. The system planar sensitivity w...

  5. The Second Joint NASA/FAA/DOD Conference on Aging Aircraft. Pt. 1

    Science.gov (United States)

    Harris, Charles E. (Editor)

    1999-01-01

    The purpose of the Conference was to bring together world leaders in aviation safety research, aircraft design and manufacturing, fleet operation and aviation maintenance to disseminate information on current practices and advanced technologies that will assure the continued airworthiness of the aging aircraft in the military and commercial fleets. The Conference included reviews of current industry practices, assessments of future technology requirements, and status of aviation safety research. The Conference provided an opportunity for interactions among the key personnel in the research and technology development community, the original equipment manufacturers, commercial airline operators, military fleet operators, aviation maintenance, and aircraft certification and regulatory authorities. Conference participation was unrestricted and open to the international aviation community.

  6. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  7. VMEbus in physics conference

    International Nuclear Information System (INIS)

    1986-01-01

    The first conference ''VMEbus in Physics'' was held at CERN on 7th and 8th October 1985. The conference surveyed the applications of the VMEbus standards in physics, with special emphasis on particle physics and accelerator control. Developments in the definition of the standards and in the formation of users groups were discussed. Manufacturer's representatives were given the opportunity to appreciate the requirements of the fast-growing VMEbus market in the physics community. These proceedings contain the unedited text of the oral and poster presentations given on that occasion. (orig.)

  8. Manufacturing a submicron structure using a liquid precursor

    NARCIS (Netherlands)

    Ishihara, R.; Van de Zwan, M.; Trifunovic, M.

    2014-01-01

    Methods for manufacture of a submicron semiconductor structure on a substrate are described. The method may comprise: forming at least one template layer over a support substrate; forming one or more template structures, preferably one or more recesses and/or mesas, in said template layer, said one

  9. Proceedings of the 1999 Review Conference on Fuel Cell Technology

    Energy Technology Data Exchange (ETDEWEB)

    None Available

    2000-06-05

    The 1999 Review Conference on Fuel Cell Technology was jointly sponsored by the U.S. Department of Energy, Federal Energy Technology Center (FETC), the Gas Research Institute (GRI), and the Electric Power Research Institute (EPRI). It was held August 3 to 5 in Chicago, Illinois. The goal of this conference was to provide a forum for reviewing fuel cell research and development (R&D) programs, assist in strategic R&D planning, promote awareness of sponsor activities, and enhance interactions between manufacturers, researchers, and stakeholders. This conference was attended by over 250 representatives from industry, academia, national laboratories, gas and electric utilities, DOE, and other Government agencies. The conference agenda included a keynote session, five presentation sessions, a poster presentation reception, and three breakout sessions. The presentation session topics were DOD Fuel Cell Applications, Low-Temperature Fuel Cell Manufacturers, Low-Temperature Component Research, High-Temperature Fuel Cell Manufacturers, and High-Temperature Component Research; the breakout session topics were Future R&D Directions for Low-Temperature Fuel Cells, Future R&D Directions for High-Temperature Fuel Cells, and a plenary summary session. All sessions were well attended.

  10. Conference proceedings of the 4. international conference on CANDU fuel. V. 1,2

    International Nuclear Information System (INIS)

    1995-01-01

    These proceedings contain the full texts of all 65 papers presented at the 4th International Conference on CANDU fuel. As such, they represent an update on the state-of-the-art in such important CANDU fuel topics as International Development Programs and Operating Experience with CANDU fuel, Performance Assessments and Fuel Behavior Modeling, Fuel Properties, Licensing and Accident Analyses for CANDU fuel, Design, Testing and Manufacturing, and Advanced Fuel Designs. The large number of papers required the use of parallel sessions for the first time at a CANDU Fuel Conference

  11. Conference proceedings of the 4. international conference on CANDU fuel. V. 1,2

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-12-31

    These proceedings contain the full texts of all 65 papers presented at the 4th International Conference on CANDU fuel. As such, they represent an update on the state-of-the-art in such important CANDU fuel topics as International Development Programs and Operating Experience with CANDU fuel, Performance Assessments and Fuel Behavior Modeling, Fuel Properties, Licensing and Accident Analyses for CANDU fuel, Design, Testing and Manufacturing, and Advanced Fuel Designs. The large number of papers required the use of parallel sessions for the first time at a CANDU Fuel Conference.

  12. Janus droplets: liquid marbles coated with dielectric/semiconductor particles.

    Science.gov (United States)

    Bormashenko, Edward; Bormashenko, Yelena; Pogreb, Roman; Gendelman, Oleg

    2011-01-04

    The manufacturing of water droplets wrapped with two different powders, carbon black (semiconductor) and polytetrafluoroethylene (dielectric), is presented. Droplets composed of two hemispheres (Janus droplets) characterized by various physical and chemical properties are reported first. Watermelon-like striped liquid marbles are reported. Janus droplets remained stable on solid and liquid supports and could be activated with an electric field.

  13. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  14. Robust Manufacturing Control

    CERN Document Server

    2013-01-01

    This contributed volume collects research papers, presented at the CIRP Sponsored Conference Robust Manufacturing Control: Innovative and Interdisciplinary Approaches for Global Networks (RoMaC 2012, Jacobs University, Bremen, Germany, June 18th-20th 2012). These research papers present the latest developments and new ideas focusing on robust manufacturing control for global networks. Today, Global Production Networks (i.e. the nexus of interconnected material and information flows through which products and services are manufactured, assembled and distributed) are confronted with and expected to adapt to: sudden and unpredictable large-scale changes of important parameters which are occurring more and more frequently, event propagation in networks with high degree of interconnectivity which leads to unforeseen fluctuations, and non-equilibrium states which increasingly characterize daily business. These multi-scale changes deeply influence logistic target achievement and call for robust planning and control ...

  15. The Second Joint NASA/FAA/DoD Conference on Aging Aircraft. Part 2

    Science.gov (United States)

    Harris, Charles E. (Editor)

    1999-01-01

    The purpose of the Conference was to bring together world leaders in aviation safety research, aircraft design and manufacturing, fleet operation and aviation maintenance to disseminate information on current practices and advanced technologies that will assure the continued airworthiness of the aging aircraft in the military and commercial fleets. The Conference included reviews of current industry practices, assessments of future technology requirements, and status of aviation safety research. The Conference provided an opportunity for interactions among the key personnel in the research and technology development community, the original equipment manufacturers, commercial airline operators, military fleet operators, aviation maintenance, and aircraft certification and regulatory authorities. Conference participation was unrestricted and open to the international aviation community. Appendix B contains the name and addresses of the 623 participants in the Conference.

  16. Advancing Product Quality: a Summary of the Inaugural FDA/PQRI Conference.

    Science.gov (United States)

    Yu, Lawrence X; Baker, Jeffrey; Berlam, Susan C; Boam, Ashley; Brandreth, E J; Buhse, Lucinda; Cosgrove, Thomas; Doleski, David; Ensor, Lynne; Famulare, Joseph; Ganapathy, Mohan; Grampp, Gustavo; Hussong, David; Iser, Robert; Johnston, Gordon; Kesisoglou, Filippos; Khan, Mansoor; Kozlowski, Steven; Lacana, Emanuela; Lee, Sau L; Miller, Stephen; Miksinski, Sarah Pope; Moore, Christine M V; Mullin, Theresa; Raju, G K; Raw, Andre; Rosencrance, Susan; Rosolowsky, Mark; Stinavage, Paul; Thomas, Hayden; Wesdyk, Russell; Windisch, Joerg; Vaithiyalingam, Sivakumar

    2015-07-01

    On September 16 and 17, 2014, the Food and Drug Administration (FDA) and Product Quality Research Institute (PQRI) inaugurated their Conference on Evolving Product Quality. The Conference is conceived as an annual forum in which scientists from regulatory agencies, industry, and academia may exchange viewpoints and work together to advance pharmaceutical quality. This Conference Summary Report highlights key topics of this conference, including (1) risk-based approaches to pharmaceutical development, manufacturing, regulatory assessment, and post-approval changes; (2) FDA-proposed quality metrics for products, facilities, and quality management systems; (3) performance-based quality assessment and clinically relevant specifications; (4) recent developments and implementation of continuous manufacturing processes, question-based review, and European Medicines Agency (EMA)-FDA pilot for Quality-by-Design (QbD) applications; and (5) breakthrough therapies, biosimilars, and international harmonization, focusing on ICH M7 and Q3D guidelines. The second FDA/PQRI conference on advancing product quality is planned for October 5-7, 2015.

  17. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying; Tee, Benjamin C-K.; Giri, Gaurav; Xu, Jie; Kim, Do Hwan; Becerril, Hector A.; Stoltenberg, Randall M.; Lee, Tae Hoon; Xue, Gi; Mannsfeld, Stefan C. B.; Bao, Zhenan

    2013-01-01

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control

  18. 9th Conference on Coordinate Measuring Machines

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Dorph, Pernille

    2001-01-01

    This one-day conference on coordinate measuring machines is the 9th in a row of conferences organised in connection with the Danish CMM Club, a users’ group regarding CMMs that has existed in Denmark since 1994. The Danish CMM Club was founded by the Department of Manufacturing Engineering...... termination of the second lifetime cycle of the club. This conference treates the traceability of geometrical measurements with particular reference to those obtained using coordinate measuring machines. A number of on-going activities and new achievements in coordinate metrology are presented by European...

  19. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  20. 20th CIRP International Conference on Life Cycle Engineering

    CERN Document Server

    Song, Bin; Ong, Soh-Khim

    2013-01-01

    This edited volume presents the proceedings of the 20th CIRP LCE Conference, which cover various areas in life cycle engineering such as life cycle design, end-of-life management, manufacturing processes, manufacturing systems, methods and tools for sustainability, social sustainability, supply chain management, remanufacturing, etc.

  1. Energy efficiency enhancements for semiconductors, communications, sensors and software achieved in cool silicon cluster project

    Science.gov (United States)

    Ellinger, Frank; Mikolajick, Thomas; Fettweis, Gerhard; Hentschel, Dieter; Kolodinski, Sabine; Warnecke, Helmut; Reppe, Thomas; Tzschoppe, Christoph; Dohl, Jan; Carta, Corrado; Fritsche, David; Tretter, Gregor; Wiatr, Maciej; Detlef Kronholz, Stefan; Mikalo, Ricardo Pablo; Heinrich, Harald; Paulo, Robert; Wolf, Robert; Hübner, Johannes; Waltsgott, Johannes; Meißner, Klaus; Richter, Robert; Michler, Oliver; Bausinger, Markus; Mehlich, Heiko; Hahmann, Martin; Möller, Henning; Wiemer, Maik; Holland, Hans-Jürgen; Gärtner, Roberto; Schubert, Stefan; Richter, Alexander; Strobel, Axel; Fehske, Albrecht; Cech, Sebastian; Aßmann, Uwe; Pawlak, Andreas; Schröter, Michael; Finger, Wolfgang; Schumann, Stefan; Höppner, Sebastian; Walter, Dennis; Eisenreich, Holger; Schüffny, René

    2013-07-01

    An overview about the German cluster project Cool Silicon aiming at increasing the energy efficiency for semiconductors, communications, sensors and software is presented. Examples for achievements are: 1000 times reduced gate leakage in transistors using high-fc (HKMG) materials compared to conventional poly-gate (SiON) devices at the same technology node; 700 V transistors integrated in standard 0.35 μm CMOS; solar cell efficiencies above 19% at cars Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  2. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  3. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  4. 19th CIRP Conference on Life Cycle Engineering

    CERN Document Server

    Linke, Barbara

    2012-01-01

    The 19th CIRP Conference on Life Cycle Engineering continues a strong tradition of scientific meetings in the areas of sustainability and engineering within the community of the International Academy for Production Engineering (CIRP). The focus of the conference is to review and discuss the current developments, technology improvements, and future research directions that will allow engineers to help create green businesses and industries that are both socially responsible and economically successful.  The symposium covers a variety of relevant topics within life cycle engineering including Businesses and Organizations, Case Studies, End of Life Management, Life Cycle Design, Machine Tool Technologies for Sustainability, Manufacturing Processes, Manufacturing Systems, Methods and Tools for Sustainability, Social Sustainability, and Supply Chain Management.

  5. 7th Czechoslovak spectroscopic conference and VIIIth CANAS (Conference on analytical atomic spectroscopy). Abstracts. Vol. 2

    International Nuclear Information System (INIS)

    1984-01-01

    The conference on spectroscopy held in Ceske Budejovice on June 18-22, 1984, proceeded in three sessions: atomic spectroscopy, molecular spectroscopy and special spectroscopic techniques. In the molecular spectroscopy session, 81 papers were read of which 12 were inputted in INIS. The subject of inputted papers was the use of NMR for the analysis of organic compounds and for the study of radiation defects in semiconductors, and the use of infrared spectroscopy for the analysis of nuclear and irradiated materials. (J.P.)

  6. Instrumentation for characterizing materials and composed semiconductors for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Paschoal, Arquimedes J.A.; Leite, Adolfo M.B.; Nazzre, Fabio V.B.; Santos, Luiz A.P.

    2007-01-01

    The purpose of this work is the development of instrumentation for characterizing some type of ionizing radiation detectors. Those detectors are being manufactured by the Nuclear Instrumentation Laboratory at CRCN/Recife and can be used both on photon beam and with particles. Such detectors consist of semiconductor material in the form of films generated by oxide growing or by means of semiconductor material deposition in a substrate. Those materials can be made of metals, semi-metals, composites or semiconductor polymers. Prior to expose those detectors to ionizing radiation, it must be physically and electrically characterized. In this intention it was developed an electromechanical system. An electrical circuit was built to measure the signal from the detector and another circuit to control the movement of four probes (4-points technique) by using a stepper motor and the micro stepping technique avoiding damage to the detector. This system can be of interest to researchers that work with a sort of semiconductor materials in the form of thin film and in nanotechnological processes aiming the design of radiation ionizing detectors. (author)

  7. CSIR R&D in emerging manufacturing technologies

    CSIR Research Space (South Africa)

    Trollip, Neil

    2017-10-01

    Full Text Available This presentation discusses Advanced Robotics and Emerging manufacturing technologies by Dr Neil Trollip, at the 6th CSIR Conference: Ideas that work for industrial development, 5-6 October 2017, CSIR International Convention Centre, Pretoria...

  8. Proceedings of the third international conference on recent advances in material processing technology: book of abstracts

    International Nuclear Information System (INIS)

    Mannan, S.L.; Manisekar, K.; Lenin, N.; Ramanan, P.

    2013-01-01

    The objective of the conference was to disseminate information on the latest trends and global research in manufacturing and material processing techniques. This conference provided a forum to share information on technologies, concepts, and techniques to improve the quality of the products and productivity. The deliberations at the conference provided discussion on Casting Technology, Forming processes, Manufacturing Techniques, Simulation and Modeling Techniques, Tools and Precision Engineering, Condition Monitoring, Composites, Optimization Techniques, Surface Engineering, Welding Technology, Nano Technology, Recycle Technology, Fuel Cell Technology, Sensors and Robotics, Materials for Energy Engineering, Rapid Protyping, Eco-Manufacturing Systems, Functionally Graded Materials, Non-Destructive Evaluation, Materials Development and Evaluation etc. Articles relevant to INIS are indexed separately

  9. The use of lasers in manufacturing

    International Nuclear Information System (INIS)

    Anon.

    1989-01-01

    This book contains the proceedings of a conference on the use of lasers in manufacturing, topics covered include: An introduction to industrial lasers; Production laser hardening for aerospace; The role of fiber optics in laser material processing; and Light-material interactions in laser material processing

  10. International Conference on Nano-electronics, Circuits & Communication Systems

    CERN Document Server

    2017-01-01

    This volume comprises select papers from the International Conference on Nano-electronics, Circuits & Communication Systems(NCCS). The conference focused on the frontier issues and their applications in business, academia, industry, and other allied areas. This international conference aimed to bring together scientists, researchers, engineers from academia and industry. The book covers technological developments and current trends in key areas such as VLSI design, IC manufacturing, and applications such as communications, ICT, and hybrid electronics. The contents of this volume will prove useful to researchers, professionals, and students alike.

  11. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  12. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  13. 14th Conference on "Microscopy of Semiconducting Materials"

    CERN Document Server

    Hutchison, J

    2005-01-01

    This is a long-established international biennial conference series, organised in conjunction with the Royal Microscopical Society, Oxford, the Institute of Physics, London and the Materials Research Society, USA. The 14th conference in the series focused on the most recent advances in the study of the structural and electronic properties of semiconducting materials by the application of transmission and scanning electron microscopy. The latest developments in the use of other important microcharacterisation techniques were also covered and included the latest work using scanning probe microscopy and also X-ray topography and diffraction. Developments in materials science and technology covering the complete range of elemental and compound semiconductors are described in this volume.

  14. Game Analysis of Determinants of Stability of Semiconductor Modular Production Networks

    Directory of Open Access Journals (Sweden)

    Wei He

    2014-07-01

    Full Text Available In today’s rapidly changing environment, semiconductor manufacturers compete more in the area of modular production networks. However, the instability of semiconductor modular production networks can to a large extent lead to the failure of these networks. The aim of this paper is to discuss the significance and explore the maintenance of the stability of these semiconductor modular production networks. Firstly, this paper qualitatively and quantitatively defines the stability of semiconductor modular production networks. Based on this, by establishing game models, this paper analyzes the influence mechanism of the main factors: external market fluctuation, the internal benefit allocation mechanism, and opportunism, which can jeopardize the stability of these networks. We find that: the greater the benefits a member enterprise derives from the common benefits, the more likely it is the member enterprise will not exit the modular production network; the adaptive ability of the networks to the external environment is closely related to the stability of the modular production networks; the supervision and punishment in networks can be substituted for each other and the level of supervision, punishment and trust can exert great influence on the stability of semiconductor modular production networks. Lastly, we propose some specific suggestions.

  15. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    Science.gov (United States)

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  16. 18th European Conference on Mathematics for Industry

    CERN Document Server

    Capasso, Vincenzo; Nicosia, Giuseppe; Romano, Vittorio

    2016-01-01

    This book presents a collection of papers emphasizing applications of mathematical models and methods to real-world problems of relevance for industry, life science, environment, finance, and so on. The biannual Conference of ECMI (the European Consortium of Mathematics in Industry) held in 2014 focused on various aspects of industrial and applied mathematics. The five main topics addressed at the conference were mathematical models in life science, material science and semiconductors, mathematical methods in the environment, design automation and industrial applications, and computational finance. Several other topics have been treated, such as, among others, optimization and inverse problems, education, numerical methods for stiff pdes, model reduction, imaging processing, multi physics simulation, mathematical models in textile industry. The conference, which brought together applied mathematicians and experts from industry, provided a unique opportunity to exchange ideas, problems and methodologies...

  17. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  18. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  19. Multi-analytical study of historical semiconductor pigments

    International Nuclear Information System (INIS)

    Caporosso, V.

    2015-01-01

    This work is focused on the study of semiconductor-based pigments, which substituted traditional pigments in the second half of the 19. century. Synthetic semiconductor pigments may be chemically unstable due to the presence of many impurities unintentionally introduced during manufacturing. The aim of this work is to provide an insight on the application of X-ray Fluorescence (XRF) for the analysis of these painting materials, including both Cd- and Zn-based pigments. Three different approaches have been followed: the semi-quantitative analysis of samples with similar elemental composition, the complementary use of XRF and Raman spectroscopy for the analysis of elemental and molecular composition and the synchrotron-based XRF and XANES for the detection of impurities. The synergetic combination of different techniques provides information useful for the definition of specific markers for future analysis of paint-samples with implications for the conservation and treatment of late 19. and early 20. century paintings.

  20. Manufacture of Damascus steel: Metallographic study

    International Nuclear Information System (INIS)

    Criado, A.J.; Martinez, J.A.; Calabres, R.; Arias, D.

    1997-01-01

    Damascus Steel is the denomination that the Europeans gave to the material with which the musulman swords were manufactured during the Era of the Crusades. This hypereutectoid steel presents a high content in carbon more than 0.8%, and in some cases up to 2% in weight. The secret of its good mechanical characteristics is based in the hot forging process in the temperatures interval between 650 and 850 degree centigree. The final quenching in water brine or other aqueous solutions, confers to the swords manufactured with this steel a good resistance to its cutting edge and a high toughness. In the present investigation, the manufacture processes of this type of steel are studied. Electronic scanning microscopy has been applied to the study of materials manufactured by the authors following the ancient craftsmen methods of forging and quenching. (Author) 16 refs

  1. Ninth international conference on CANDU fuel, 'fuelling a clean future'

    International Nuclear Information System (INIS)

    2005-01-01

    The Canadian Nuclear Society's 9th International Conference on CANDU fuel took place in Belleville, Ontario on September 18-21, 2005. The theme for this year's conference was 'Fuelling a Clean Future' bringing together over 80 delegates ranging from: designers, engineers, manufacturers, researchers, modellers, safety specialists and managers to share the wealth of their knowledge and experience. This international event took place at an important turning point of the CANDU technology when new fuel design is being developed for commercial application, the Advanced CANDU Reactor is being considered for projects and nuclear power is enjoying a renaissance as the source energy for our future. Most of the conference was devoted to the presentation of technical papers in four parallel sessions. The topics of these sessions were: Design and Development; Fuel Safety; Fuel Modelling; Fuel Performance; Fuel Manufacturing; Fuel Management; Thermalhydraulics; and, Spent Fuel Management and Criticalty

  2. Optimization of broadband semiconductor chirped mirrors with genetic algorithm

    OpenAIRE

    Dems, M.; Wnuk, P.; Wasylczyk, P.; Zinkiewicz, L.; Wojcik-Jedlinska, A.; Reginski, K.; Hejduk, K.; Jasik, A.

    2016-01-01

    Genetic algorithm was applied for optimization of dispersion properties in semiconductor Bragg reflectors for applications in femtosecond lasers. Broadband, large negative group-delay dispersion was achieved in the optimized design: The group-delay dispersion (GDD) as large as −3500 fs2 was theoretically obtained over a 10-nm bandwidth. The designed structure was manufactured and tested, providing GDD −3320 fs2 over a 7-nm bandwidth. The mirror performance was ...

  3. Feasibility Study of Nanoscale Semiconductor Manufacture Using Thermal Dip Pen Nanolithography

    National Research Council Canada - National Science Library

    King, William P

    2006-01-01

    ...) for the purpose of nanoscale electronics manufacturing. In this project, we have demonstrated that using the thermal DPN technique that both indium metal, and semiconducting organic materials (PDDT, PVDF...

  4. Proceedings of the fifth international conference on CANDU fuel. V.1,2

    International Nuclear Information System (INIS)

    Lau, J.H.

    1997-01-01

    The First International Conference on CANDU Fuel was held in Chalk River in 1986. The CANDU Fuel community has gathered every three years since. The papers presented include topics on international experience, CANFLEX fuel bundles, Fuel design, Fuel modelling, Manufacturing and Quality assurance, Fuel performance and Safety, Fuel cycles and Spent Fuel management. Volume One was published in advance of the conference and Volume Two was printed after the conference

  5. Proceedings of the fifth international conference on CANDU fuel. V.1,2

    Energy Technology Data Exchange (ETDEWEB)

    Lau, J H [ed.

    1997-07-01

    The First International Conference on CANDU Fuel was held in Chalk River in 1986. The CANDU Fuel community has gathered every three years since. The papers presented include topics on international experience, CANFLEX fuel bundles, Fuel design, Fuel modelling, Manufacturing and Quality assurance, Fuel performance and Safety, Fuel cycles and Spent Fuel management. Volume One was published in advance of the conference and Volume Two was printed after the conference.

  6. Neural manufacturing: a novel concept for processing modeling, monitoring, and control

    Science.gov (United States)

    Fu, Chi Y.; Petrich, Loren; Law, Benjamin

    1995-09-01

    Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.

  7. The Electro-Federation holds its annual conference under the theme of convergence

    International Nuclear Information System (INIS)

    Anon.

    1998-01-01

    An outline of the program for the 1998 annual meeting of the Canadian Electro-Federation was presented. The meeting was held in Quebec City in June 1998 under the theme C onverging competencies: The channel of choice . The meeting was designed to provide relevant information to the participants on the topics of deregulation. The federation invited the participation of delegates from the Canadian Association of Electrical Manufacturers. One of the highlights of the conference was a panel discussion by industry experts on the topic of converging competencies. The conference also included the usual private meetings between manufacturers and distributors of electrical equipment

  8. An integrated semiconductor device enabling non-optical genome sequencing.

    Science.gov (United States)

    Rothberg, Jonathan M; Hinz, Wolfgang; Rearick, Todd M; Schultz, Jonathan; Mileski, William; Davey, Mel; Leamon, John H; Johnson, Kim; Milgrew, Mark J; Edwards, Matthew; Hoon, Jeremy; Simons, Jan F; Marran, David; Myers, Jason W; Davidson, John F; Branting, Annika; Nobile, John R; Puc, Bernard P; Light, David; Clark, Travis A; Huber, Martin; Branciforte, Jeffrey T; Stoner, Isaac B; Cawley, Simon E; Lyons, Michael; Fu, Yutao; Homer, Nils; Sedova, Marina; Miao, Xin; Reed, Brian; Sabina, Jeffrey; Feierstein, Erika; Schorn, Michelle; Alanjary, Mohammad; Dimalanta, Eileen; Dressman, Devin; Kasinskas, Rachel; Sokolsky, Tanya; Fidanza, Jacqueline A; Namsaraev, Eugeni; McKernan, Kevin J; Williams, Alan; Roth, G Thomas; Bustillo, James

    2011-07-20

    The seminal importance of DNA sequencing to the life sciences, biotechnology and medicine has driven the search for more scalable and lower-cost solutions. Here we describe a DNA sequencing technology in which scalable, low-cost semiconductor manufacturing techniques are used to make an integrated circuit able to directly perform non-optical DNA sequencing of genomes. Sequence data are obtained by directly sensing the ions produced by template-directed DNA polymerase synthesis using all-natural nucleotides on this massively parallel semiconductor-sensing device or ion chip. The ion chip contains ion-sensitive, field-effect transistor-based sensors in perfect register with 1.2 million wells, which provide confinement and allow parallel, simultaneous detection of independent sequencing reactions. Use of the most widely used technology for constructing integrated circuits, the complementary metal-oxide semiconductor (CMOS) process, allows for low-cost, large-scale production and scaling of the device to higher densities and larger array sizes. We show the performance of the system by sequencing three bacterial genomes, its robustness and scalability by producing ion chips with up to 10 times as many sensors and sequencing a human genome.

  9. Fiscal 1998 research achievement report. Development of key technology for high-efficiency semiconductor manufacturing process; 1998 nendo kokoritsu handotai seizo process kiban gijutsu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-05-01

    In the development of large-aperture/high-density plasma technology, research and development was carried out for balanced electron drift plasma technologies for uniform control of plasma density and the like, such as an excited plasma source and plasma drift to enable wide-range plasma generation in a chamber. In the development of high-efficiency exposure technology, studies were made for stable generation and control of short wavelength excimer laser and for higher-speed large-aperture mask writing by use of an electron beam. In the development of higher-speed processing and energy-efficient technologies, research and development was conducted involving probe card technology for increasing the speed of semiconductor inspection, software-aided virtual tester technology, local energy-efficient cleaning technology in wafer processing and transportation, sheet-type flexible manufacturing system, and the like. (NEDO)

  10. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  11. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  12. High-z semiconductor nuclear radiation detectors for room-temperature gamma-ray spectrometry

    International Nuclear Information System (INIS)

    Bornand, Bernard; Friant, Alain.

    1978-09-01

    A bibliographical review (182 articles of periodicals, conferences, reports, thesis and french patents) is presented, as addendum of the report CEA-BIB-210 (1974) on high-Z semiconductor compounds used as materials for the gamma and X-ray detection and spectrometry. This publication reviews issues from 1974 to 1977. References and summaries (in french) are incorporated into 182 bibliograhical notices. Index for authors, corporate authors, documents and periodicals, and subjects is included [fr

  13. Evolutionary fuzzy ARTMAP neural networks for classification of semiconductor defects.

    Science.gov (United States)

    Tan, Shing Chiang; Watada, Junzo; Ibrahim, Zuwairie; Khalid, Marzuki

    2015-05-01

    Wafer defect detection using an intelligent system is an approach of quality improvement in semiconductor manufacturing that aims to enhance its process stability, increase production capacity, and improve yields. Occasionally, only few records that indicate defective units are available and they are classified as a minority group in a large database. Such a situation leads to an imbalanced data set problem, wherein it engenders a great challenge to deal with by applying machine-learning techniques for obtaining effective solution. In addition, the database may comprise overlapping samples of different classes. This paper introduces two models of evolutionary fuzzy ARTMAP (FAM) neural networks to deal with the imbalanced data set problems in a semiconductor manufacturing operations. In particular, both the FAM models and hybrid genetic algorithms are integrated in the proposed evolutionary artificial neural networks (EANNs) to classify an imbalanced data set. In addition, one of the proposed EANNs incorporates a facility to learn overlapping samples of different classes from the imbalanced data environment. The classification results of the proposed evolutionary FAM neural networks are presented, compared, and analyzed using several classification metrics. The outcomes positively indicate the effectiveness of the proposed networks in handling classification problems with imbalanced data sets.

  14. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  15. REVIEW OF FLEXIBLE MANUFACTURING SYSTEM BASED ON MODELING AND SIMULATION

    Directory of Open Access Journals (Sweden)

    SAREN Sanjib Kumar

    2016-05-01

    Full Text Available This paper focused on the literature survey of the use of flexible manufacturing system design and operation problems on the basis of simulation tools and their methodology which has been widely used for manufacturing system design and analysis. During this period, simulation has been proving to be an extremely useful analysis and optimization tool, and many articles, papers, and conferences have focused directly on the topic. This paper presents a scenario the use of simulation tools and their methodology in flexible manufacturing system from a period 1982 to 2015.

  16. Semiconductor nanostructures for artificial photosynthesis

    Science.gov (United States)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  17. 28th International Conference on CAD/CAM, Robotics and Factories of the Future

    CERN Document Server

    Syan, Chanan

    2016-01-01

    This volume is based on the proceedings of the 28th International Conference on CAD/CAM, Robotics and Factories of the Future. This book specially focuses on the positive changes made in the field of robotics, CAD/CAM and future outlook for emerging manufacturing units. Some of the important topics discussed in the conference are product development and sustainability, modeling and simulation, automation, robotics and handling systems, supply chain management and logistics, advanced manufacturing processes, human aspects in engineering activities, emerging scenarios in engineering education and training. The contents of this set of proceedings will prove useful to both researchers and practitioners.

  18. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  19. Incorporating climate change into corporate business strategies. Conference proceedings

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-12-31

    This document contains the papers presented at the International Climate Change Conference and Technologies Exhibition June 12-13, 1997. Topics include energy supply and electricity generation; forestry and agriculture; and the chemical, energy, and manufacturing industries.

  20. 5th International Conference on Sustainable Automotive Technologies

    CERN Document Server

    Subic, Aleksandar; Trufin, Ramona

    2014-01-01

    This book captures selected peer reviewed papers presented at the 5th International Conference on Sustainable Automotive Technologies, ICSAT 2013, held in Ingolstadt, Germany. ICSAT is the state-of-the-art conference in the field of new technologies for transportation. The book brings together the work of international researchers and practitioners under the following interrelated headings: fuel transportation and storage, material recycling, manufacturing and management costs, engines and emission reduction. The book provides a very good overview of research and development activities focused on new technologies and approaches capable of meeting the challenges to sustainable mobility. About the Editors: Prof. Dr. Jörg Wellnitz is the Dean of the Faculty of Mechanical Engineering, Technische Hochschule Ingolstadt, Germany. Prof. Dr. Aleksandar Subic is the Head of the School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Australia. Ramona Trufin, M.A. is the coordinator of the Facul...

  1. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  2. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  3. Proceedings of the 2. CDEN design conference

    International Nuclear Information System (INIS)

    Yellowley, I.; Gu, P.

    2005-01-01

    The Canadian Design Engineering Network (CDEN) promotes best practices in engineering design. This annual conference, which provided a forum to exchange ideas and experiences in engineering design, included 2 roundtable discussions on design and innovation in Canadian engineering schools, and design considerations in the accreditation of engineering programs. The conference also featured a student design competition. The main topics of the conference were design education, design for innovation and design practices. Within the topic of design education, the following themes were addressed: design curriculum; design projects and cases; emerging design education tools and techniques; evaluation metrics and techniques in design education; web-based education resources; and, multi-disciplinary design education. Within the topic of design for innovation, the following themes were addressed: technological innovation and creativity; product design; process design; adaptable design; platform and product architecture design; modular design; life cycle issues, reliability, serviceability and disposal/recycling; sustainable design and development; design for manufacturing, construction, services, and the environment; design for life, health and fitness; design methodologies, tools and techniques; distributed design activities and teams; and, web-based design and collaborative design and tools. Within the topic of design practice, the following themes were addressed: engineering, procurement and construction industry; design in auto manufacturing, aerospace industry and high-tech industry; engineering project management; design for sustainability and environmental practices; professional development in engineering design; and, current and future industrial practice trends. The conference featured 30 presentations, of which 5 have been catalogued separately for inclusion in this database. refs., tabs., figs

  4. Filter optimization of Si and SiC semiconductor-based H5 and Conergy-NPC transformerless PV inverters

    DEFF Research Database (Denmark)

    Saridakis, Stefanos; Koutroulis, Eftichios; Blaabjerg, Frede

    2013-01-01

    Single-phase transformerless Photovoltaic (PV) inverters are synthesized by combining available solutions in terms of the power section topology, power semiconductors manufacturing technology and structure of the output filter. A design method is presented in this paper for optimizing the power......C-based PV inverters will inject more energy into the electric grid, compared to the Si-based structures and enable the reduction of the output filter size, weight and cost. Employing an LLCL-type output filter and simultaneously reducing the cost of SiC power semiconductors to the level of their Si...

  5. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  6. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  7. 77 FR 64462 - Conference on International Trade Issues Facing U.S. Machinery Manufacturing Industries

    Science.gov (United States)

    2012-10-22

    ... manufacturing is one of the largest and most competitive sectors of the American manufacturing economy. The... billion in 2011. The economic impact of machinery manufacturing extends throughout the American economy... service industries. Process control and other automation technologies enable end-users to maximize the...

  8. Proceedings of the twenty-first DOE solar photochemistry research conference

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-06-01

    The Solar Photochemistry Research Conference brings together grantees and contractors of the Division of Chemical Sciences who are engaged in fundamental research on solar photochemical energy conversion. The annual conference provides a focus for the program by allowing for the exchange of new information and ideas, identification of needs and opportunities, and fostering of collaborations among investigators of disparate chemistry backgrounds. The synergy that has been achieved is a major strength of the program. The research provides the foundations for future solar technologies, in which light-induced charge separation processes will be applied to conversion of light energy to chemical energy, e.g., production of alcohols from carbon dioxide, hydrogen from water, ammonia from atmospheric nitrogen, or other needed chemicals at lower cost of by using sunlight as the energy source. The program includes topical sessions on semiconductor nanoparticles, nanocrystalline films, and photoinduced charge separation at the semiconductor/liquid interface; photochemistry and photophysics of transition metal complexes; photoinduced charge separation in zeolites and lamellar assemblies; intramolecular charge separation and electron transfer; dynamics of solvation and solution interfaces; and photoconversion via porphyrins and biomimetic constructs. The special guest plenary lecturer is Professor Moungi Bawendi of the Massachusetts Institute of Technology, who spoke on semiconductor nanocrystallites (quantum dots). As an added feature, Tom Surek, the Photovoltaics Technology program manager at NREL, presented a status report on one of the most promising and heavily supported programs in solar energy conversion technology, solid state photovoltaics. This volume contains the agenda for the meeting, abstracts of the 31 formal presentations and 55 posters, as well as an address list for the 111 participants.

  9. Selected Contributions of the 4th International Conference on Semiconductor Photochemistry (5P4) Introduction.

    Czech Academy of Sciences Publication Activity Database

    Krýsa, J.; Klusoň, Petr; Malato, S.

    2014-01-01

    Roč. 230, JUL 2014 (2014), s. 1 ISSN 0920-5861 Grant - others:SMEC(ES) CTQ2012-38754-C03-01 Institutional support: RVO:67985858 Keywords : semiconductor photochemistry * catalysis * scientific applications Subject RIV: CC - Organic Chemistry OBOR OECD: Organic chemistry Impact factor: 3.893, year: 2014

  10. Feature scale modeling for etching and deposition processes in semiconductor manufacturing

    International Nuclear Information System (INIS)

    Pyka, W.

    2000-04-01

    Simulation of etching and deposition processes as well as three-dimensional geometry generation are important issues in state of the art TCAD applications. Three-dimensional effects are gaining importance for semiconductor devices and for their interconnects. Therefore a strictly physically based simulation of their topography is required. Accurate investigation of single etching and deposition processes has become equally important as process integration. Within this context several aspects of three-dimensional topography simulation have been covered by this thesis and new and interesting results have been achieved in various areas. The algorithmic core of the cell-based structuring element surface propagation method has been optimized and has been eliminated from its position as factor which predominantly determines the required CPU time. In parallel with investigated optimization techniques and required by various process models, the implementation of the surface normal calculation and the special handling of voids and unconnected parts of the geometry has been completed in three dimensions. A process-step-based solid modeling tool which incorporates layout data as well as aerial image simulation has been supplied. It can be coupled with the topography simulation and includes simple geometrically based models for CMP and oxidation. In the presented combination, the tool makes use of the design information stored in the layout file, combines it with the manufacturing recipe, and hence is extremely helpful for the automatic generation of three-dimensional structures. Its usefulness has been proven with several interconnect examples. Regarding topography models, resist development not only turned out to be very helpful for predicting exposed and etched resist profiles within a rigorous lithography simulation, but, by means of benchmark examples, also demonstrated the extraordinary stability of the proposed cellular surface movement algorithm. With respect to

  11. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  12. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  13. 8th Czechoslovak spectroscopic conference. Abstracts

    International Nuclear Information System (INIS)

    1988-01-01

    Volume 3 of the conference proceedings contains abstracts of 17 invited papers, 101 poster presentations and 7 papers of instrument manufacturers, devoted to special spectroscopic techniques including X-ray microanalysis, X-ray spectral analysis, Moessbauer spectrometry, mass spectrometry, instrumental activation analysis and other instrumental radioanalytical methods, electron spectrometry, and techniques of environmental analysis. Sixty abstracts were inputted in INIS. (A.K.)

  14. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  15. Manufacture of Radio Frequency Micromachined Switches with Annealing

    OpenAIRE

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-01

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspe...

  16. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  17. PROCEEDINGS OF THE 1999 OIL HEAT TECHNOLOGY CONFERENCE AND WORKSHOP.

    Energy Technology Data Exchange (ETDEWEB)

    MCDONALD,R.J.

    1999-04-01

    The 1999 Oil Heat Technology Conference and Workshop, April 15-16 at Brookhaven National Laboratory (BNL) is sponsored by the U. S. Department of Energy, Office of Building Technology, State and Community Programs (DOEBTS). The meeting is also co-sponsored by the: Petroleum Marketers Association of America, New England Fuel Institute, Oilheat Manufacturers Association, National Association of Oil Heat Service Managers, New York State Energy Research and Development Authority, Empire State Petroleum Association, New York Oil Heating Association, Oil Heat Institute of Long Island, and the Pennsylvania Petroleum Association. BNL is proud to acknowledge all of our 1999 co-sponsors, without their help and support the conference would have been canceled due to budget restrictions. It is quite gratifying to see an industry come together to help support an activity like the technology conference, for the benefit of the industry as a whole. The 1999 Oil Heat Technology Conference and Workshop, will be the thirteenth since 1984, is a very valuable technology transfer activity supported by the ongoing Combustion Equipment Technology (Oilheat R and D) program at BNL. The foremost reason for the conference is to provide a platform for the exchange of information and perspectives among international researchers, engineers, manufacturers, service technicians, and marketers of oil-fired space-conditioning equipment. They will provide a conduit by which information and ideas can be exchanged to examine present technologies, as well as helping to develop the future course for oil heating advancement. These conferences also serve as a stage for unifying government representatives, researchers, fuel oil marketers, and other members of the oil-heat industry in addressing technology advancements in this important energy use sector.

  18. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  19. Do Mendeley reader counts reflect the scholarly impact of conference papers? An investigation of Computer Science and Engineering fields

    Energy Technology Data Exchange (ETDEWEB)

    Aduku, K.J.; Thelwall, M.; Kousha, K.

    2016-07-01

    Counts of Mendeley readers may give useful evidence about the impact of research. Although several studies have indicated that there are significant positive correlations between counts of Mendeley readers and citation counts for journal articles, it is not known how the pattern of association may vary between journal articles and conference papers. To fill this gap, Mendeley readership data and Scopus citation counts were extracted for both journal articles and conference papers published in 2011 in four fields for which conferences are important; Computer Science Applications, Computer Software, Building & Construction Engineering and Industrial & Manufacturing Engineering. Mendeley readership counts were found to correlate moderately with citation counts for both journal articles and conference papers in Computer Science Applications and Computer Software. Nevertheless, the correlations were much lower between Mendeley readers and citation counts for conference papers than for journal articles in Building & Construction Engineering and Industrial & Manufacturing Engineering. Hence, there seems to be disciplinary differences in the usefulness of Mendeley readership counts as impact indicators for conference papers, even between fields for which conferences are important. (Author)

  20. 5th International and 26th All India Manufacturing Technology, Design and Research Conference

    CERN Document Server

    Dixit, Uday

    2015-01-01

    This edited book contains extended research papers from AIMTDR 2014. This includes recent research work in the fields of friction stir welding, sheet forming, joining and forming, modeling and simulation, efficient prediction strategies, micro-manufacturing, sustainable and green manufacturing issues etc. This will prove useful to students, researchers, and practitioners in the field of materials forming and manufacturing.

  1. The use of GaSe semiconductor detectors for monitoring high energy muon beams

    CERN Document Server

    Mancini, A M; Murri, R; Quirini, A; Rizzo, A; Vasanelli, L

    1976-01-01

    GaSe semiconductor detectors have been successfully tested during one year for monitoring muon beams in the GeV range in the neutrino experiment at CERN. Their performances are comparable with those of commercial Si surface barrier detectors for this particular application. Crystal growth, detector fabrication and characterization are briefly described. Various advantages (cost, ruggedness, resistance to radiation damage, manufacturing simplicity, etc.) are discussed. (8 refs).

  2. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  3. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  4. 5th International Conference on Changeable, Agile, Reconfigurable and Virtual Production

    CERN Document Server

    2014-01-01

    The changing manufacturing environment requires more responsive and adaptable manufacturing systems. The theme of the 5th International Conference on Changeable, Agile, Reconfigurable and Virtual production (CARV2013) is "Enabling Manufacturing Competitiveness and Economic Sustainability. Leading edge research and best implementation practices and experiences, which address these important issues and challenges, are presented. The proceedings include advances in manufacturing systems design, planning, evaluation, control and evolving paradigms such as mass customization, personalization, changeability, re-configurability and flexibility. New and important concepts such as the dynamic product families and platforms, co-evolution of products and systems, and methods for enhancing manufacturing systems' economic sustainability and prolonging their life to produce more than one product generation are treated. Enablers of change in manufacturing systems, production volume and capability, scalability and managing t...

  5. 2005 annual nuclear technology conference

    International Nuclear Information System (INIS)

    Anon.

    2005-01-01

    This year's Annual Nuclear Technology Conference of the Deutsches Atomforum and Kerntechnische Gesellschaft was held in Nuremberg on May 10-12, 2005. More than 1 100 participants from eighteen countries make this specialized event one of the largest international conventions in the field of the peaceful uses of nuclear power, whose attendance has steadily increased over the past few years. The first day of the conference was devoted to plenary lectures traditionally dealing mainly with political and economic problems of the use of nuclear power. The partner country of JK 2005 was Switzerland. Traditionally, the program of the three-day conference was organized in the proven format of plenary sessions on the first day, followed by technical sessions, specialized sessions, poster sessions, and special events on the following days. For the third time, the ''Nuclear Campus'' was organized which successfully made the world of nuclear technology transparent to high school and university students in lectures and an exhibition. The meeting was accompanied by a technical exhibition with meeting points of manufacturers, suppliers, and service industries. (orig.)

  6. International conference on Advances in Engineering Technologies and Physical Science

    CERN Document Server

    Ao, Sio-Iong; Rieger, Burghard; IAENG Transactions on Engineering Technologies : Special Edition of the World Congress on Engineering and Computer Science 2011

    2013-01-01

    This volume contains thirty revised and extended research articles written by prominent researchers participating in an international conference in engineering technologies and physical science and applications. The conference serves as good platforms for the engineering community to meet with each other and to exchange ideas. The conference has also struck a balance between theoretical and application development. The conference is truly international meeting with a high level of participation from many countries. Topics covered include chemical engineering, circuits, communications systems, control theory, engineering mathematics, systems engineering, manufacture engineering, and industrial applications. The book offers the state of art of tremendous advances in engineering technologies and physical science and applications, and also serves as an excellent reference work for researchers and graduate students working with/on engineering technologies and physical science and applications.

  7. Proceedings: Cooling tower and advanced cooling systems conference

    International Nuclear Information System (INIS)

    1995-02-01

    This Cooling Tower and Advanced Cooling Systems Conference was held August 30 through September 1, 1994, in St. Petersburg, Florida. The conference was sponsored by the Electric Power Research Institute (EPRI) and hosted by Florida Power Corporation to bring together utility representatives, manufacturers, researchers, and consultants. Nineteen technical papers were presented in four sessions. These sessions were devoted to the following topics: cooling tower upgrades and retrofits, cooling tower performance, cooling tower fouling, and dry and hybrid systems. On the final day, panel discussions addressed current issues in cooling tower operation and maintenance as well as research and technology needs for power plant cooling. More than 100 people attended the conference. This report contains the technical papers presented at the conference. Of the 19 papers, five concern cooling tower upgrades and retrofits, five to cooling tower performance, four discuss cooling tower fouling, and five describe dry and hybrid cooling systems. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database

  8. Dual-Energy Semiconductor Detector of X-rays and Gamma Radiation

    Directory of Open Access Journals (Sweden)

    Brodyn, M.S.

    2014-03-01

    Full Text Available Analysis of the major types of ionizing radiation detectors, their advantages and disadvantages are presented. Application of ZnSe-based semiconductor detector in high temperature environment is substantiated. Different forms of ZnSe-based detector samples and double-crystal scheme for registration of X- and gamma rays in a broad energy range were used . Based on the manufactured simulator device, the study sustains the feasibility of the gamma quanta recording by a high-resistance ZnSe-based detector operating in a perpulse mode.

  9. PREFACE: The Irago Conference 2012

    Science.gov (United States)

    Sandhu, Adarsh; Okada, Hiroshi

    2013-04-01

    The Irago Conference 2012 - 360 degree outlook on critical scientific and technological challenges for a sustainable society Organized by the Electronics-Inspired Interdisciplinary Research Institute (EIIRIS) at Toyohashi University of Technology, the Irago Conference, held recently (15-16 November) in Aichi, Japan, aimed to enhance mutual understanding between scientists, engineers and policymakers. Over 180 participants tackled topics ranging from energy and natural resources to public health and disaster prevention. The 360-degree outlook of the conference impressed speakers and guests. ''This conference has been extremely informative,'' noted Robert Gellar from the University of Tokyo. ''A unique conference with experts from a range of backgrounds,'' agreed Uracha Ruktanonchai from the National Nanotechnology Center (NANOTEC) in Thailand. Similarly, G P Li, professor of electrical engineering and computer science at the University of California Irvine commented that he had been ''able to think the unthinkable'' as a range of topics came together. The conference was streamed live on Ustream to ensure that researchers from across the world could benefit from thought-provoking presentations examining global issues such as energy, disaster mitigation and nanotechnology. ''This was wonderful,'' said Oussama Khatib from Stanford University, ''A good recipe of speakers from such a range of backgrounds.'' Manuscripts submitted to the organizers were peer-reviewed, and the papers in this proceedings were accepted for Journal of Physics: Conference Series. In addition to the formal speaker programme, graduate-student sessions provided a platform for graduate students to describe their latest findings as oral presentations. A series of excursions to relevant locations, such as the Tahara megasolar region under construction and a local car-manufacturing factory, gave participants the opportunity to further consider practical applications of their research in industry

  10. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  11. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  12. Defect-driven interfacial electronic structures at an organic/metal-oxide semiconductor heterojunction.

    Science.gov (United States)

    Winget, Paul; Schirra, Laura K; Cornil, David; Li, Hong; Coropceanu, Veaceslav; Ndione, Paul F; Sigdel, Ajaya K; Ginley, David S; Berry, Joseph J; Shim, Jaewon; Kim, Hyungchui; Kippelen, Bernard; Brédas, Jean-Luc; Monti, Oliver L A

    2014-07-16

    The electronic structure of the hybrid interface between ZnO and the prototypical organic semiconductor PTCDI is investigated via a combination of ultraviolet and X-ray photoelectron spectroscopy (UPS/XPS) and density functional theory (DFT) calculations. The interfacial electronic interactions lead to a large interface dipole due to substantial charge transfer from ZnO to 3,4,9,10-perylenetetracarboxylicdiimide (PTCDI), which can be properly described only when accounting for surface defects that confer ZnO its n-type properties. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  14. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  15. Technology 2002: The Third National Technology Transfer Conference and Exposition, volume 1

    International Nuclear Information System (INIS)

    Hackett, M.

    1993-02-01

    The proceedings from the conference are presented. The topics covered include the following: computer technology, advanced manufacturing, materials science, biotechnology, and electronics. Separate abstracts have been prepared for articles from this report

  16. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  17. A Nonlinear Growth Analysis of Integrated Device Manufacturers’ Evolution to the Nanotechnology Manufacturing Outsourcing

    Directory of Open Access Journals (Sweden)

    Hung-Chi Hsiao

    2012-04-01

    Full Text Available With the increasing cost of setting up a semiconductor fabrication facility, coupled with significant costs of developing a leading nanotechnology process, aggressive outsourcing (asset-light business models via working more closely with foundry companies is how semiconductor manufacturing firms are looking to strengthen their sustainable competitive advantages. This study aims to construct a market intelligence framework for developing a wafer demand forecasting model based on long-term trend detection to facilitate decision makers in capacity planning. The proposed framework modifies market variables by employing inventory factors and uses a top-down forecasting approach with nonlinear least square method to estimate the forecast parameters. The nonlinear mathematical approaches could not only be used to examine forecasting performance, but also to anticipate future growth of the semiconductor industry. The results demonstrated the practical viability of this long-term demand forecast framework.

  18. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  19. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals.

    Science.gov (United States)

    Niazi, Muhammad R; Li, Ruipeng; Qiang Li, Er; Kirmani, Ahmad R; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M; Anthony, John E; Smilgies, Detlef-M; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P; Amassian, Aram

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm(2) V(-1) s(-1), low threshold voltages oforganic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  20. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  1. Application of laser spot cutting on spring contact probe for semiconductor package inspection

    Science.gov (United States)

    Lee, Dongkyoung; Cho, Jungdon; Kim, Chan Ho; Lee, Seung Hwan

    2017-12-01

    A packaged semiconductor has to be electrically tested to make sure they are free of any manufacturing defects. The test interface, typically employed between a Printed Circuit Board and the semiconductor devices, consists of densely populated Spring Contact Probe (SCP). A standard SCP typically consists of a plunger, a barrel, and an internal spring. Among these components, plungers are manufactured by a stamping process. After stamping, plunger connecting arms need to be cut into pieces. Currently, mechanical cutting has been used. However, it may damage to the body of plungers due to the mechanical force engaged at the cutting point. Therefore, laser spot cutting is considered to solve this problem. The plunger arm is in the shape of a rectangular beam, 50 μm (H) × 90 μm (W). The plunger material used for this research is gold coated beryllium copper. Laser parameters, such as power and elapsed time, have been selected to study laser spot cutting. Laser material interaction characteristics such as a crater size, material removal zone, ablation depth, ablation threshold, and full penetration are observed. Furthermore, a carefully chosen laser parameter (Etotal = 1000mJ) to test feasibility of laser spot cutting are applied. The result show that laser spot cutting can be applied to cut SCP.

  2. 2nd International Conference on Mechatronics and Robotics Engineering

    CERN Document Server

    Wei, Bin

    2017-01-01

    Featuring selected contributions from the 2nd International Conference on Mechatronics and Robotics Engineering, held in Nice, France, February 18–19, 2016, this book introduces recent advances and state-of-the-art technologies in the field of advanced intelligent manufacturing. This systematic and carefully detailed collection provides a valuable reference source for mechanical engineering researchers who want to learn about the latest developments in advanced manufacturing and automation, readers from industry seeking potential solutions for their own applications, and those involved in the robotics and mechatronics industry.

  3. 4th International Conference in Network Analysis

    CERN Document Server

    Koldanov, Petr; Pardalos, Panos

    2016-01-01

    The contributions in this volume cover a broad range of topics including maximum cliques, graph coloring, data mining, brain networks, Steiner forest, logistic and supply chain networks. Network algorithms and their applications to market graphs, manufacturing problems, internet networks and social networks are highlighted. The "Fourth International Conference in Network Analysis," held at the Higher School of Economics, Nizhny Novgorod in May 2014, initiated joint research between scientists, engineers and researchers from academia, industry and government; the major results of conference participants have been reviewed and collected in this Work. Researchers and students in mathematics, economics, statistics, computer science and engineering will find this collection a valuable resource filled with the latest research in network analysis.

  4. Proceedings of the 4th Conference on Aerospace Materials, Processes, and Environmental Technology

    Science.gov (United States)

    Griffin, D. E. (Editor); Stanley, D. C. (Editor)

    2001-01-01

    The next millennium challenges us to produce innovative materials, processes, manufacturing, and environmental technologies that meet low-cost aerospace transportation needs while maintaining US leadership. The pursuit of advanced aerospace materials, manufacturing processes, and environmental technologies supports the development of safer, operational, next-generation, reusable, and expendable aeronautical and space vehicle systems. The Aerospace Materials, Processes, and Environmental Technology Conference (AMPET) provided a forum for manufacturing, environmental, materials, and processes engineers, scientists, and managers to describe, review, and critically assess advances in these key technology areas.

  5. 2013 International Conference on Mechatronics and Automatic Control Systems

    CERN Document Server

    2014-01-01

    This book examines mechatronics and automatic control systems. The book covers important emerging topics in signal processing, control theory, sensors, mechanic manufacturing systems and automation. The book presents papers from the 2013 International Conference on Mechatronics and Automatic Control Systems held in Hangzhou, China on August 10-11, 2013. .

  6. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  7. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  8. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  9. Second international conference on infrared physics. Proceedings [ETH Zurich, March 5-9, 1979

    Energy Technology Data Exchange (ETDEWEB)

    Affolter, E.; Kneubuehl, F. [eds.

    1979-07-01

    Twenty-one invited papers and nearly 100 contributed papers were presented at this conference on such topics as laser physics, ir detection, spectroscopy, solid state physics, astrophysics, atmospheric physics, and applications of ir lasers in chemistry, medicine, and car manufacture. Two of the papers given at the conference have already been entered into the TIC data base; these papers can be located by means of the entry CONF-790355--. (RWR)

  10. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  11. The Effects of Interdependence and Cooperative Behaviors on Buyer’s Satisfaction in the Semiconductor Component Supply Chain

    Directory of Open Access Journals (Sweden)

    Fan-Yun Pai

    2015-12-01

    Full Text Available The semiconductor industry is characterized by extreme competition in price and product features. Firms need to acquire or exchange resources with their supplier or buyer partners to stay at the leading edge of technology. Cooperation between buyers and suppliers is important and power is the mechanism that can explain the cooperative behaviors. This study aims to investigate how the power structure between the buyer and supplier influences the extent of suppliers’ cooperative behaviors, and the effects of these on buyer satisfaction with the buyer-supplier relationship. Opinions from firms in semiconductor manufacturing supply chain were used to investigate the proposed model. It is found that mutual interdependence between a supplier and its buyer can enhance cooperative behaviors and power asymmetry hurt firms’ investment in cooperative behaviors. Suggestions are then provided to semiconductor supply chain members based on the findings of this work.

  12. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  13. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  14. Manufacturing Demonstration Facility: Roll-to-Roll Processing

    Energy Technology Data Exchange (ETDEWEB)

    Datskos, Panos G [ORNL; Joshi, Pooran C [ORNL; List III, Frederick Alyious [ORNL; Duty, Chad E [ORNL; Armstrong, Beth L [ORNL; Ivanov, Ilia N [ORNL; Jacobs, Christopher B [ORNL; Graham, David E [ORNL; Moon, Ji Won [ORNL

    2015-08-01

    This Manufacturing Demonstration Facility (MDF)e roll-to-roll processing effort described in this report provided an excellent opportunity to investigate a number of advanced manufacturing approaches to achieve a path for low cost devices and sensors. Critical to this effort is the ability to deposit thin films at low temperatures using nanomaterials derived from nanofermentation. The overarching goal of this project was to develop roll-to-roll manufacturing processes of thin film deposition on low-cost flexible substrates for electronics and sensor applications. This project utilized ORNL s unique Pulse Thermal Processing (PTP) technologies coupled with non-vacuum low temperature deposition techniques, ORNL s clean room facility, slot dye coating, drop casting, spin coating, screen printing and several other equipment including a Dimatix ink jet printer and a large-scale Kyocera ink jet printer. The roll-to-roll processing project had three main tasks: 1) develop and demonstrate zinc-Zn based opto-electronic sensors using low cost nanoparticulate structures manufactured in a related MDF Project using nanofermentation techniques, 2) evaluate the use of silver based conductive inks developed by project partner NovaCentrix for electronic device fabrication, and 3) demonstrate a suite of low cost printed sensors developed using non-vacuum deposition techniques which involved the integration of metal and semiconductor layers to establish a diverse sensor platform technology.

  15. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  16. Materials, Processes, and Facile Manufacturing for Bioresorbable Electronics: A Review.

    Science.gov (United States)

    Yu, Xiaowei; Shou, Wan; Mahajan, Bikram K; Huang, Xian; Pan, Heng

    2018-05-07

    Bioresorbable electronics refer to a new class of advanced electronics that can completely dissolve or disintegrate with environmentally and biologically benign byproducts in water and biofluids. They have provided a solution to the growing electronic waste problem with applications in temporary usage of electronics such as implantable devices and environmental sensors. Bioresorbable materials such as biodegradable polymers, dissolvable conductors, semiconductors, and dielectrics are extensively studied, enabling massive progress of bioresorbable electronic devices. Processing and patterning of these materials are predominantly relying on vacuum-based fabrication methods so far. However, for the purpose of commercialization, nonvacuum, low-cost, and facile manufacturing/printing approaches are the need of the hour. Bioresorbable electronic materials are generally more chemically reactive than conventional electronic materials, which require particular attention in developing the low-cost manufacturing processes in ambient environment. This review focuses on material reactivity, ink availability, printability, and process compatibility for facile manufacturing of bioresorbable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Integration of a nonmetallic electrostatic precipitator and a wet scrubber for improved removal of particles and corrosive gas cleaning in semiconductor manufacturing industries.

    Science.gov (United States)

    Kim, Hak-Joon; Han, Bangwoo; Kim, Yong-Jin; Yoa, Seok-Jun; Oda, Tetsuji

    2012-08-01

    To remove particles in corrosive gases generated by semiconductor industries, we have developed a novel non-metallic, two-stage electrostatic precipitator (ESP). Carbon brush electrodes and grounded carbon fiber-reinforced polymer (CFRP) form the ionization stage, and polyvinyl chloride collection plates are used in the collection stage of the ESP The collection performance of the ESP downstream of a wet scrubber was evaluated with KC1, silica, and mist particles (0.01-10 pm), changing design and operation parameters such as the ESP length, voltage, and flow rate. A long-term and regeneration performance (12-hr) test was conducted at the maximum operation conditions of the scrubber and ESP and the performance was then demonstrated for 1 month with exhaust gases from wet scrubbers at the rooftop of a semiconductor manufacturing plant in Korea. The results showed that the electrical and collection performance of the ESP (16 channels, 400x400 mm2) was maintained with different grounded plate materials (stainless steel and CFRP) and different lengths of the ionization stage. The collection efficiency of the ESP at high air velocity was enhanced with increases in applied voltages and collection plate lengths. The ESP (16 channels with 100 mm length, 400x400 mm2x540 mm with a 10-mm gap) removed more than 90% of silica and mistparticles with 10 and 12 kV applied to the ESPat the air velocity of 2 m/s and liquid-to-gas ratio of 3.6 L/m3. Decreased performance after 13 hours ofcontinuous operation was recovered to the initial performance level by 5 min of water washing. Moreover during the 1-month operation at the demonstration site, the ESP showed average collection efficiencies of 97% based on particle number and 92% based on total particle mass, which were achieved with a much smaller specific corona power of 0.28 W/m3/hr compared with conventional ESPs.

  18. Proceedings of CanWEA's 23. annual conference

    International Nuclear Information System (INIS)

    2007-01-01

    This conference was attended by wind turbine manufacturers, component suppliers, wind energy project developers, utilities and a broad range of service providers to the wind power industry. It provided a forum to identify key issues facing the wind power industry and the measures that must be taken if Canada is to meet the targets and objectives established for wind energy by federal and provincial governments. It is anticipated that the rapid growth in the wind power industry will help create jobs and training opportunities as well as provide economic benefits to local communities. The conference was divided into 3 streams, namely policy; business and development; and technical. Alberta policy and Quebec policy issues were discussed on the first day of the conference along with project financing issues and operations/maintenance of wind farms. Wind in cold climates was also discussed along with wind and grid reliability issues. Policy developments in western Canada, Atlantic Canada and Ontario were discussed on the second day of the conference along with wind farm siting issues, small wind projects under 10 MW, wind resource assessment, performance measurement, and advances in wind forecasting. Municipal involvement in wind projects was discussed on the final day of the conference along with wind integration with conventional grids and the need for trained service technicians in the Canadian wind industry. Forty presentations from the conference have been catalogued separately for inclusion in this database

  19. Institute of Industrial Engineers Asian Conference 2013

    CERN Document Server

    Tsao, Yu-Chung; Lin, Shi-Woei

    2013-01-01

    This book is based on the research papers presented during The Institute of Industrial Engineers Asian Conference 2013 held at Taipei in July 2013. It presents information on the most recent and relevant research, theories and practices in industrial and systems engineering. Key topics include: Engineering and Technology Management Engineering Economy and Cost Analysis Engineering Education and Training Facilities Planning and Management Global Manufacturing and Management Human Factors Industrial & Systems Engineering Education Information Processing and Engineering Intelligent Systems Manufacturing Systems Operations Research Production Planning and Control Project Management Quality Control and Management Reliability and Maintenance Engineering Safety, Security and Risk Management Supply Chain Management Systems Modeling and Simulation Large scale complex systems.

  20. Proceedings of the 2008 growing inclusive markets conference : business works for development

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2008-07-01

    This conference provided a forum for researchers, educators and representatives from the international development community to discuss methods of creating and sustaining inclusive markets in developing countries. The aim of the conference was to foster relationship-building and project-building skills in developing and emerging markets, with a focus on grassroots private sector development and entrepreneurship. New policies, and communications strategies were discussed, and technology innovations were presented. Banking products and services for micro- and small-scale entrepreneurs were evaluated. Sustainable manufacturing enterprises were presented, and various micro-credit enterprises were discussed. The conference featured 32 presentations, of which 2 have been catalogued separately for inclusion in this database. tabs., figs.

  1. Proceedings of the 2008 growing inclusive markets conference : business works for development

    International Nuclear Information System (INIS)

    2008-01-01

    This conference provided a forum for researchers, educators and representatives from the international development community to discuss methods of creating and sustaining inclusive markets in developing countries. The aim of the conference was to foster relationship-building and project-building skills in developing and emerging markets, with a focus on grassroots private sector development and entrepreneurship. New policies, and communications strategies were discussed, and technology innovations were presented. Banking products and services for micro- and small-scale entrepreneurs were evaluated. Sustainable manufacturing enterprises were presented, and various micro-credit enterprises were discussed. The conference featured 32 presentations, of which 2 have been catalogued separately for inclusion in this database. tabs., figs

  2. 2nd Industry-Academia Research Exchange Conference. Research report for fiscal 1992; Dai 2 kai sangaku kenkyu koryukai. 1992 nendo kenkyu hokoku

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-06-24

    The above-named conference was convened on June 24, 1993, hosted by the Photovoltaic Power Generation Technology Research Association. The research achievement reports made at the event were 'Thermodynamic evaluation of boron in silicon' by Professor Sano of Tokyo University, 'Solar cell system payback time' by Professor Komiyama of Tokyo University, 'Delta-doped amorphous silicon solar cell' by Professor Konagai of Tokyo Institute of Technology, 'Interaction of silicon thin film and atom-state hydrogen' by Professor Shimizu of Tokyo Institute of Technology, 'Simulation of solar module characteristics' by Professor Saito of Tokyo University of Agriculture and Technology, 'Manufacture of CuInSe{sub 2} thin film for solar cell' by Professor Kunioka of Aoyama Gakuin University, 'Solar cell material/process characterization by use of photoluminescence surface state spectroscopy' by Professor Hasegawa of Hokkaido University, 'Research on tandem solar cell using compound-on-silicon semiconductor crystal' by Professor Umeno of Nagoya University, and 'Efficiency improvement in amorphous Si/polycrystalline Si tandem solar cell' by Professor Hamakawa of Osaka University. (NEDO)

  3. Conference report: Bioanalysis highlights from the 2012 American Association of Pharmaceutical Scientists National Biotechnology Conference.

    Science.gov (United States)

    Crisino, Rebecca M; Geist, Brian; Li, Jian

    2012-09-01

    The American Association of Pharmaceutical Scientists (AAPS) is an international forum for the exchange of knowledge among scientists to enhance their contributions to drug development. The annual National Biotechnology Conference, organized by the AAPS on 21-23 May 2012 in San Diego, CA, USA, brings together experts from various disciplines representing private industry, academia and governing institutions dedicated toward advancing the scientific and technological progress related to discovery, development and manufacture of medical biotechnology products. Over 300 scientific poster presentations and approximately 50 oral presentation and discussion sessions examined a breadth of topics pertaining to biotechnology drug development, such as the advancement of vaccines and biosimilars, emerging and innovative technologies, nonclinical and clinical bioanalysis, and regulatory updates. This conference report highlights the existing challenges with ligand-binding assays, emerging challenges, innovative integration of various technology platforms and applicable regulatory considerations as they relate to immunogenicity and pharmacokinetic bioanalytical assessments.

  4. Composites Materials and Manufacturing Technologies for Space Applications

    Science.gov (United States)

    Vickers, J. H.; Tate, L. C.; Gaddis, S. W.; Neal, R. E.

    2016-01-01

    Composite materials offer significant advantages in space applications. Weight reduction is imperative for deep space systems. However, the pathway to deployment of composites alternatives is problematic. Improvements in the materials and processes are needed, and extensive testing is required to validate the performance, qualify the materials and processes, and certify components. Addressing these challenges could lead to the confident adoption of composites in space applications and provide spin-off technical capabilities for the aerospace and other industries. To address the issues associated with composites applications in space systems, NASA sponsored a Technical Interchange Meeting (TIM) entitled, "Composites Materials and Manufacturing Technologies for Space Applications," the proceedings of which are summarized in this Conference Publication. The NASA Space Technology Mission Directorate and the Game Changing Program chartered the meeting. The meeting was hosted by the National Center for Advanced Manufacturing (NCAM)-a public/private partnership between NASA, the State of Louisiana, Louisiana State University, industry, and academia, in association with the American Composites Manufacturers Association. The Louisiana Center for Manufacturing Sciences served as the coordinator for the TIM.

  5. Microplasma fabrication: from semiconductor technology for 2D-chips and microfluidic channels to rapid prototyping and 3D-printing of microplasma devices

    Science.gov (United States)

    Shatford, R.; Karanassios, Vassili

    2014-05-01

    Microplasmas are receiving attention in recent conferences and current scientific literature. In our laboratory, microplasmas-on-chips proved to be particularly attractive. The 2D- and 3D-chips we developed became hybrid because they were fitted with a quartz plate (quartz was used due to its transparency to UV). Fabrication of 2D- and 3D-chips for microplasma research is described. The fabrication methods described ranged from semiconductor fabrication technology, to Computer Numerical Control (CNC) machining, to 3D-printing. These methods may prove to be useful for those contemplating in entering microplasma research but have no access to expensive semiconductor fabrication equipment.

  6. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  7. 13th International Conference on Computer Aided Engineering

    CERN Document Server

    Pietrusiak, Damian

    2017-01-01

    These proceedings of the 13th International Conference on Computer Aided Engineering present selected papers from the event, which was held in Polanica Zdrój, Poland, from June 22 to 25, 2016. The contributions are organized according to thematic sections on the design and manufacture of machines and technical systems; durability prediction; repairs and retrofitting of power equipment; strength and thermodynamic analyses for power equipment; design and calculation of various types of load-carrying structures; numerical methods for dimensioning materials handling; and long-distance transport equipment. The conference and its proceedings offer a major interdisciplinary forum for researchers and engineers to present the most innovative studies and advances in this dynamic field.

  8. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  9. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  10. 5th Conference on Aerospace Materials, Processes, and Environmental Technology

    Science.gov (United States)

    Cook, M. B. (Editor); Stanley, D. Cross (Editor)

    2003-01-01

    Records are presented from the 5th Conference on Aerospace Materials, Processes, and Environmental Technology. Topics included pollution prevention, inspection methods, advanced materials, aerospace materials and technical standards,materials testing and evaluation, advanced manufacturing,development in metallic processes, synthesis of nanomaterials, composite cryotank processing, environmentally friendly cleaning, and poster sessions.

  11. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  12. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  13. Forecasting the Success of Implementing Sensors Advanced Manufacturing Technology

    Directory of Open Access Journals (Sweden)

    Cheng-Shih Su

    2014-08-01

    Full Text Available This paper is presented fuzzy preference relations approach to forecast the success of implementing sensors advanced manufacturing technology (AMT. In the manufacturing environment, performance measurement is based on different quantitative and qualitative factors. This study proposes an analytic hierarchical prediction model based on fuzzy preference relations to help the organizations become aware of the essential factors affecting the AMT implementation, forecasting the chance of successful implementing sensors AMT, as well as identifying the actions necessary before implementing sensors AMT. Then predicted success/failure values are obtained to enable organizations to decide whether to initiate sensors AMT, inhibit adoption or take remedial actions to increase the possibility of successful sensors AMT initiatives. This proposed approach is demonstrated with a real case study involving six influential factors assessed by nine evaluators solicited from a semiconductor engineering incorporation located in Taiwan.

  14. Proceedings of the 24th KAIF/KNS Annual Conference

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2009-04-15

    This proceedings contains articles of the 24th KAIF/KNS Annual Conference of the KAIF and Korean Nuclear Society. It was held on Apr. 8-10, 2009 in Seoul, Korea and subject of 24th Conference is the role of nuclear energy: Green enertopia with nuclear. This proceedings is comprised of 8 sessions. The main topic titles of session are as follows: Operation and maintenance of nuclear power plants, Betterment of nuclear power utilization, Enhanced future application of nuclear science and technology, Radioactive waste management, RI production and its utilization, Design, engineering, manufacturing, and construction of NPP in Korea, Nuclear fuel cycle, Nuclear safety and regulation. (Yi, J. H.)

  15. Method of manufacturing a layer thermoelectric battery. Herstellungsverfahren fuer Schichtthermobatterien

    Energy Technology Data Exchange (ETDEWEB)

    Lidorenko, N.S.; Kolomoets, N.V.; Daschevsky, Z.M.; Granovsky, V.I.; Schemtschuschina, E.A.; Chernousov, L.N.; Schmidt, I.A.; Nikolaschina, L.A.; Gelfgat, D.M.; Sgibnev, I.V.

    1980-08-21

    A method of manufacturing a layer thermoelectric battery is described, whereby a film of a thermoelectric semiconductor material which is an n-type stoichiometric solid solution containing Bi2Te3 and Sb2Te3 is deposited on a substrate. Then heating is effected so that adjacent arms of the film are at different temperatures, some at a temperature of not above 300/sup 0/C, and others at a temperature of not less than 350/sup 0/C.

  16. 8th International Conference on Management Science and Engineering Management

    CERN Document Server

    Cruz-Machado, Virgílio; Lev, Benjamin; Nickel, Stefan

    2014-01-01

    This is the Proceedings of the Eighth International Conference on Management Science and Engineering Management (ICMSEM) held from July 25 to 27, 2014 at Universidade Nova de Lisboa, Lisbon, Portugal and organized by International Society of Management Science and Engineering Management (ISMSEM), Sichuan University (Chengdu, China) and Universidade Nova de Lisboa (Lisbon, Portugal). The goals of the conference are to foster international research collaborations in Management Science and Engineering Management as well as to provide a forum to present current findings. A total number of 138 papers from 14 countries are selected for the proceedings by the conference scientific committee through rigorous referee review. The selected papers in the first volume are focused on Intelligent System and Management Science covering areas of Intelligent Systems, Decision Support Systems, Manufacturing and Supply Chain Management.

  17. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  18. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  19. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  20. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  1. A Novel Defect Inspection Method for Semiconductor Wafer Based on Magneto-Optic Imaging

    Science.gov (United States)

    Pan, Z.; Chen, L.; Li, W.; Zhang, G.; Wu, P.

    2013-03-01

    The defects of semiconductor wafer may be generated from the manufacturing processes. A novel defect inspection method of semiconductor wafer is presented in this paper. The method is based on magneto-optic imaging, which involves inducing eddy current into the wafer under test, and detecting the magnetic flux associated with eddy current distribution in the wafer by exploiting the Faraday rotation effect. The magneto-optic image being generated may contain some noises that degrade the overall image quality, therefore, in this paper, in order to remove the unwanted noise present in the magneto-optic image, the image enhancement approach using multi-scale wavelet is presented, and the image segmentation approach based on the integration of watershed algorithm and clustering strategy is given. The experimental results show that many types of defects in wafer such as hole and scratch etc. can be detected by the method proposed in this paper.

  2. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  3. Proceedings of the Eighteenth DOE Solar Photochemistry Research Conference

    Energy Technology Data Exchange (ETDEWEB)

    1994-07-01

    This annual conference brings together grantees and contractorsof the DOE Division of Chemical Sciences engaged in fundamental research on solar photochemical energy conversion. It provides a focus for a wide spectrum of activities which contribute to providing the knowledge base and concepts needed for the capture and chemical conversion of solar energy. The research will provide the foundations for solar technologies of the future, in which light-induced charge separation processes will be applied to conversion of light energy to chemical energy, e.g., production of alcohols from CO{sub 2}, H{sup 2} from water, NH{sub 3} from atm. N{sub 2}, etc. The plenary lecture addresses photoconversion by nanocrystalline films of oxide semiconductors. The topical sessions feature presentations on charge transfer at semiconductor-liquid electrolyte junctions, long-range vectorial electron transfer in macromolecular arrays, transition metal photophysics, electronic structure and solvent effects on electron transfer processes, artifical assemblies for photosynthesis, and the photosynthetic bacterial reaction center. This volume contains the agenda for the meeting and abstracts of the 30 formal presentations and 56 posters.

  4. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  5. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  6. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  7. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  8. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  9. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  10. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  11. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  12. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey.

    Science.gov (United States)

    Chen, Yi Chun; Wu, Ya-Chi; Chie, Wei-Chu

    2006-06-21

    In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  13. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  14. The 5th National Logistics and Supply Chain Conference

    OpenAIRE

    PEKER, İskender

    2016-01-01

    Abstract. The 5thNational Logistics and Supply Chain Conference (ULTZK) held in Mersin Divan Hotel on the 26-28 May 2016. A number of academics, logistics service receiver company representatives (manufacturers, wholesalers, retailers, etc.), logistics service provider company representatives (transport, logistics, storage, etc.), non-governmental organization representatives, and the relevant public institution and organization representatives participated to the conducted panels and session...

  15. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  16. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  17. International Conference on Production Research (ICPR) Americas Region

    CERN Document Server

    Velasco, Nubia

    2012-01-01

    The book presents several highly selected cases in emerging countries where the production-logistics systems have been optimized or improved with the support of mathematical models. The book contains a selection of papers from the 5th International Conference on Production Research (ICPR) Americas 2010 held on July 21-23 in Bogotá, Colombia. The main topic of the conference was “Technologies in Logistics and Manufacturing for Small and Medium Enterprises” which is perfectly aligned with the realities of emerging countries. The book presents methodologies and case studies related to a wide variety of production/logistics systems such as diary production, auto parts, steel and iron production, and financial services. It is focused but not limited to Small/Medium Enterprises.

  18. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  19. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  20. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  1. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  2. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  3. The First National Student Conference: NASA University Research Centers at Minority Institutions

    Science.gov (United States)

    Daso, Endwell O. (Editor); Mebane, Stacie (Editor)

    1997-01-01

    The conference includes contributions from 13 minority universities with NASA University Research Centers. Topics discussed include: leadership, survival strategies, life support systems, food systems, simulated hypergravity, chromium diffusion doping, radiation effects on dc-dc converters, metal oxide glasses, crystal growth of Bil3, science and communication on wheels, semiconductor thin films, numerical solution of random algebraic equations, fuzzy logic control, spatial resolution of satellite images, programming language development, nitric oxide in the thermosphere and mesosphere, high performance polyimides, crossover control in genetic algorithms, hyperthermal ion scattering, etc.

  4. Aerospace Environmental Technology Conference

    Science.gov (United States)

    Whitaker, A. F. (Editor)

    1995-01-01

    The mandated elimination of CFC's, Halons, TCA, and other ozone depleting chemicals and specific hazardous materials has required changes and new developments in aerospace materials and processes. The aerospace industry has been involved for several years in providing product substitutions, redesigning entire production processes, and developing new materials that minimize or eliminate damage to the environment. These activities emphasize replacement cleaning solvents and their application verifications, compliant coatings including corrosion protection systems, and removal techniques, chemical propulsion effects on the environment, and the initiation of modifications to relevant processing and manufacturing specifications and standards. The Executive Summary of this Conference is published as NASA CP-3297.

  5. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  6. Past and Present of the Chinese and Korean Trainees and Survival of a Small Manufacturing Industry

    Science.gov (United States)

    Nishihata, Mikio

    In 1973, the author established the Nippon Bell Parts Co., Ltd. in Funabashi-city under his estimation of the advances in communication, information, semiconductor and automotive industries, then he has focused on R&D and developed the manufacturing of precise parts. During the past 30 years, he has himself experienced the importance of the mutual exchange between Japan and China and Korea, for keeping the human capability as well as for the management and the technical development to avoid a bankruptcy. The author is intentionally acting for the education of craftsmen in small and medium-sized manufacturing industries.

  7. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan; Li, Ruipeng; Li, Erqiang; Kirmani, Ahmad R.; Abdelsamie, Maged; Wang, Qingxiao; Pan, Wenyang; Payne, Marcia M.; Anthony, John E.; Smilgies, Detlef-M.; Thoroddsen, Sigurdur T; Giannelis, Emmanuel P.; Amassian, Aram

    2015-01-01

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  8. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  9. Solution-printed organic semiconductor blends exhibiting transport properties on par with single crystals

    KAUST Repository

    Niazi, Muhammad Rizwan

    2015-11-23

    Solution-printed organic semiconductors have emerged in recent years as promising contenders for roll-to-roll manufacturing of electronic and optoelectronic circuits. The stringent performance requirements for organic thin-film transistors (OTFTs) in terms of carrier mobility, switching speed, turn-on voltage and uniformity over large areas require performance currently achieved by organic single-crystal devices, but these suffer from scale-up challenges. Here we present a new method based on blade coating of a blend of conjugated small molecules and amorphous insulating polymers to produce OTFTs with consistently excellent performance characteristics (carrier mobility as high as 6.7 cm2 V−1 s−1, low threshold voltages of<1 V and low subthreshold swings <0.5 V dec−1). Our findings demonstrate that careful control over phase separation and crystallization can yield solution-printed polycrystalline organic semiconductor films with transport properties and other figures of merit on par with their single-crystal counterparts.

  10. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  11. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  12. SIAM Conference on Computational Science and Engineering

    Energy Technology Data Exchange (ETDEWEB)

    None, None

    2005-08-29

    The Second SIAM Conference on Computational Science and Engineering was held in San Diego from February 10-12, 2003. Total conference attendance was 553. This is a 23% increase in attendance over the first conference. The focus of this conference was to draw attention to the tremendous range of major computational efforts on large problems in science and engineering, to promote the interdisciplinary culture required to meet these large-scale challenges, and to encourage the training of the next generation of computational scientists. Computational Science & Engineering (CS&E) is now widely accepted, along with theory and experiment, as a crucial third mode of scientific investigation and engineering design. Aerospace, automotive, biological, chemical, semiconductor, and other industrial sectors now rely on simulation for technical decision support. For federal agencies also, CS&E has become an essential support for decisions on resources, transportation, and defense. CS&E is, by nature, interdisciplinary. It grows out of physical applications and it depends on computer architecture, but at its heart are powerful numerical algorithms and sophisticated computer science techniques. From an applied mathematics perspective, much of CS&E has involved analysis, but the future surely includes optimization and design, especially in the presence of uncertainty. Another mathematical frontier is the assimilation of very large data sets through such techniques as adaptive multi-resolution, automated feature search, and low-dimensional parameterization. The themes of the 2003 conference included, but were not limited to: Advanced Discretization Methods; Computational Biology and Bioinformatics; Computational Chemistry and Chemical Engineering; Computational Earth and Atmospheric Sciences; Computational Electromagnetics; Computational Fluid Dynamics; Computational Medicine and Bioengineering; Computational Physics and Astrophysics; Computational Solid Mechanics and Materials; CS

  13. Second biennial tri-laboratory engineering conference on modeling and simulation

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-12-31

    This conference was divided into eight sessions. Session one covered the following topics: material behavior and characterization; computation heat transfer; computational fluid dynamics; and hydrodynamics. Topics covered in session two were: structural dynamics; manufacturing process modeling; solid and structural mechanics; and electromagnetics. Session three topics were: materials behavior and characterization; computational heat transfer; computational fluid dynamics; neutron generators and gas transfer systems applications. Topics for session four were: mesh generation and visualization; validation and verification; and pit manufacturing. Session five covered the following: ALE techniques and applications; solid and structural mechanical; and system applications. The three topics of session six were: optimization methods; manufacturing process modeling; and weapon response in hostile environments. Session covered: material behavior and characterization; computational heat transfer; solid and structural mechanics; and mesh generation and visualization. And finally, the topics of session 8 were: manufacturing and process modeling; solid and structural mechanics; uncertainty analysis; and structural dynamics. Paper covered a range of applications, many of which were weapons and weapon systems.

  14. International Joint Conference SOCO’14-CISIS’14-ICEUTE’14

    CERN Document Server

    Ferreira, Iván; Bringas, Pablo; Klett, Fanny; Abraham, Ajith; Carvalho, André; Herrero, Álvaro; Baruque, Bruno; Quintián, Héctor; Corchado, Emilio

    2014-01-01

    This volume of Advances in Intelligent and Soft Computing contains accepted papers presented at SOCO 2014, CISIS 2014 and ICEUTE 2014, all conferences held in the beautiful and historic city of Bilbao (Spain), in June 2014. Soft computing represents a collection or set of computational techniques in machine learning, computer science and some engineering disciplines, which investigate, simulate, and analyze very complex issues and phenomena.   After a through peer-review process, the 9th SOCO 2014 International Program Committee selected 31 papers which are published in these conference proceedings. In this relevant edition a special emphasis was put on the organization of special sessions. One special session was organized related to relevant topics as: Soft Computing Methods in Manufacturing and Management Systems.   The aim of the 7th CISIS 2014 conference is to offer a meeting opportunity for academic and industry-related researchers belonging to the various, vast communities of Computational Intelligen...

  15. Proceedings of CanWEA's 24. annual conference and trade show : fast forward to wind

    International Nuclear Information System (INIS)

    2008-01-01

    The Canadian Wind Energy Association's conference and trade show provides an annual forum for wind developers, wind turbine manufacturers, component suppliers and electric utility operators to discuss issues currently facing the wind industry and measures that must be taken to ensure its future growth in Canada. As part of a range of renewable energy initiatives designed to help Canada reach its target commitments for the Kyoto Protocol, the expansion of the wind industry is expected to reduce the country's greenhouse gas (GHG) emissions and provide significant economic benefits to local communities. However, the wind industry is facing a number of challenges and constraints due to a lack of clear policies from provincial and federal governments. Significant infrastructure investments and financial incentives will need to be put in place in order to provide a secure foundation for future growth. The conference was divided into 3 tracks: (1) wind energy enhancement in Canada; (2) trends in wind research and development; and (3) the business of wind. Presentations examined solutions related to wind energy integration with electricity grids and discussed methods of building social acceptance of wind projects in communities. Advances in forecasting and computerized simulations were presented, and methods of negotiating environmental assessments and planning permit requirements were discussed. The conference also included a small wind pre-conference that addressed issues related to the growth and manufacturing of small wind turbines in Canada. The conference featured 88 presentations, of which 69 have been catalogued separately for inclusion in this database. tabs., figs

  16. 17th European photovoltaic solar energy conference and exhibition, Munich 22.-26.10.2001

    International Nuclear Information System (INIS)

    Nowak, S.

    2002-01-01

    This report for the Swiss Federal Office of Energy (SFOE) summarises the photovoltaics (PV) conference and exhibition held in Munich in October 2001 from the Swiss point of view. The contributions made by representatives of Swiss institutions and companies are presented including papers on the progress being made in third generation crystalline and multi-crystalline silicon technology, amorphous and micro-crystalline silicon solar cells, thin film solar cells based on compound semiconductors and thermo-photovoltaics. Further papers deal with PV modules on the market, building-integrated solar power systems and new developments in PV systems technology. The exhibition that accompanied the conference, including the 12 Swiss exhibitors who were present, is reviewed as are international market developments. Contributions concerning the application of photovoltaics in developing countries are also reviewed

  17. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 1

    Science.gov (United States)

    Soderquist, Joseph R. (Compiler); Neri, Lawrence M. (Compiler); Bohon, Herman L. (Compiler)

    1992-01-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA conference on Fibrous Composites in structural Design. Presentations were made in the following areas of composite structural design: perspectives in composites; design methodology; design applications; design criteria; supporting technology; damage tolerance; and manufacturing.

  18. Results from ITER Vacuum Vessel Sector Manufacturing Development in Europe

    International Nuclear Information System (INIS)

    Jones, L.

    2006-01-01

    Significant results have been achieved since the previous SOFT conference, when the manufacturing development work required to prepare for the ITER Vacuum Vessel Sector was described. The contract for the manufacture of a full-size, 20 Ton poloidal part of the inboard section, fabricated according to the ITER reference manufacturing route, including bracing fixtures, welding applications, restraint effects, and fit-up aspects is approaching completion. Since the main aim of the work is to establish the practicability of achieving the tight dimensional tolerances, an accompanying SYSWELD analysis programme has been validation by instrumented welding coupons, and then used for predicting the distortion of the actual construction. A local machining tool has been developed to allow the requirement for machining of the cylindrical features at a late stage of manufacture. Experimental and analytical work has also been carried out to establish the possibility of 3-D cold-forming large sections of walls of the VV. A manufacturing programme to validate an alternative method of fabricating parts of the double-walled VV, utilising e-beam welding only and avoiding the quality issues of the one-sided access and inspection of the closing welds is presented. This paper describes the results of the manufacturing development programme and the future activities. (author)

  19. 1st International AsiaHaptics conference

    CERN Document Server

    Ando, Hideyuki; Kyung, Ki-Uk

    2015-01-01

    This book is aimed not only at haptics and human interface researchers, but also at developers and designers from manufacturing corporations and the entertainment industry who are working to change our lives. This publication comprises the proceedings of the first International AsiaHaptics conference, held in Tsukuba, Japan, in 2014. The book describes the state of the art of the diverse haptics- (touch-) related research, including scientific research into haptics perception and illusion, development of haptics devices, and applications for a wide variety of fields such as education, medicine, telecommunication, navigation, and entertainment.

  20. Proceedings of the Canadian Solar Buildings Conference : the 31. annual conference of the Solar Energy Society of Canada Inc. and the 1. Canadian Solar Buildings Research Network conference

    International Nuclear Information System (INIS)

    Athienitis, A.; Charron, R.; Karava, P.; Stylianou, M.; Tzempelikos, A.

    2006-01-01

    The first conference organized by the newly established Canadian Solar Buildings Research Network (SBRN) was held in conjunction with the thirty-first annual conference of the Solar Energy Society of Canada Inc (SESCI). The conference was attended by top researchers from 10 Canadian Universities to promote innovative research and development in solar energy applications and to advance the awareness of solar energy in Canada. It featured special events such as trade shows, photovoltaic workshops, a course in ESP-r simulation, tours of solar houses and other events focused on the economic, environmental and socio-economic benefits of solar technology, including the potential to reduce greenhouse gas emissions. SBRN was founded on the premise that university researchers should focus on solar energy applications for buildings. Several presentations proposed action plans to accelerate the implementation of solar energy through the use of innovative building technologies and sustainable energy policies. Other major issues of interest were also discussed, including the development of the net-zero energy solar home and grid-connection issues. The sessions of the conference were entitled: solar thermal systems; solar electricity; building integrated photovoltaic systems; design issues and tools; integrating PV and solar thermal in buildings; daylighting and solar radiation modeling; fenestration and shading; PV manufacturing and solar electricity resources. The proceedings featured 41 refereed papers and 13 poster presentations, all of which have been catalogued separately for inclusion in this database. refs., tabs., figs

  1. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  2. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  3. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  4. Proceedings of the 1991 Oil Heat Technology Conference and Workshop

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, R.J.

    1992-07-01

    This Conference, which was the sixth held since 1984, is a key technology-transfer activity supported by the ongoing Combustion Equipment Technology program at BNL, and is aimed at providing a forum for the exchange of information among international researchers, engineers, manufacturers, and marketers of oil-fired space-conditioning equipment. The objectives of the Conference were to: Identify and evaluate the state-of-the-art and recommend; new initiatives to satisfy consumer needs cost-effectively, reliably, and safely; Foster cooperation among federal and industrial representatives with the common goal of national security via energy conservation. The 1991 Oil Technology Conference comprised: (a) two plenary sessions devoted to presentations and summations by public and private sector representatives from the United States, Europe, and Canada; and, (b) four workshops which focused on mainstream issues in oil-heating technology. Selected papers have been processed separately for inclusion in the Energy Science and Technology Database.

  5. 1st European-Middle Asian Conference on Computer Modelling 2015

    CERN Document Server

    Kolosov, Dmitrii; Snášel, Václav; Karakeyev, Taalaybek; Abraham, Ajith

    2016-01-01

    This volume of Advances in Intelligent Systems and Computing contains papers presented at the 1st European-Middle Asian Conference on Computer Modelling, EMACOM 2015. This international conference was conceived as a brand new scientific and social event of mutual collaboration between the VSB - Technical University of Ostrava (Ostrava, Czech Republic) and the Kyrgyz National University named after J. Balasagyn (Bishkek, Kyrgyz Republic). The aim of EMACOM 2015 was to present the latest development in the field of computer-aided modelling as an essential aspect of research and development of innovative systems and their applications. The conference showed that together with simulations, various modeling techniques, enabled and encouraged by the rapid development of high-performance computing platforms, are crucial for cost-efficient design, verification, and prototyping of solutions in many diverse industrial fields spanning the whole range from manufacturing, mining, machinery, and automotive industries to in...

  6. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  7. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  8. PREFACE: 18th Microscopy of Semiconducting Materials Conference (MSM XVIII)

    Science.gov (United States)

    Walther, T.; Hutchison, John L.

    2013-11-01

    YRM logo This volume contains invited and contributed papers from the 18th international conference on 'Microscopy of Semiconducting Materials' held at St Catherine's College, University of Oxford, on 7-11 April 2013. The meeting was organised under the auspices of the Royal Microscopical Society and supported by the Institute of Physics as well as the Materials Research Society of the USA. This conference series deals with recent advances in semiconductor studies carried out by all forms of microscopy, with an emphasis on electron microscopy and scanning probe microscopy with high spatial resolution. This time the meeting was attended by 109 delegates from 17 countries world-wide. We were welcomed by Professor Sir Peter Hirsch, who noted that this was the first of these conferences where Professor Tony Cullis was unable to attend, owing to ill-health. During the meeting a card containing greetings from many of Tony's friends and colleagues was signed, and duly sent to Tony afterwards. As semiconductor devices shrink further new routes for device processing and characterisation need to be developed, and, for the latter, methods that offer sub-nanometre spatial resolution are particularly valuable. The various forms of imaging, diffraction and spectroscopy available in modern microscopes are powerful tools for studying the microstructure, electronic structure, chemistry and also electric fields in semiconducting materials. Recent advances in instrumentation, from lens aberration correction in both TEM and STEM instruments, to the development of a wide range of scanning probe techniques, as well as new methods of signal quantification have been presented at this conference. Two topics that have at this meeting again highlighted the interesting contributions of aberration corrected transmission electron microscopy were: contrast quantification of annular dark-field STEM images in terms of chemical composition (Z-contrast), sample thickness and strain, and the study of

  9. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  10. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  11. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  12. Effects of work-related factors on the breastfeeding behavior of working mothers in a Taiwanese semiconductor manufacturer: a cross-sectional survey

    Directory of Open Access Journals (Sweden)

    Chie Wei-Chu

    2006-06-01

    Full Text Available Abstract Background In recent years, the creation of supportive environments for encouraging mothers to breastfeed their children has emerged as a key health issue for women and children. The provision of lactation rooms and breast pumping breaks have helped mothers to continue breastfeeding after returning to work, but their effectiveness is uncertain. The aim of this study was to assess the effects of worksite breastfeeding-friendly policies and work-related factors on the behaviour of working mothers. Methods This study was conducted at a large Taiwanese semiconductor manufacturer in August-September 2003. Questionnaires were used to collect data on female employees' breastfeeding behaviour, child rearing and work status when raising their most recently born child. A total of 998 valid questionnaires were collected, giving a response rate of 75.3%. Results The results showed that 66.9% of survey respondents breastfed initially during their maternity leave, which averaged 56 days. Despite the provision of lactation rooms and breast pumping breaks, only 10.6% mothers continued to breastfeed after returning to work, primarily office workers and those who were aware of their company's breastfeeding-friendly policies. Conclusion In conclusion, breastfeeding-friendly policies can significantly affect breastfeeding behaviour. However, an unfavourable working environment, especially for fab workers, can make it difficult to implement breastfeeding measures. With health professionals emphasizing that the importance of breastfeeding for infant health, and as only females can perform lactation, it is vital that women's work "productive role" and family "reproductive role" be respected and accommodated by society.

  13. Silicon avalanche photodiodes on the base of metal-resistor-semiconductor (MRS) structures

    CERN Document Server

    Saveliev, V

    2000-01-01

    The development of a high quantum efficiency, fast photodetector, with internal gain amplification for the wavelength range 450-600 nm is one of the critical issues for experimental physics - registration of low-intensity light photons flux. The new structure of Silicon Avalanche Detectors with high internal amplification (10 sup 5 -10 sup 6) has been designed, manufactured and tested for registration of visible light photons and charge particles. The main features of Metal-Resistor-Semiconductor (MRS) structures are the high charge multiplication in nonuniform electric field near the 'needle' pn-junction and negative feedback for stabilization of avalanche process due to resistive layer.

  14. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  15. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  16. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  17. Raw materials in the manufacture of biotechnology products: regulatory considerations.

    Science.gov (United States)

    Cordoba-Rodriguez, Ruth

    2010-01-01

    The Food and Drug Administration's Pharmaceutical cGMPs for the 21st Century initiative emphasizes science and risk-based approaches in the manufacture of drugs. These approaches are reflected in the International Conference on Harmonization (ICH) guidances ICH Q8, Q9, and Q10 and encourage a comprehensive assessment of the manufacture of a biologic, including all aspects of manufacture that have the potential to affect the finished drug product. Appropriate assessment and management of raw materials are an important part of this initiative. Ideally, a raw materials program should strive to assess and minimize the risk to product quality. With this in mind, risk-assessment concepts and control strategies will be discussed and illustrated by examples, with an emphasis on the impact of raw materials on cell substrates. Finally, the life cycle of the raw material will be considered, including its potential to affect the drug product life cycle. In this framework, the supply chain and the vendor-manufacturer relationship will be explored as important parts of an adequate raw materials control strategy.

  18. PROCEEDINGS OF THE 1998 OIL HEAT TECHNOLOGY CONFERENCE

    Energy Technology Data Exchange (ETDEWEB)

    MCDONALD,R.J.

    1998-04-01

    The 1998 Oil Heat Technology Conference will be held on April 7--8 at Brookhaven National Laboratory (BNL) under sponsorship by the US Department of Energy, Office of Building Technologies, State and Community Programs (DOE/BTS). The meeting will be held in cooperation with the Petroleum Marketers Association of America (PMAA). The 1998 Oil Heat Technology Conference, will be the twelfth since 1984, is an important technology transfer activity and is supported by the ongoing Combustion Equipment Technology (Oilheat R and D) program at BNL. The reason for the conference is to provide a forum for the exchange of information and perspectives among international researchers, engineers, manufacturers and marketers of oil-fired space-conditioning equipment. They will provide a channel by which information and ideas can be exchanged to examine present technologies, as well as helping to develop the future course for oil heating advancement. These conferences also serve as a stage for unifying government representatives, researchers, fuel oil marketers, and other members of the oil-heat industry in addressing technology advancements in this important energy use sector. The specific objectives of the Conference are to: (1) Identify and evaluate the current state-of-the-art and recommend new initiatives for higher efficiency, a cleaner environment, and to satisfy consumer needs cost-effectively, reliably, and safely; and (2) Foster cooperative interactions among federal and industrial representatives for the common goal of sustained economic growth and energy security via energy conservation.

  19. Micro-fabricated integrated coil and magnetic circuit and method of manufacturing thereof

    Science.gov (United States)

    Mihailovich, Robert E.; Papavasiliou, Alex P.; Mehrotra, Vivek; Stupar, Philip A.; Borwick, III, Robert L.; Ganguli, Rahul; DeNatale, Jeffrey F.

    2017-03-28

    A micro-fabricated electromagnetic device is provided for on-circuit integration. The electromagnetic device includes a core. The core has a plurality of electrically insulating layers positioned alternatingly between a plurality of magnetic layers to collectively form a continuous laminate having alternating magnetic and electrically insulating layers. The electromagnetic device includes a coil embedded in openings of the semiconductor substrate. An insulating material is positioned in the cavity and between the coil and an inner surface of the core. A method of manufacturing the electromagnetic device includes providing a semiconductor substrate having openings formed therein. Windings of a coil are electroplated and embedded in the openings. The insulating material is coated on or around an exposed surface of the coil. Alternating magnetic layers and electrically insulating layers may be micro-fabricated and electroplated as a single and substantially continuous segment on or around the insulating material.

  20. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  1. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1983-09-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technologie or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented

  2. Radiation effects in semiconductors: technologies for hardened integrated circuits

    International Nuclear Information System (INIS)

    Charlot, J.M.

    1984-01-01

    Various technologies are used to manufacture integrated circuits for electronic systems. But for specific applications, including those with radiation environment, it is necessary to choose an appropriate technology or to improve a specific one in order to reach a definite hardening level. The aim of this paper is to present the main effects induced by radiation (neutrons and gamma rays) into the basic semiconductor devices, to explain some physical degradation mechanisms and to propose solutions for hardened integrated circuit fabrication. The analysis involves essentially the monolithic structure of the integrated circuits and the isolation technology of active elements. In conclusion, the advantages of EPIC and SOS technologies are described and the potentialities of new technologies (GaAs and SOI) are presented. (author)

  3. Proceedings of the 1993 oil heat technology conference and workshop

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, R.J.

    1993-09-01

    This report documents the proceedings of the 1993 Oil Heat Technology Conference and Workshop, held on March 25--26 at Brookhaven National Laboratory (BNL), and sponsored by the US Department of Energy - Office of Building Technologies (DOE-OBT), in cooperation with the Petroleum Marketers Association of America. This Conference, which was the seventh held since 1984, is a key technology-transfer activity supported by the ongoing Combustion Equipment Technology (Oil-Heat R&D) program at BNL, and is aimed at providing a forum for the exchange of information among international researchers, engineers, manufacturers, and marketers of oil-fired space- conditioning equipment. Selected papers have been processed separately for inclusion in the Energy Science and Technology Database.

  4. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  5. Occupational health provision and health surveillance in the semiconductor industry.

    Science.gov (United States)

    Kinoulty, Mary; Williams, Nerys

    2006-03-01

    To identify the nature of occupational health provision in UK semiconductor-manufacturing plants. To identify the level of industry compliance with legal health surveillance requirements. A national inspection programme was carried out by Health & Safety Executive inspectors using a developed protocol. A wide range of occupational health provision was identified from none to use of an accredited specialist. The majority of work was of a reactive nature even where there was specialist occupational health input. Seven companies were identified as not meeting legal compliance and one as having unacceptable compliance for health surveillance. The spectrum of occupational health provision was very wide. Where health surveillance was provided, it was poorly targeted with limited interpretation and feedback to management.

  6. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  7. A Manufacturing Cost and Supply Chain Analysis of SiC Power Electronics Applicable to Medium-Voltage Motor Drives

    Energy Technology Data Exchange (ETDEWEB)

    Horowitz, Kelsey [National Renewable Energy Lab. (NREL), Golden, CO (United States); Remo, Timothy [National Renewable Energy Lab. (NREL), Golden, CO (United States); Reese, Samantha [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-03-24

    Wide bandgap (WBG) semiconductor devices are increasingly being considered for use in certain power electronics applications, where they can improve efficiency, performance, footprint, and, potentially, total system cost compared to systems using traditional silicon (Si) devices. Silicon carbide (SiC) devices in particular -- which are currently more mature than other WBG devices -- are poised for growth in the coming years. Today, the manufacturing of SiC wafers is concentrated in the United States, and chip production is split roughly equally between the United States, Japan, and Europe. Established contract manufacturers located throughout Asia typically carry out manufacturing of WBG power modules. We seek to understand how global manufacturing of SiC components may evolve over time by illustrating the regional cost drivers along the supply chain and providing an overview of other factors that influence where manufacturing is sited. We conduct this analysis for a particular case study where SiC devices are used in a medium-voltage motor drive.

  8. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  9. Technology 2001: The Second National Technology Transfer Conference and Exposition, volume 1

    Science.gov (United States)

    1991-01-01

    Papers from the technical sessions of the Technology 2001 Conference and Exposition are presented. The technical sessions featured discussions of advanced manufacturing, artificial intelligence, biotechnology, computer graphics and simulation, communications, data and information management, electronics, electro-optics, environmental technology, life sciences, materials science, medical advances, robotics, software engineering, and test and measurement.

  10. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  11. Vacuum Mechatronics And Insvection For Self-Contained Manufacturing

    Science.gov (United States)

    Belinski, Steve E.; Shirazi, Majid; Seidel, Thomas E.; Hackwood, Susan

    1990-02-01

    The vacuum environment is increasingly being used in manufacturing operations, especially in the semiconductor industry. Shrinking linewidths and feature sizes dictate that cleanliness standards become continually more strict. Studies at the Center for Robotic Systems in Microelectronics (CRSM) indicate that a controlled vacuum enclosure can provide a superior clean environment. In addition, since many microelectronic fabrication steps are already carried out under vacuum, self-contained multichamber processing systems are being developed at a rapid pace. CRSM support of these systems includes the development of a research system, the Self-contained Automated Robotic Factory (SCARF), a vacuum-compatible robot, and investigations of particulate characterization in vacuum and inspection for multichamber systems. Successful development of complex and expensive multichamber systems is, to a great extent, dependent upon the discipline called vacuum mechatronics, which includes the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. Here the constituents of the vacuum mechatronics discipline are defined and reviewed in the context of the importance to self-contained in-vacuum manufacturing.

  12. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  13. 24th Condensed Matter Days National Conference (CMDAYS2016)

    International Nuclear Information System (INIS)

    2016-01-01

    The 24 th edition of Condensed Matter Days (CMDAYS) 2016, a National Conference had been decided to be held at Physics Department, Mizoram University, Aizwal, Mizoram, India during 29-31 August 2016. This decision was taken by the General Body meeting of the CMDAYS on 28 August 2015 at Viswa Bharati, Shanti Niketan, West Bengal, India and Prof. R.K. Thapa was proposed as the Convener of CMDAYS-2016. Initiated by the Institute of Physics, Bhubaneswar, Odisa. The CMDAYS conference is held annually in the last week of August. The main objective of the conference was to bring all the researchers/scientists working in the field of Condensed Matter Physics, or related topics, together on a single platform. In this way, they can present, share and discuss their research findings and further plan collaborative works in future. The conference topics were on the theory and experimental research works done on Strongly correlated systems, Soft condensed matter, Magnetism and Magnetic materials, Disordered systems, Phase transition, Materials for energy harvesting, Nanomaterials and applications, Dielectrics and Ferroelectrics, Optoelectronics and devices, Semiconductors and devices, Biophysics, Biomaterials and composites, Superconductivity, Thin films and devices. It was open to all researchers from the research institutes, universities and colleges. Until the last date 1 st June 2016, we have received 1 plenary lecture, 3 Keynote lectures, 8 invited talks and 55 oral contributed papers. In total, there were 10 technical sessions to complete all the contributed papers along with the invited talks. Sessions were very interesting with the young participants interacting extensively with the senior scientists and everybody enjoyed the conference period with two cultural programmes. On the last day after the closing function, a local tour programme was arranged for all the outside participants. We are grateful to Prof. R. Lalthantluanga, Vice Cahncellor, Mizoram University, Aizawl

  14. IEEE International Semiconductor Laser Conference (14th) Held in Maui, Hawaii on September 19-23, 1994

    Science.gov (United States)

    1994-09-23

    subcommittee chairs, Tom Koch, James Whiteaway and Yasuhiko Arakawa, for their efforts. The other Conference Committee members, Gary Evans, Joanne...Academy of Sciences, St. Petersburg, Russia Asia end Ausat/agla K. Ikeda Chair: Yasuhiko Arakawa Mitsubishi Electric Corporation, Hyogo, Japan University

  15. Launching the dialogue: Safety and innovation as partners for success in advanced manufacturing.

    Science.gov (United States)

    Geraci, C L; Tinkle, S S; Brenner, S A; Hodson, L L; Pomeroy-Carter, C A; Neu-Baker, N

    2018-06-01

    Emerging and novel technologies, materials, and information integrated into increasingly automated and networked manufacturing processes or into traditional manufacturing settings are enhancing the efficiency and productivity of manufacturing. Globally, there is a move toward a new era in manufacturing that is characterized by: (1) the ability to create and deliver more complex designs of products; (2) the creation and use of materials with new properties that meet a design need; (3) the employment of new technologies, such as additive and digital techniques that improve on conventional manufacturing processes; and (4) a compression of the time from initial design concept to the creation of a final product. Globally, this movement has many names, but "advanced manufacturing" has become the shorthand for this complex integration of material and technology elements that enable new ways to manufacture existing products, as well as new products emerging from new technologies and new design methods. As the breadth of activities associated with advanced manufacturing suggests, there is no single advanced manufacturing industry. Instead, aspects of advanced manufacturing can be identified across a diverse set of business sectors that use manufacturing technologies, ranging from the semiconductors and electronics to the automotive and pharmaceutical industries. The breadth and diversity of advanced manufacturing may change the occupational and environmental risk profile, challenge the basic elements of comprehensive health and safety (material, process, worker, environment, product, and general public health and safety), and provide an opportunity for development and dissemination of occupational and environmental health and safety (OEHS) guidance and best practices. It is unknown how much the risk profile of different elements of OEHS will change, thus requiring an evolution of health and safety practices. These changes may be accomplished most effectively through multi

  16. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  17. High Volume Manufacturing and Field Stability of MEMS Products

    Science.gov (United States)

    Martin, Jack

    Low volume MEMS/NEMS production is practical when an attractive concept is implemented with business, manufacturing, packaging, and test support. Moving beyond this to high volume production adds requirements on design, process control, quality, product stability, market size, market maturity, capital investment, and business systems. In a broad sense, this chapter uses a case study approach: It describes and compares the silicon-based MEMS accelerometers, pressure sensors, image projection systems, and gyroscopes that are in high volume production. Although they serve several markets, these businesses have common characteristics. For example, the manufacturing lines use automated semiconductor equipment and standard material sets to make consistent products in large quantities. Standard, well controlled processes are sometimes modified for a MEMS product. However, novel processes that cannot run with standard equipment and material sets are avoided when possible. This reliance on semiconductor tools, as well as the organizational practices required to manufacture clean, particle-free products partially explains why the MEMS market leaders are integrated circuit manufacturers. There are other factors. MEMS and NEMS are enabling technologies, so it can take several years for high volume applications to develop. Indeed, market size is usually a strong function of price. This becomes a vicious circle, because low price requires low cost - a result that is normally achieved only after a product is in high volume production. During the early years, IC companies reduced cost and financial risk by using existing facilities for low volume MEMS production. As a result, product architectures are partially determined by capabilities developed for previous products. This chapter includes a discussion of MEMS product architecture with particular attention to the impact of electronic integration, packaging, and surfaces. Packaging and testing are critical, because they are

  18. Increasing uptake of comparative effectiveness and patient-centered outcomes research among stakeholders: insights from conference discussion.

    Science.gov (United States)

    Law, Ernest; Harrington, Rachel; Alexander, G Caleb; Saha, Soumi; Oehrlein, Elisabeth; Perfetto, Eleanor M

    2018-02-01

    The goal of comparative effectiveness research (CER) and patient-centered outcomes research (PCOR) is to improve health outcomes by providing stakeholders with evidence directly relevant to decision making. In January 2017, the Pharmaceutical Research and Manufacturers Association Foundation, alongside the Academy for Managed Care Pharmacy, organized a conference aimed at engaging experts and opinion leaders representing clinicians, patients and payers to identify and discuss barriers and strategies to enhancing uptake and use of CER/PCOR. This report summarizes the conference discussion in the following sections: preconference survey; summary of barriers and strategies to the uptake of CER/PCOR identified by conference attendees; and future perspectives on the field.

  19. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  20. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  1. NASA's National Center for Advanced Manufacturing

    Science.gov (United States)

    Vickers, John

    2003-01-01

    , Tennessee Technological University, Texas A&M University, and Virginia Polytechnic Institute and State University provided wide-ranging engineering research, new degree/curriculum programs, and a web-based lecture series. NCAM has fostered an important presence and leadership role within the national manufacturing community. Its progressive influence can be seen in government, industry and academia, and in national associations, professional organizations, conferences, workshops, and forums.

  2. 5th International Conference on Electrical Engineering and Automatic Control

    CERN Document Server

    Yao, Yufeng

    2016-01-01

    On the basis of instrument electrical and automatic control system, the 5th International Conference on Electrical Engineering and Automatic Control (CEEAC) was established at the crossroads of information technology and control technology, and seeks to effectively apply information technology to a sweeping trend that views control as the core of intelligent manufacturing and life. This book takes a look forward into advanced manufacturing development, an area shaped by intelligent manufacturing. It highlights the application and promotion of process control represented by traditional industries, such as the steel industry and petrochemical industry; the technical equipment and system cooperative control represented by robot technology and multi-axis CNC; and the control and support of emerging process technologies represented by laser melting and stacking, as well as the emerging industry represented by sustainable and intelligent life. The book places particular emphasis on the micro-segments field, such as...

  3. 2nd International Conference on Mechatronics and Automatic Control

    CERN Document Server

    2015-01-01

    This book examines mechatronics and automatic control systems. The book covers important emerging topics in signal processing, control theory, sensors, mechanic manufacturing systems and automation. The book presents papers from the second International Conference on Mechatronics and Automatic Control Systems held in Beijing, China on September 20-21, 2014. Examines how to improve productivity through the latest advanced technologies Covering new systems and techniques in the broad field of mechatronics and automatic control systems.

  4. Design for manufacturability of a VDSM standard cell library

    International Nuclear Information System (INIS)

    Zhou Chong; Zeng Jianping; Chen Lan; Yin Minghui; Zhao Jie

    2012-01-01

    This paper presents a method of designing a 65 nm DFM standard cell library. By reducing the amount of the library largely, the process of optical proximity correction (OPC) becomes more efficient and the need for large storage is reduced. This library is more manufacture-friendly as each cell has been optimized according to the DFM rule and optical simulation. The area penalty is minor compared with traditional library, and the timing, as well as power has a good performance. Furthermore, this library has passed the test from the Technology Design Department of Foundry. The result shows this DFM standard cell library has advantages that improve the yield. (semiconductor integrated circuits)

  5. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  6. PREFACE: 17th International Conference on Microscopy of Semiconducting Materials 2011

    Science.gov (United States)

    Walther, T.; Midgley, P. A.

    2011-11-01

    This volume contains invited and contributed papers from the 17th international conference on 'Microscopy of Semiconducting Materials' held at Churchill College, University of Cambridge, on 4-7 April 2011. The meeting was organised under the auspices of the Institute of Physics and supported by the Royal Microscopical Society as well as the Materials Research Society of the USA. This conference series deals with recent advances in semiconductor studies carried out by all forms of microscopy, with an emphasis on electron microscopy and related techniques with high spatial resolution. This time the meeting was attended by 131 delegates from 25 countries world-wide, a record in terms of internationality. As semiconductor devices shrink further new routes of device processing and characterisation need to be developed, and, for the latter, methods that offer sub-nanometre spatial resolution are particularly valuable. The various forms of imaging, diffraction and spectroscopy available in modern microscopes are powerful tools for studying the microstructure, the electronic structure, the chemistry and also electric fields in semiconducting materials. Recent advances in instrumentation, from lens aberration correction in both TEM and STEM instruments, to the development of a wide range of scanning probe techniques, as well as new methods of signal quantification have been presented at this conference. Two examples of topics at this meeting that have attracted a number of interesting studies were: the correlation of microstructural, optical and chemical information at atomic resolution with nanometre-scale resolved maps of the local electrical fields in (In,Al)GaN based semiconductors and tomographic approaches to characterise ensembles of nanowires and stacks of processed layers in devices Figure 1 Figure 1. Opening lecture by Professor Sir Colin J Humphreys. Each manuscript submitted for publication in this proceedings volume has been independently reviewed and revised

  7. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-09-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  8. AC low-pressure plasmas generated by using annular-shaped electrodes for abatement of pollutants emitted during semiconductor manufacturing processes

    International Nuclear Information System (INIS)

    Hur, Min; Lee, Jae Ok; Song, Young Hoon

    2011-01-01

    A plasma abatement system operating at low pressures is set up with the aim of treating pollutants emitted by the semiconductor industry. The abatement device is characterized by using a tube-shaped reactor design and a bipolar alternating current, which allows an easy connection to pre-existing pipelines in the semiconductor industry and low installation cost, respectively. By using optical emission spectroscopy (OES) and Fourier transform infrared spectroscopy (FTIR), we analyzed the discharge characteristics and abatement efficiency with emphasis on the working pressure effect. In the case of CF 4 , the destruction and removal efficiency (DRE) is greatly reduced with increasing pressure. However, the pressure has a relatively small influence on the DRE for tetrakis(ethylmethylamino)zirconium (TEMAZ), which is significantly destroyed only with several hundred watts and without any liquefied byproducts. This difference is closely related to the spatial distribution of reactive species and to the chemical bond strengths of the pollutant's components. Finally, the applicability of the abatement device is discussed based on the experimental results.

  9. Ninth international conference on ion beam modification of materials. Book of abstracts

    International Nuclear Information System (INIS)

    1995-01-01

    The conference focused on new developments and current status in the use of ion beams for modification of materials including: fundamental ion beam research and secondary effects of ion beams; materials modifications and techniques; biomedical and industrial applications; low energy processes; point defects and damage, nanocrystals in insulators, plasma immersion ion implantation, molecular dynamics simulations of ion-surface interactions, ion-beam mixing of insulators, GeV ion irradiation, electro-optical materials, polymers, tribological materials, and semiconductor processing. The handbook contains the workshop's program, abstracts and an author index. Separate abstracts were prepared for all papers in this volume

  10. Ninth international conference on ion beam modification of materials. Book of abstracts

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-31

    The conference focused on new developments and current status in the use of ion beams for modification of materials including: fundamental ion beam research and secondary effects of ion beams; materials modifications and techniques; biomedical and industrial applications; low energy processes; point defects and damage, nanocrystals in insulators, plasma immersion ion implantation, molecular dynamics simulations of ion-surface interactions, ion-beam mixing of insulators, GeV ion irradiation, electro-optical materials, polymers, tribological materials, and semiconductor processing. The handbook contains the workshop`s program, abstracts and an author index. Separate abstracts were prepared for all papers in this volume.

  11. Worldwide distribution of Total Reflection X-ray Fluorescence instrumentation and its different fields of application: A survey

    Energy Technology Data Exchange (ETDEWEB)

    Klockenkämper, Reinhold, E-mail: reinhold.klockenkaemper@isas.de; Bohlen, Alex von

    2014-09-01

    A survey was carried out with users and manufacturers of Total Reflection X-ray Fluorescence instrumentation in order to demonstrate the worldwide distribution of TXRF equipment and the different fields of applications. In general, TXRF users come from universities and scientific institutes, from working places at synchrotron beam-lines, or laboratories in semiconductor fabs. TXRF instrumentation is distributed in more than 50 countries on six continents and is applied at about 200 institutes and laboratories. The number of running desktop instruments amounts to nearly 300 units. About 60 beamlines run working places dedicated to TXRF. About 300 floor-mounted instruments are estimated to be used in about 150 fabs of the semiconductor industry. In total, 13 different fields of applications could be registered statistically from three different aspects. - Highlights: • According to the survey world maps show the distribution of TXRF equipment. • Nearly 700 individual units are running actually in 57 countries of 6 continents. • Users work at 200 universities, 60 synchrotron-beamlines, and 150 semiconductor fabs. • 13 fields of applications (e.g. environmental, chemical) are evaluated statistically. • Manufacturers, conference members and authors lead to 3 different pie-charts.

  12. International Conference on Mechanical Engineering and Technology

    CERN Document Server

    Mechanical Engineering and Technology

    2012-01-01

    The volume includes a set of selected papers extended and revised from the 2011 International Conference on Mechanical Engineering and Technology, held on London, UK, November 24-25, 2011.   Mechanical engineering technology is the application of physical principles and current technological developments to the creation of useful machinery and operation design. Technologies such as solid models may be used as the basis for finite element analysis (FEA) and / or computational fluid dynamics (CFD) of the design. Through the application of computer-aided manufacturing (CAM), the models may also be used directly by software to create "instructions" for the manufacture of objects represented by the models, through computer numerically controlled (CNC) machining or other automated processes, without the need for intermediate drawings.   This volume covers the subject areas of mechanical engineering and technology, and also covers interdisciplinary subject areas of computers, communications, control and automation...

  13. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  14. Worker exposure to methanol vapors during cleaning of semiconductor wafers in a manufacturing setting.

    Science.gov (United States)

    Gaffney, Shannon; Moody, Emily; McKinley, Meg; Knutsen, Jeffrey; Madl, Amy; Paustenbach, Dennis

    2008-05-01

    An exposure simulation was conducted to characterize methanol exposure of workers who cleaned wafers in quality control departments within the semiconductor industry. Short-term (15 min) and long-term (2-4 hr) personal and area samples (at distances of 1 m and 3-6 m from the source) were collected during the 2-day simulation. On the first day, 45 mL of methanol were used per hour by a single worker washing wafers in a 102 m(3) room with a ventilation rate of about 10 air changes per hour (ACH). Virtually all methanol volatilized. To assess exposures under conditions associated with higher productivity, on the second day, two workers cleaned wafers simultaneously, together using methanol at over twice the rate of the first day (95 mL/hr). On this day, the ventilation rate was halved (5 ACH). Personal concentrations on the first day averaged 60 ppm (SD = 46 ppm) and ranged from 10-140 ppm. On the second day, personal concentrations for both workers averaged 118 ppm (SD = 50 ppm; range: 64-270 ppm). Area concentrations measured on the first day at 1 m from the source and throughout the balance of the room averaged 29 ppm (SD = 19 ppm; range: 4-83 ppm) and 18 ppm (SD = 12 ppm; range: 3-42 ppm), respectively. As expected, area concentrations measured on the second day were higher than the first and averaged 73 ppm (SD = 25 ppm; range: 27-140 ppm) at 1 meter and 48 ppm (SD = 13 ppm; range: 21-67 ppm) throughout the balance of the room. The results of this simulation suggest that the use of methanol to clean semiconductor wafers without the use of local exhaust ventilation and with relatively low room ventilation rates is unlikely to result in worker exposures exceeding the current ACGIH(R) threshold limit value of 200 ppm. This study also confirmed prior studies suggesting that when a relatively volatile chemical is located within arm's length (near field), breathing zone concentrations will be about two- to threefold greater than the room concentration when the air

  15. Particle dispersing system and method for testing semiconductor manufacturing equipment

    Science.gov (United States)

    Chandrachood, Madhavi; Ghanayem, Steve G.; Cantwell, Nancy; Rader, Daniel J.; Geller, Anthony S.

    1998-01-01

    The system and method prepare a gas stream comprising particles at a known concentration using a particle disperser for moving particles from a reservoir of particles into a stream of flowing carrier gas. The electrostatic charges on the particles entrained in the carrier gas are then neutralized or otherwise altered, and the resulting particle-laden gas stream is then diluted to provide an acceptable particle concentration. The diluted gas stream is then split into a calibration stream and the desired output stream. The particles in the calibration stream are detected to provide an indication of the actual size distribution and concentration of particles in the output stream that is supplied to a process chamber being analyzed. Particles flowing out of the process chamber within a vacuum pumping system are detected, and the output particle size distribution and concentration are compared with the particle size distribution and concentration of the calibration stream in order to determine the particle transport characteristics of a process chamber, or to determine the number of particles lodged in the process chamber as a function of manufacturing process parameters such as pressure, flowrate, temperature, process chamber geometry, particle size, particle charge, and gas composition.

  16. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  17. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  18. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  19. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  20. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  1. Conference scene: progress with promising human antibodies.

    Science.gov (United States)

    Larrick, James W

    2012-03-01

    Antibodies and antibody-based therapeutics have become big business, with annual sales over US$50 billion, accounting for >6% of worldwide pharmaceutical revenues. Ten molecules have blockbuster status (>US$1 billion), with six generating more than US$6 billion in sales. In excess of 300 products based on this rapidly maturing technology are in clinical trials. The generation and manufacture of human antibodies is now routine, although the cost of goods remains an issue. Optimizing combinations of antibodies with other therapeutics (e.g., chemotherapy) is a major short-term goal, while target validation and product differentiation remain significant hurdles if growth is to continue. Some of the notable highlights of the recent 16th International Conference on Human Antibodies and Hybridomas meeting in Cannes, France are described below. The conference was sponsored by the international journal Human Antibodies, in association with the Integrative Medical Sciences Association (IMSA). The Program Chairman was Professor Mark Glassy, IMSA, San Diego, CA, USA.

  2. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  3. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  4. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  5. 2nd International Conference on Intelligent Technologies and Engineering Systems

    CERN Document Server

    Chen, Cheng-Yi; Yang, Cheng-Fu

    2014-01-01

    This book includes the original, peer reviewed research papers from the 2nd International Conference on Intelligent Technologies and Engineering Systems (ICITES2013), which took place on December 12-14, 2013 at Cheng Shiu University in Kaohsiung, Taiwan. Topics covered include: laser technology, wireless and mobile networking, lean and agile manufacturing, speech processing, microwave dielectrics, intelligent circuits and systems, 3D graphics, communications, and structure dynamics and control.

  6. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  7. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  8. Measurement stand for diagnosis of semiconductor detectors based on IBM PC/XT computer (4-way spectrometric analysis of pulses)

    International Nuclear Information System (INIS)

    Gruszecki, M.

    1990-01-01

    The technical assumptions and partial realization of our technological stand for quality inspection of semiconductor detectors for ionizing radiation manufactured in the INP in Cracow are described. To increase the efficiency of the measurements simultaneous checking of 4 semiconductor chips or finished products is suggested. In order to justify this measurement technique a review of possible variants of the measurement apparatus is presented for the systems consisting of home made units. Comparative parameters for the component modules and for complete measuring systems are given. The construction and operation of data acquisition system based on IBM PC/XT are described. The system ensures simultaneous registration of pulses obtained from 4 detectors with maximal rate of up to 500 x 10 3 pulses/s. 42 refs., 6 figs., 3 tabs. (author)

  9. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  10. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  11. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  12. ERC Vision & Research

    Science.gov (United States)

    SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC ) ** Bringing Sustainability to Semiconductor Manufacturing ** A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research

  13. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  14. International Conference on Narrow Gap Semiconductors Held in Southampton, England on 19-23 July 1992. Abstracts Booklet

    Science.gov (United States)

    1992-07-01

    University, Liniz. Narrow gap semiconductors offer the possibility to investigate in detail the role of conduction electrons in spin relaxation processes. In...crucial role on device performance. Hg1 ,-Zn.Te (N2T) is considered an alternative material to Hg1 -. Cd.Te (NCT) for infrared detectors. To the best of our... iaSb -AlSb-InAs-AlSh-GaSb), focusing on the effects of a magnetic fiheld parallel to the tunneling current, that is, perpendicular to the materials

  15. Proceedings of the International Conference on Wind Energy in Remote Regions

    International Nuclear Information System (INIS)

    Gipe, P.; Brudny, J.F.; Ilinca, A.; Bouchard, Y.; Proulx, P.; Chaumel, J.L.; Brunelle, M.T.; Henin, S.; Beaudoin, P.; Poirier, N.; Belanger, M.

    2005-01-01

    This international conference focused on the growth opportunities for the wind power industry in Canada, with particular focus on Quebec and eastern Canada. The conference presented formal paper sessions dealing with the largest wind turbine technology to the smallest machines. It also included tutorials, site visits, case studies and commercial exhibits aimed at wind power developers, utility managers, manufacturers, sub-contractors, theoreticians and practitioners. Participants discussed the best and innovative solutions for the efficient regional development of wind power with particular focus on remote, off-grid applications such as isolated northern communities and islands. The presentations emphasized how wind energy can allow isolated communities to reduce their dependence on costly imported diesel fuel by combining modern electronics with wind turbines and diesel power systems. Environmental impacts and future innovations in wind technology were also discussed along with practical solutions for combining wind energy with other sources of energy. The conference featured 30 presentations, of which 18 have been catalogued separately for inclusion in this database. (author)

  16. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  17. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  18. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  19. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 2

    Energy Technology Data Exchange (ETDEWEB)

    Soderquist, J.R.; Neri, L.M.; Bohon, H.L.

    1992-09-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design held at Lake Tahoe, Nevada, during 4-7 Nov. 1991. Presentations were made in the following areas of composite structural design: perspectives in composites, design methodology, design applications, design criteria, supporting technology, damage tolerance, and manufacturing.

  20. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 3

    Science.gov (United States)

    Soderquist, Joseph R. (Compiler); Neri, Lawrence M. (Compiler); Bohon, Herman L. (Compiler)

    1992-01-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design held at Lake Tahoe, Nevada, during 4-7 Nov. 1991. Presentations were made in the following areas of composite structural design: perspectives in composites, design methodology, design applications, design criteria, supporting technology, damage tolerance, and manufacturing.

  1. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 2

    Science.gov (United States)

    Soderquist, Joseph R. (Compiler); Neri, Lawrence M. (Compiler); Bohon, Herman L. (Compiler)

    1992-01-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design held at Lake Tahoe, Nevada, during 4-7 Nov. 1991. Presentations were made in the following areas of composite structural design: perspectives in composites, design methodology, design applications, design criteria, supporting technology, damage tolerance, and manufacturing.

  2. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  3. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  4. 7th European Thermal-Sciences Conference (Eurotherm2016)

    International Nuclear Information System (INIS)

    2016-01-01

    This Conference Volume contains the papers presented at the seventh European Thermal-Sciences Conference (EUROTHRERM 2016) held in Krakow, Poland from 19-23 June 2016 and accepted for Proceedings published in the Journal of Physics: Conference Series. The European Thermal-Sciences Conferences have been taking place since 1992, a full twenty four years. This year's follows successful conferences in Birmingham (1992, 2004), Rome (1996), Heidelberg (2000), Eindhoven (2008), Poitiers - Futuroscope (2012). The seventh European Thermal-Sciences Conference is being organized under the auspices of the EUROTHERM Committee. The goal of this conference is to provide a forum for the exposure and exchange of ideas, methods and results in heat transfer, fluid mechanics and thermodynamics. Conference topics include, but are not limited to: Fundamentals: Heat and Mass Transfer, Fluid Mechanics, Thermodynamic Processes, Measurement Techniques, Numerical Methods including: adsorption and desorption, boiling and evaporation, combustion, computational/numerical methods, condensation, conduction, convection, electrochemical transport, jets, mass transfer and drying, measurement techniques, micro-/nano-scale heat transfer, molecular transport, MHD and plasma, optimal control/theory, phase change, porous media, radiation, solidification, thermal - solar energy, turbulent transport, two-phase/multiphase flows, Applications: Aerospace Technology, Advanced Energy Systems, Advanced Environmental Systems, Biotechnology and Medical Engineering, Cryogenics, Education, Heat Exchangers, Interactive Computational and Experimental Methodologies, Inverse Problems, Manufacturing Processes, Material Processing, Micro-Electro-Mechanical Systems, Miniaturized Systems for Chemistry and Life Sciences, Miscellaneous, Nanotechnology. Within the general subjects of this conference the mini-symposium and special sessions are organized. The topics include: Clean Coal and Gas Technologies, Fuel Cell

  5. Ultrafast THz Saturable Absorption in Doped Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hoffmann, Matthias C.

    2011-01-01

    We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields.......We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields....

  6. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  7. International Conference on Solid Films and Surfaces (ICSFS 2014)

    International Nuclear Information System (INIS)

    Achete, C A; Almeida, C M; Cremona, M; Rocca, M; Stavale, F

    2015-01-01

    Foreword The 17th ICSFS took place at the wonderful city of Rio de Janeiro, Brazil from the 8th to the 11th of September, 2014. The conference focused on recent advances in controlling and characterizing the physical and chemical properties of films and surfaces, with a particular emphasis on materials for electronic, photonic and spintronic applications. In addition, themes of bio-functionalized structures and devices were strongly discussed in the ICSFS, covering interdisciplinary nano and nano-bio science and technology. The conference has promoted, in various sub-fields of materials surfaces and thin films, an excellent forum for exchange of ideas, presentation of technical achievements and discussion of future directions in the field. In this volume of the IOP Conference Series: Materials Science and Engineering we are glad to present 11 peer-reviewed ICSFS contributing papers. The cross-disciplinary nature of conference topics is clearly reflected in these Proceedings' contents. The themes discussed ranged from those close to more traditional condensed matter physics, such as semiconductor surfaces to physical chemistry related issues. The Proceedings were organized in accordance with contributions presented at the Conference. We were glad with the presence of over 160 participants, including 24 invited and plenary talks and over 50 oral contributions. We strongly believe that these Proceedings will be useful for a wide audience of those interested in basic and applied surfaces and thin solid interfaces. Acknowledgment We would like to acknowledge the hard work, professional skills and efficiency of the team which oversaw the general organization, particularly of Dicom (Social Communication Division) from the National Institute of Metrology, Quality and Technology, Inmetro (Brazil). We also would like to thank all the invited speakers and session chairs for making the meeting such a great success. The Conference was supported and sponsored by Academia

  8. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  9. PREFACE: 5th International Conference on Mechatronics (ICOM'13)

    Science.gov (United States)

    Akramin Shafie, Amir; Raisuddin Khan, Md

    2013-12-01

    The Fifth International Conference on Mechatronics (ICOM2013), took place in Kuala Lumpur Malaysia from 2-4 July 2013. The biannual conference which started in 2001 is regularly organized by Faculty of Engineering, International Islamic University Malaysia (IIUM) with the aims to serve as a platform for exchange of ideas on advances of in mechatronics and their applications as well as to foster research and worldwide collaboration. The theme for the 2013 conference was 'Mechatronics: Sustainable Development through Innovative Solutions'. The ICOM 2013 Conference consisted of Keynote Speeches (5) and oral contributions (150). The topics of the conference were: Mechatronic systems and Applications Intelligent Systems Control and Instrumentation Signal and Image Processing Machine Vision Robotics and Automation Manufacturing Mechatronics Green Mechatronics Mechatronic Education Smart Materials and Structures Active Vibration Control Computer and Information Technology MEMS and NEMS Biomechatronics and Rehabilitation Engineering Autonomous Systems Energy and Sustainability Transportation System It is our great pleasure to present this volume of IOP Conference Series: Materials Science and Engineering (MSE) to the scientific community to promote further research in these areas. We believe that this volume will be both an excellent source of scientific material in the fast evolving fields that were covered by ICOM 2013. We thank the authors for their enthusiastic and high-grade contributions. We would also like to express our gratitude to the Organizing Committee, the Institutions and Sponsors and everyone who contributed to this conference through their supports and invaluable efforts. Editors Amir A Shafie aashafie@iium.edu.my Raisuddin Khan raisuddin@iium.edu.my Mahbubur Rashid mahbub@iium.edu.my Department of Mechatronics, International Islamic University Malaysia (IIUM), Kuala Lumpur Malaysia Organizing Committee Md Raisuddin Khan Md Mozasser Rahman Shahrul Naim

  10. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2013-01-01

    This third edition of “Semiconductor Lasers, Stability, Instability and Chaos” was significantly extended.  In the previous edition, the dynamics and characteristics of chaos in semiconductor lasers after the introduction of the fundamental theory of laser chaos and chaotic dynamics induced by self-optical feedback and optical injection was discussed. Semiconductor lasers with new device structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are interesting devices from the viewpoint of chaotic dynamics since they essentially involve chaotic dynamics even in their free-running oscillations. These topics are also treated with respect to the new developments in the current edition. Also the control of such instabilities and chaos control are critical issues for applications. Another interesting and important issue of semiconductor laser chaos in this third edition is chaos synchronization between two lasers and the application to optical secure communication. One o...

  11. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  12. Optical coherent control in semiconductors

    DEFF Research Database (Denmark)

    Østergaard, John Erland; Vadim, Lyssenko; Hvam, Jørn Märcher

    2001-01-01

    of quantum control including the recent applications to semiconductors and nanostructures. We study the influence of inhomogeneous broadening in semiconductors on CC results. Photoluminescence (PL) and the coherent emission in four-wave mixing (FWM) is recorded after resonant excitation with phase...

  13. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  14. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 1

    Energy Technology Data Exchange (ETDEWEB)

    Soderquist, J.R.; Neri, L.M.; Bohon, H.L.

    1992-09-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA conference on Fibrous Composites in structural Design. Presentations were made in the following areas of composite structural design: perspectives in composites; design methodology; design applications; design criteria; supporting technology; damage tolerance; and manufacturing. Separate abstracts have been indexed into the database for articles from this report.

  15. 75 FR 38129 - Freescale Semiconductor, Inc., Hardware/Software Design and Manufacturing A Including On-Site...

    Science.gov (United States)

    2010-07-01

    ... Manufacturing A, Austin, Texas. The notice was published in the Federal Register on May 28, 2010 (75 FR 30070...Logic, Inc., Austin, TX; Amended Certification Regarding Eligibility To Apply for Worker Adjustment..., Design Solutions, Inc., Veriseo, SilconElite and MicroLogic, Inc. were employed on-site at the Austin...

  16. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  17. Proceedings of the 1996 oil heat technology conference and workshop

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, R.J.

    1996-07-01

    This Conference is a key technology transfer activity supported by the ongoing Combustion Equipment Technology (Oil-Heat R and D) program at BNL, and is aimed at providing a forum for the exchange of information among international researchers, engineers, manufacturers, and marketers of oil-fired space-conditioning equipment. The objectives of the Conference were to: identify and evaluate the state-of-the-art and recommend new initiatives for higher efficiency, a cleaner environment, and to satisfy consumer needs cost-effectively, reliably, and safely; and foster cooperation among federal and industrial representatives with the common goal of sustained national economic growth and energy security via energy conservation. The 1996 Oil Technology Conference comprised: (a) fourteen technical papers, and (b) four workshops which focused on mainstream issues in oil-heating technology, namely: oilheat research agenda forum; fan atomized burner commercialization, applications, and product development; fuel quality, storage and maintenance--industry discussion; and application of oil heat venting tables, NFPA 31 standard. Selected papers are indexed separately for inclusion in the Energy Science and Technology Database.

  18. 7th european metallurgical conference EMC 2013

    Directory of Open Access Journals (Sweden)

    Srećko R. Stopić

    2014-02-01

    Full Text Available From June 23 – 26, 2013, the GDMB Society for Mining, Metallurgy, Resource and Environmental Technology organized 7th European Metallurgical Conference (EMC 2013 in Weimar, Germany. The previous European metallurgical conferences were organized by  the GDMB in Friedrichshafen (2001, Hanover (2003, Leipzig (2005, Duesseldorf (2007, Innsbruck (2009, and Duesseldorf (2011. The GDMB is a non-profit organization from Clausthal, Germany,,focused on combining science with practical experience in metallurgy, mining, materials engineering, mineral processing, recycling and refining of metals, and  manufacturing of semi- and finishing products. The European Metallurgical conference EMC is one of the most well-known conferences worldwide in the field of non-ferrous metallurgy and is attended regularly by decision makers from industry and universities. The scientific program contained 6 plenary lectures and more than 130 presentations. An extensive poster exhibition was held, during which the authors had an opportunity to introduce their posters to the entire plenum as a part of a brief presentation., The € 500 worth “Poster Award EMC 2011 was awarded to Christoph Pichler from the Montan-University in Leoben, Austria. Not only the most important European countries were represented here, but also more than one third of the lecturers were from countries outside Europe (Canada, Japan, China, USA, South Africa, Australia. The origin of the participants reflects the aim of the organizers: to make this conference a worldwide platform for the scientific exchange of experience and information. The scientific presentations of the conference are presented in Proceedings: Vol. 1: Copper, Precious Metals, Waste effluents Treatment/ Biohydrometallurgical applications; Process Metallurgy, Bridging Non-Ferrous and Ferrous Metallurgy; Vol. 2: Lead and Zinc, Light metals, Sustainable technologies, Sustainable of non-ferrous metals production, Process Control

  19. Aerospace Environmental Technology Conference: Exectutive summary

    Science.gov (United States)

    Whitaker, A. F. (Editor)

    1995-01-01

    The mandated elimination of CFC's, Halons, TCA, and other ozone depleting chemicals and specific hazardous materials has required changes and new developments in aerospace materials and processes. The aerospace industry has been involved for several years in providing product substitutions, redesigning entire production processes, and developing new materials that minimize or eliminate damage to the environment. These activities emphasize replacement cleaning solvents and their application verifications, compliant coatings including corrosion protection systems, and removal techniques, chemical propulsion effects on the environment, and the initiation of modifications to relevant processing and manufacturing specifications and standards. The papers from this conference are being published in a separate volume as NASA CP-3298.

  20. Nuclear radiation detection by a variband semiconductor

    International Nuclear Information System (INIS)

    Volkov, A.S.

    1981-01-01

    Possibilities of using a variband semiconductor for detecting nuclear radiations are considered. It is shown that the variaband quasielectric field effectively collects charges induced by a nuclear particle only at a small mean free path in the semiconductor (up to 100 μm), the luminescence spectrum of the variband semiconductor when a nuclear particle gets into it, in principle, permits to determine both the energy and mean free path in the semiconductor (even at large mean free paths) [ru

  1. Bioanalysis-related highlights from the 2011 AAPS National Biotechnology Conference.

    Science.gov (United States)

    Crisino, Rebecca M; Dulanto, Beatriz

    2011-08-01

    The American Association of Pharmaceutical Scientists is a dynamic international forum for the exchange of knowledge among scientists to enhance their contributions to drug development. The annual National Biotechnology Conference, conducted and organized by the American Association of Pharmaceutical Scientists, is a forum dedicated to advancements in science and technology related to discovery, development and manufacture of medical biotechnology products. The 2011 National Biotechnology Conference meeting convened in San Francisco, CA, USA on 16-18 May. Over 300 abstracts were submitted and approximately 50 sessions examined topics pertaining to advances in drug development, emerging analytical technologies, bioanalysis-related issues, biosimilar therapies, updates on global regulatory documents and expectations, and other topics. The focus of this article is to highlight key developments relevant to immunogenicity and pharmacokinetic drug concentration bioanalysis.

  2. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  3. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  4. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  5. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  6. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  7. 46 CFR 183.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  8. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  9. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  10. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  11. 46 CFR 120.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  12. Coherent dynamics in semiconductors

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    1998-01-01

    enhanced in quantum confined lower-dimensional systems, where exciton and biexciton effects dominate the spectra even at room temperature. The coherent dynamics of excitons are at modest densities well described by the optical Bloch equations and a number of the dynamical effects known from atomic......Ultrafast nonlinear optical spectroscopy is used to study the coherent dynamics of optically excited electron-hole pairs in semiconductors. Coulomb interaction implies that the optical inter-band transitions are dominated, at least at low temperatures, by excitonic effects. They are further...... and molecular systems are found and studied in the exciton-biexciton system of semiconductors. At densities where strong exciton interactions, or many-body effects, become dominant, the semiconductor Bloch equations present a more rigorous treatment of the phenomena Ultrafast degenerate four-wave mixing is used...

  13. Effects of fluorine contamination on spin-on dielectric thickness in semiconductor manufacturing

    Science.gov (United States)

    Kim, Hyoung-ryeun; Hong, Soonsang; Kim, Samyoung; Oh, Changyeol; Hwang, Sung Min

    2018-03-01

    In the recent semiconductor industry, as the device shrinks, spin-on dielectric (SOD) has been adopted as a widely used material because of its excellent gap-fill, efficient throughput on mass production. SOD film must be uniformly thin, homogeneous and free of particle defects because it has been perfectly perserved after chemical-mechanical polishing (CMP) and etching process. Spin coating is one of the most common techniques for applying SOD thin films to substrates. In spin coating process, the film thickness and uniformity are strong function of the solution viscosity, the final spin speed and the surface properties. Especially, airborne molecular contaminants (AMCs), such as HF, HCl and NH3, are known to change to surface wetting characteristics. In this work, we study the SOD film thickness as a function of fluorine contamination on the wafer surface. To examine the effects of airborne molecular contamination, the wafers are directly exposed to HF fume followed by SOD coating. It appears that the film thickness decreases by higher contact angle on the wafer surface due to fluorine contamination. The thickness of the SOD film decreased with increasing fluorine contamination on the wafer surface. It means that the wafer surface with more hydrophobic property generates less hydrogen bonding with the functional group of Si-NH in polysilazane(PSZ)-SOD film. Therefore, the wetting properties of silicon wafer surfaces can be degraded by inorganic contamination in SOD coating process.

  14. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  15. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  16. The 9th International Countercurrent Chromatography Conference held at Dominican University, Chicago, USA, August 1-3, 2016.

    Science.gov (United States)

    Friesen, J Brent; McAlpine, James B; Chen, Shao-Nong; Pauli, Guido F

    2017-10-20

    The 9th International Countercurrent Chromatography Conference (CCC 2016) was held at Dominican University near Chicago, IL (USA), from August 1st-3rd, 2016. The biennial CCC 20XX conferences provide an opportunity for countercurrent chromatography and centrifugal partition chromatography (CCC/CPC) manufactures, marketers, theorists, and research scientists to gather together socially, learn from each other, and advance countercurrent separation technology. A synopsis of the conference proceedings as well as a series of short reviews of the special edition articles is included in this document. Many productive discussions and collegial conversation at CCC 2016 attested to the liveliness, connectivity, and productivity of the global countercurrent research community and bodes well for the success of the 10th conference at the University of Braunschweig, Germany on August 1-3, 2018. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Mechanisms of current flow in metal-semiconductor ohmic contacts

    International Nuclear Information System (INIS)

    Blank, T. V.; Gol'dberg, Yu. A.

    2007-01-01

    Published data on the properties of metal-semiconductor ohmic contacts and mechanisms of current flow in these contacts (thermionic emission, field emission, thermal-field emission, and also current flow through metal shunts) are reviewed. Theoretical dependences of the resistance of an ohmic contact on temperature and the charge-carrier concentration in a semiconductor were compared with experimental data on ohmic contacts to II-VI semiconductors (ZnSe, ZnO), III-V semiconductors (GaN, AlN, InN, GaAs, GaP, InP), Group IV semiconductors (SiC, diamond), and alloys of these semiconductors. In ohmic contacts based on lightly doped semiconductors, the main mechanism of current flow is thermionic emission with the metal-semiconductor potential barrier height equal to 0.1-0.2 eV. In ohmic contacts based on heavily doped semiconductors, the current flow is effected owing to the field emission, while the metal-semiconductor potential barrier height is equal to 0.3-0.5 eV. In alloyed In contacts to GaP and GaN, a mechanism of current flow that is not characteristic of Schottky diodes (current flow through metal shunts formed by deposition of metal atoms onto dislocations or other imperfections in semiconductors) is observed

  18. Apparatus for testing semiconductor devices and capacitors

    International Nuclear Information System (INIS)

    York, R.A.

    1984-01-01

    An apparatus is provided for testing semiconductor devices. The apparatus tests the impedance of the semiconductor devices in both conducting and non-conducting states to detect semiconductors whose impedance in the conducting state is too high or whose impedance in the non-conducting state is too low. The apparatus uses a battery source for low voltage d.c. The circuitry for detecting when the impedance is too high in the conducting state includes a lamp in series with the battery source and the semiconductor device, whereby the impedance of the semiconductor device determines whether sufficient current will flow through the lamp to cause the lamp to illuminate. A d.c. to d.c. converter is provided to boost the voltage from the battery source to a relatively high voltage d.c. The relatively high voltage d.c. can be connected by a switch to circuitry for detecting when the impedance of the semiconductor device in the non-conducting state is too low. The circuitry for detecting when the impedance of the semiconductor device is too low includes a resistor which senses the current flowing in the device and converts the current into a voltage proportional to the leakage current. This voltage is then compared against a fixed reference. Further circuitry is provided for providing a visual indication when the voltage representative of leakage in relation to the reference signal indicates that there is excessive current flow through the semiconductor device

  19. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-01-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10–2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost. PMID:26416434

  20. 13th International conference on environmental degradation of materials in nuclear power systems

    International Nuclear Information System (INIS)

    2007-01-01

    The 13th International Conference on Environmental Degradation of Materials in Nuclear Power Systems was held on August 19-23, 2007 in Whistler, British Columbia, Canada. More of a scientific meeting than a convention, this conference series is the premier nuclear industry corrosion meeting where the 225 registrations consisted of world experts of the field from utilities, engineering and service organizations, manufacturers, research establishments and universities gathered to listen to 144 technical papers on new work and to explore new insights into corrosion mechanisms in the many water cooled systems in nuclear power plants. Over 225 delegates attended the conference, over 144 technical papers were presented in the following sessions: IASCC; Waste; PWR Secondary; Ni-Base Welds; Operating Experience; Low Alloy Steels; Alloy 800 Steam Generator Tubing; Zirconium Alloys; Crack Growth; SCWR; PWR Primary; BWR SCC; Irradiation Effects; Flow Accelerated Corrosion; and, Nobel Metal

  1. Rectification at Graphene-Semiconductor Interfaces: Zero-Gap Semiconductor-Based Diodes

    Directory of Open Access Journals (Sweden)

    S. Tongay

    2012-01-01

    Full Text Available Using current-voltage (I-V, capacitance-voltage (C-V, and electric-field-modulated Raman measurements, we report on the unique physics and promising technical applications associated with the formation of Schottky barriers at the interface of a one-atom-thick zero-gap semiconductor (graphene and conventional semiconductors. When chemical-vapor-deposited graphene is transferred onto n-type Si, GaAs, 4H-SiC, and GaN semiconductor substrates, there is a strong van-der-Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky barrier. Thermionic-emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. Applications can be made to sensors, where in forward bias there is exponential sensitivity to changes in the Schottky-barrier height due to the presence of absorbates on the graphene, and to analog devices, for which Schottky barriers are integral components. Such applications are promising because of graphene’s mechanical stability, its resistance to diffusion, its robustness at high temperatures, and its demonstrated capability to embrace multiple functionalities.

  2. Reflection technique for thermal mapping of semiconductors

    Science.gov (United States)

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  3. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  4. Emission and Absorption Entropy Generation in Semiconductors

    DEFF Research Database (Denmark)

    Reck, Kasper; Varpula, Aapo; Prunnila, Mika

    2013-01-01

    While emission and absorption entropy generation is well known in black bodies, it has not previously been studied in semiconductors, even though semiconductors are widely used for solar light absorption in modern solar cells [1]. We present an analysis of the entropy generation in semiconductor...... materials due to emission and absorption of electromagnetic radiation. It is shown that the emission and absorption entropy generation reduces the fundamental limit on the efficiency of any semiconductor solar cell even further than the Landsberg limit. The results are derived from purely thermodynamical...

  5. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  6. Plasmonics based micro/nano manufacturing

    Science.gov (United States)

    Garner, Quincy

    Since the advent of the Information Age, there has been an ever growing demand to continually shrink and reduce the cost of semiconductor products. To meet this demand, a great amount of research has been done to improve our current micro/nano manufacturing processes and develop the next generation of semiconductor fabrication techniques. High throughput, low cost, smaller features, high repeatability, and the simplification of the manufacturing processes are all targets that researchers continually strive for. To this day, there are no perfect systems capable of simultaneously achieving all of these targets. For this reason, much research time is spent improving and developing new techniques in hopes of developing a system that will incorporate all of these targets. While there are numerous techniques being investigated and developed every year, one of the most promising areas of research that may one day be capable of achieving our desired targets is plasmonics. Plasmonics, or the study of the free electron oscillations in metals, is the driving phenomena in the applications reported in this paper. In chapter 2, the formation of ordered gold nanoparticles on a silicon substrate through the use of energetic surface plasmons is reported. Utilizing a gold/alumina nano-hole antenna and 1064 nm Nd:YAG laser system, semi-periodic gold nanoparticles were deposited onto the surface of a silicon substrate. The novel technique is simpler, faster, and safer than any known gold nanoparticle deposition technique reported in literature. The implementation of this technique has potential wide-ranging applications in photovoltaic cells, medical products, and many others. In chapter 3, a low cost lithography technique utilizing surface plasmons is reported. In this technique, a plasmonic photomask is created by coating a pre-made porous alumina membrane with a thin aluminum layer. A coherent, 337 nm UV laser source is used to expose the photomask and excite surface plasmons along

  7. 11th International Conference Mechatronics

    CERN Document Server

    Brezina, Tomas

    2016-01-01

    Focusing on the most rapidly changing areas of mechatronics, this book discusses signals and system control, mechatronic products, metrology and nanometrology, automatic control & robotics, biomedical engineering, photonics, design manufacturing and testing of MEMS. It is reflected in the list of contributors, including an international group of 302 leading researchers representing 12 countries. The book is intended for use in academic, government and industry R&D departments, as an indispensable reference tool for the years to come. Thid volume can serve a global community as the definitive reference source in Mechatronics. The book comprises carefully selected 93 contributions presented at the 11th International Conference Mechatronics 2015, organized by Faculty of Mechatronics, Warsaw University of Technology, on September 21-23, in Warsaw, Poland. .

  8. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  9. 58. annual symposium of the Austrian Physical Society. Conference programme

    International Nuclear Information System (INIS)

    Oswald, J.

    2008-01-01

    Full text: This annual conference consisted of a plenary session, oral and poster sessions on the research fields of: acoustics; atoms, quantum optics and plasma (doped helium droplets, biomolecules studies in super fluid helium droplets, quantum physics with neutrons); solid state physics (terahertz quantum-cascade lasers, semiconductors nanostructures, magnetic studies on steel pipeline tubes, magnetic characterization magnetic materials, spin properties of confined electrons); physics history; nuclear and particle physics (antiprotonic helium - hyperfine structure, pionic atoms (hydrogen), CMS experiment at LHC (level 1-trigger, super symmetry), vertex reconstruction toolkit RAVE, silicon strip detectors, chiral transition temperature, quantum physics - Bell theorem, Bethe-Salpeter equation, plane static magnetic field, low-lying eigen modes of the dirac operator, SU(3) potentials by thick-center-vortex-model); medical, bio - and environmental physics; neutrons and synchrotron radiation physics (neutron holography - advances, atomic diffusion by XPCS, micro-diffraction experiments, cold three-axis spectrometer - next generation, superconductive radio resonating cavities- roughness, neutron polarization); surfaces and thin films (carbon monoxide adsorption on metal surfaces, laser - assisted deposition, nanostructures (magnetic properties, semiconductors, electronic structure, erosion, crystal growth, adsorption, sputtering)); physics - industry - energy; besides a poster session on polymer physics and the Max Auwaerter symposium are included. Those contributions which are in the INIS subject scope are indexed individually. (nevyjel)

  10. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  11. International Conference on Recent Trends in Materials and Devices

    CERN Document Server

    Rattan, Sunita; Verma, Abhishek

    2017-01-01

    This book presents the proceedings of the International Conference on Recent Trends in Materials and Devices, which was conceived as a major contribution to large-scale efforts to foster Indian research and development in the field in close collaboration with the community of non-resident Indian researchers from all over the world. The research articles collected in this volume - selected from among the submissions for their intrinsic quality and originality, as well as for their potential value for further collaborations - document and report on a wide range of recent and significant results for various applications and scientific developments in the areas of Materials and Devices. The technical sessions covered include photovoltaics and energy storage, semiconductor materials and devices, sensors, smart and polymeric materials, optoelectronics, nanotechnology and nanomaterials, MEMS and NEMS, as well as emerging technologies.

  12. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  13. Progress in semiconductor drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Walton, J.; Gatti, E.

    1985-01-01

    Progress in testing semiconductor drift detectors is reported. Generally better position and energy resolutions were obtained than resolutions published previously. The improvement is mostly due to new electronics better matched to different detectors. It is shown that semiconductor drift detectors are becoming versatile and reliable detectors for position and energy measurements

  14. Photoelectronic properties of semiconductors

    CERN Document Server

    Bube, Richard H

    1992-01-01

    The interaction between light and electrons in semiconductors forms the basis for many interesting and practically significant properties. This book examines the fundamental physics underlying this rich complexity of photoelectronic properties of semiconductors, and will familiarise the reader with the relatively simple models that are useful in describing these fundamentals. The basic physics is also illustrated with typical recent examples of experimental data and observations. Following introductory material on the basic concepts, the book moves on to consider a wide range of phenomena, including photoconductivity, recombination effects, photoelectronic methods of defect analysis, photoeffects at grain boundaries, amorphous semiconductors, photovoltaic effects and photoeffects in quantum wells and superlattices. The author is Professor of Materials Science and Electrical Engineering at Stanford University, and has taught this material for many years. He is an experienced author, his earlier books having fo...

  15. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  16. Fabrication of Circuit QED Quantum Processors, Part 2: Advanced Semiconductor Manufacturing Perspectives

    Science.gov (United States)

    Michalak, D. J.; Bruno, A.; Caudillo, R.; Elsherbini, A. A.; Falcon, J. A.; Nam, Y. S.; Poletto, S.; Roberts, J.; Thomas, N. K.; Yoscovits, Z. R.; Dicarlo, L.; Clarke, J. S.

    Experimental quantum computing is rapidly approaching the integration of sufficient numbers of quantum bits for interesting applications, but many challenges still remain. These challenges include: realization of an extensible design for large array scale up, sufficient material process control, and discovery of integration schemes compatible with industrial 300 mm fabrication. We present recent developments in extensible circuits with vertical delivery. Toward the goal of developing a high-volume manufacturing process, we will present recent results on a new Josephson junction process that is compatible with current tooling. We will then present the improvements in NbTiN material uniformity that typical 300 mm fabrication tooling can provide. While initial results on few-qubit systems are encouraging, advanced processing control is expected to deliver the improvements in qubit uniformity, coherence time, and control required for larger systems. Research funded by Intel Corporation.

  17. International Conference on Information Technology and Agricultural Engineering (ICITAE 2011)

    CERN Document Server

    Sambath, Sabo; Information Technology and Agricultural Engineering

    2012-01-01

    This volume comprises the papers from 2011 International Conference on Information Technology and Agricultural Engineering (ICITAE 2011).  2011 International Conference on Information Technology and Agricultural Engineering (ICITAE 2011) has been held in Sanya, China, December 1-2, 2011. All the papers have been peer reviewed by the selected experts. These papers represent the latest development in the field of materials manufacturing technology, spanning from the fundamentals to new technologies and applications. Specially, these papers cover the topics of Information Technology and Agricultural Engineering. This book provides a greatly valuable reference for researchers in the field of Information Technology and Agricultural Engineering who wish to further understand the underlying mechanisms and create innovative and practical techniques, systems and processes. It should also be particularly useful for engineers in information technology and agriculture who are responsible for the efficient and effective ...

  18. PREFACE: International Conference on Solid Films and Surfaces (ICSFS 2014)

    Science.gov (United States)

    Achete, C. A.; Almeida, C. M.; Cremona, M.; Rocca, M.; Stavale, F.

    2015-03-01

    Foreword The 17th ICSFS took place at the wonderful city of Rio de Janeiro, Brazil from the 8th to the 11th of September, 2014. The conference focused on recent advances in controlling and characterizing the physical and chemical properties of films and surfaces, with a particular emphasis on materials for electronic, photonic and spintronic applications. In addition, themes of bio-functionalized structures and devices were strongly discussed in the ICSFS, covering interdisciplinary nano and nano-bio science and technology. The conference has promoted, in various sub-fields of materials surfaces and thin films, an excellent forum for exchange of ideas, presentation of technical achievements and discussion of future directions in the field. In this volume of the IOP Conference Series: Materials Science and Engineering we are glad to present 11 peer-reviewed ICSFS contributing papers. The cross-disciplinary nature of conference topics is clearly reflected in these Proceedings' contents. The themes discussed ranged from those close to more traditional condensed matter physics, such as semiconductor surfaces to physical chemistry related issues. The Proceedings were organized in accordance with contributions presented at the Conference. We were glad with the presence of over 160 participants, including 24 invited and plenary talks and over 50 oral contributions. We strongly believe that these Proceedings will be useful for a wide audience of those interested in basic and applied surfaces and thin solid interfaces. Acknowledgment We would like to acknowledge the hard work, professional skills and efficiency of the team which oversaw the general organization, particularly of Dicom (Social Communication Division) from the National Institute of Metrology, Quality and Technology, Inmetro (Brazil). We also would like to thank all the invited speakers and session chairs for making the meeting such a great success. The Conference was supported and sponsored by Academia

  19. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  20. Ninth international conference on metering and tariffs for energy supply. Proceedings

    International Nuclear Information System (INIS)

    1999-01-01

    Contributions to the ninth international conference on Metering and Tariffs for Energy Supply are presented. Topics reviewed include legal metrology, regulation and the commercial framework (3 papers); metering in a competitive supply (7 papers); interactive papers (13 papers); standardisation (5 papers); equipment design (5 papers); equipment manufacture and testing (5 papers); data collection and processing (6 papers); securing and enhancing revenue (5 papers); prepayment systems (5 papers); and metering as a business (4 papers). (UK)

  1. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  2. Terahertz Nonlinear Optics in Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias C.

    2013-01-01

    We demonstrate the nonlinear optical effects – selfphase modulation and saturable absorption of a single-cycle THz pulse in a semiconductor. Resulting from THz-induced modulation of Drude plasma, these nonlinear optical effects, in particular, lead to self-shortening and nonlinear spectral...... breathing of a single-cycle THz pulse in a semiconductor....

  3. Squeezing in an injection-locked semiconductor laser

    Science.gov (United States)

    Inoue, S.; Machida, S.; Yamamoto, Y.; Ohzu, H.

    1993-09-01

    The intensity-noise properties of an injection-locked semiconductor laser were studied experimentally. The constant-current-driven semiconductor laser producing the amplitude-squeezed state whose intensity noise was reduced below the standard quantum limit (SQL) by 0.72 dB was injection-locked by an external master laser. The measured intensity-noise level of the injection-locked semiconductor laser was 0.91 dB below the SQL. This experimental result indicates that a phase-coherent amplitude-squeezed state or squeezed vacuum state together with a reference local oscillator wave can be generated directly by semiconductor laser systems.

  4. PREFACE: 1st European Conference on Gas Micro Flows (GasMems 2012)

    Science.gov (United States)

    Frijns, Arjan; Valougeorgis, Dimitris; Colin, Stéphane; Baldas, Lucien

    2012-05-01

    The aim of the 1st European Conference on Gas Micro Flows is to advance research in Europe and worldwide in the field of gas micro flows as well as to improve global fundamental knowledge and to enable technological applications. Gas flows in microsystems are of great importance and touch almost every industrial field (e.g. fluidic microactuators for active control of aerodynamic flows, vacuum generators for extracting biological samples, mass flow and temperature micro-sensors, pressure gauges, micro heat-exchangers for the cooling of electronic components or for chemical applications, and micro gas analyzers or separators). The main characteristic of gas microflows is their rarefaction, which for device design often requires modelling and simulation both by continuous and molecular approaches. In such flows various non-equilibrium transport phenomena appear, while the role played by the interaction between the gas and the solid device surfaces becomes essential. The proposed models of boundary conditions often need an empirical adjustment strongly dependent on the micro manufacturing technique. The 1st European Conference on Gas Micro Flows is organized under the umbrella of the recently established GASMEMS network (www.gasmems.eu/) consisting of 13 participants and six associate members. The main objectives of the network are to structure research and train researchers in the fields of micro gas dynamics, measurement techniques for gaseous flows in micro experimental setups, microstructure design and micro manufacturing with applications in lab and industry. The conference takes place on June 6-8 2012, at the Skiathos Palace Hotel, on the beautiful island of Skiathos, Greece. The conference has received funding from the European Community's Seventh Framework Programme FP7/2007-2013 under grant agreement ITN GASMEMS no. 215504. It owes its success to many people. We would like to acknowledge the support of all members of the Scientific Committee and of all

  5. Waveguide based external cavity semiconductor lasers

    NARCIS (Netherlands)

    Oldenbeuving, Ruud; Klein, E.J.; Offerhaus, Herman L.; Lee, Christopher James; Verhaegen, M.; Boller, Klaus J.

    2012-01-01

    We report on progress of the project waveguide based external cavity semiconductor laser (WECSL) arrays. Here we present the latest results on our efforts to mode lock an array of tunable, external cavity semiconductor lasers.

  6. `Climate wise` program at the Cosmair, Inc. Clark Manufacturing Facility

    Energy Technology Data Exchange (ETDEWEB)

    Kraly, K.

    1997-12-31

    Viewgraphs from the conference presentation are reproduced in this paper, which outlines energy efficiency improvements and emissions reductions at a hair care products manufacturing facility. Program management focuses on employee involvement in internal audits, utility tracking, public relations, and preventative maintenance. Energy savings, cost savings, and emission reductions are presented for 1996 and projected to the year 2000. Other program aspects outlined include a summary of utility costs; solid waste; chilled water system modifications; lighting modifications; boiler upgrades; and heating, ventilating, and air conditioning improvements.

  7. An investigation into the use of large area silicon semiconductors in microwave systems

    International Nuclear Information System (INIS)

    Holliday, H.R.

    1999-09-01

    Semiconductor microwave devices are usually manufactured using micron or sub-micron geometries. The equipment needed for these techniques has a high capital cost and demands high overheads. The material traditionally processed for microwave applications is gallium arsenide but during the period of this investigation a move towards the use of silicon and silicon germanium has emerged. This study, which is essentially practical, covers a range of new ideas for components using large area silicon devices. In the course of the study considerable progress has also been made in the understanding of the behaviour of silicon at microwave frequencies, and some of the initial Concepts were shown to be invalid. An accurate determination of the dielectric constant of silicon has been made using quasi optical techniques at microwave frequencies. The fabrication techniques described originate from methods used at Q-par Angus to manufacture large area silicon nuclear radiation detectors. Developed at the University of Birmingham, these are 'wet chemistry' methods that preclude the need for diffusion or other conventional semiconductor processing techniques. Novel microwave components have been developed using these techniques. These include an optically controlled attenuator with multioctave bandwidth and good dynamic range; window devices to reduce the radar cross section of microwave antennas; and microwave cavity devices including a variable-Q cavity. Concepts for millimeter wave filters are discussed, as are areas for further research. During the attenuator study Wheeler's equations have been extended to cover truncated microstrip. It was observed at an early stage in the work that optical excitation was very effective as a method of controlling the devices. This fits well with current trends in electro-optical devices. The piezo resistance effect in silicon has been briefly investigated and a mechanical attenuator exploiting this effect has been developed. (author)

  8. Proceedings of the 1997 oil heat technology conference and workshop

    Energy Technology Data Exchange (ETDEWEB)

    McDonald, R.J.

    1997-09-01

    This report documents the Proceedings of the 1997 Oil Heat Technology Conference and Workshop, held on April 3--4 at Brookhaven National Laboratory (BNL), and sponsored by the US Department of Energy--Office of Building Technologies, State and Community programs (DOE-BTS), in cooperation with the Petroleum Marketers Association of America (PMAA). This Conference is a key technology transfer activity supported by the ongoing Combustion Equipment Technology (Oil-Heat R and D) program at BNL, and is aimed at providing a forum for the exchange of information among international researchers, engineers, manufacturers, and marketers of oil-fired space-conditioning equipment. The objectives of the Conference were to: identify and evaluate the state-of-the-art and recommend new initiatives for higher efficiency, a cleaner environment, and to satisfy consumer needs cost-effectively, reliably, and safely: and foster cooperation among federal and industrial representatives with the common goal of sustained national economic growth and energy security via energy conservation. The 1997 Oil Technology Conference comprised: (a) five plenary sessions devoted to presentations and summations by public and private sector industry representatives from the US, and Canada, and (b) four workshops which focused on mainstream issues in oil-heating technology. This book contains 14 technical papers and four summaries from the workshops. Selected papers have been indexed separately for inclusion in the Energy Science and Technology Database.

  9. Proceedings of the Sixth International Conference on Management Science and Engineering Management : Focused on Electrical and Information Technology

    CERN Document Server

    Yasinzai, Masoom; Lev, Benjamin

    2013-01-01

    Welcome to the proceedings of the Sixth International Conference on Management Science and Engineering Management (ICMSEM2012) held from November 11 to 14, 2012 at Quaid-i-Azam University, Islamabad, Pakistan and supported by Sichuan University (Chengdu, China), Quaid-i-Azam University (Islamabad, Pakistan) and The National Natural Science Foundation of China. The International Conference on Management Science and Engineering Management is the annual conference organized by the International Society of Management Science and Engineering Management. The goals of the Conference are to foster international research collaborations in Management Science and Engineering Management as well as to provide a forum to present current research results. The papers are classified into 8 sections: Computer and Networks, Information Technology, Decision Support System, Industrial Engineering, Supply Chain Management, Project Management, Manufacturing and Ecological Engineering. The key issues of the sixth ICMSEM cover variou...

  10. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  11. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  12. Introductory semiconductor device physics

    CERN Document Server

    Parker, Greg

    2004-01-01

    ATOMS AND BONDINGThe Periodic TableIonic BondingCovalent BondingMetallic bondingvan der Waals BondingStart a DatabaseENERGY BANDS AND EFFECTIVE MASSSemiconductors, Insulators and MetalsSemiconductorsInsulatorsMetalsThe Concept of Effective MassCARRIER CONCENTRATIONS IN SEMICONDUCTORSDonors and AcceptorsFermi-LevelCarrier Concentration EquationsDonors and Acceptors Both PresentCONDUCTION IN SEMICONDUCTORSCarrier DriftCarrier MobilitySaturated Drift VelocityMobility Variation with TemperatureA Derivation of Ohm's LawDrift Current EquationsSemiconductor Band Diagrams with an Electric Field Presen

  13. European Metals Conference

    CERN Document Server

    Vereecken, Jean

    1991-01-01

    This volume contains the papers that will be presented at 'EMC '91 '-the European Metals Conference-to be held in Brussels, Belgium, from 15 to 20 September 1991, and organized by Benelux Metallurgie, GDMB (Gesellschaft Deutscher Metallhutten­ und Bergleute) and IMM (the Institution of Mining and Metallurgy). 'EMC '91' is the first of an intended major series organized at the European level with the aim of bringing together all those who are involved with the extraction and processing of non-ferrous metals-European metallurgists and their international colleagues-to provide them with the opportunity to exchange views on the state and evolution of their industry. The programme covers all the different aspects of the metallurgy of non-ferrous metals from mining to fabricated products. Particular attention is being paid to the European non -ferrous industry with respect to changes in demand, the technology used, pressures on the environment and the competitive position of manufacturers. The contributions of the...

  14. Semiconductor Strip Tracker Endcaps come to CERN

    CERN Multimedia

    P. Bell

    The first few months of 2006 saw the delivery to CERN of the final components of the ATLAS Semi-Conductor Tracker (SCT), namely the completed SCT end-caps. Regular ATLAS eNews readers will recall that the SCT barrel arrived in sections in 2005 and was assembled later that year (see the April 2005 and December 2005 issues, respectively.) And as reported in this issue of the eNews, the barrel SCT has recently been integrated with the barrel Transition Radiation Tracker. The end-caps were constructed in Liverpool (side C) and NIKHEF (side A), using components manufactured at many different sites across the world. End-cap C left Liverpool on Monday 20 February and arrived at CERN after a two-day journey by road and through the Channel Tunnel. Accelerations in all three dimensions were monitored during the trip, as was temperature and humidity inside the container; all values remained within pre-specified safe ranges. The end-cap was visually inspected upon arrival, with no obvious damage being seen. Subsequent ...

  15. Dispersion-induced nonlinearities in semiconductors

    DEFF Research Database (Denmark)

    Mørk, Jesper; Mecozzi, A.

    2002-01-01

    A dispersive and saturable medium is shown, under very general conditions, to possess ultrafast dynamic behaviour due to non-adiabatic polarisation dynamics. Simple analytical expressions relating the effect to the refractive index dispersion of a semiconductor ire derived and the magnitude...... of the equivalent Kerr coefficient is shown to be in qualitative agreement with measurements on active semiconductor waveguides....

  16. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  17. Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design, volume 3

    Energy Technology Data Exchange (ETDEWEB)

    Soderquist, J.R.; Neri, L.M.; Bohon, H.L.

    1992-09-01

    This publication contains the proceedings of the Ninth DOD/NASA/FAA Conference on Fibrous Composites in Structural Design held at Lake Tahoe, Nevada, during 4-7 Nov. 1991. Presentations were made in the following areas of composite structural design: perspectives in composites, design methodology, design applications, design criteria, supporting technology, damage tolerance, and manufacturing. Separate abstracts have been prepared for articles from this report.

  18. Proceedings of the second biennial international conference on nascent technologies in engineering: souvenir

    International Nuclear Information System (INIS)

    2017-01-01

    This conference touches upon the different aspects of engineering and related technologies. The topics covered are: structural control, dynamics and health monitoring; manufacturing and management strategies; thermal engineering and fluid dynamics; power systems; electrical machines and drives; power electronics and energy conversion; signal processing; embedded system; communication engineering; networking/ analysis and design of algorithms; security and information and computer technologies. Papers relevant to INIS are indexed separately

  19. 9th Asian Conference on Computer-Aided Surgery

    CERN Document Server

    2016-01-01

    This book presents the latest research advances in the theory, design, control, and application of robot systems intended for a variety of purposes such as manipulation, manufacturing, automation, surgery, locomotion, and biomechanics. Several chapters deal with fundamental kinematics in nature, including synthesis, calibration, redundancy, force control, dexterity, inverse and forward kinematics, kinematic singularities, and over-constrained systems. This book is a compilation of the extended versions of the very best papers selected from the many that were presented at the Asian Conference on Computer-Aided Surgery held September 16–18, 2013, in Tokyo, Japan (ACCAS 2013).

  20. 76 FR 64083 - Reliability Technical Conference; Notice of Technical Conference

    Science.gov (United States)

    2011-10-17

    ... Technical Conference; Notice of Technical Conference Take notice that the Federal Energy Regulatory Commission will hold a Technical Conference on Tuesday, November 29, 2011, from 1 p.m. to 5 p.m. and... reliability that were identified in earlier Commission technical conferences. The conference also will discuss...

  1. Semiconductor quantum-dot lasers and amplifiers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Borri, Paola; Ledentsov, N. N.

    2002-01-01

    -power surface emitting VCSELs. We investigated the ultrafast dynamics of quantum-dot semiconductor optical amplifiers. The dephasing time at room temperature of the ground-state transition in semiconductor quantum dots is around 250 fs in an unbiased amplifier, decreasing to below 50 fs when the amplifier...... is biased to positive net gain. We have further measured gain recovery times in quantum dot amplifiers that are significantly lower than in bulk and quantum-well semiconductor optical amplifiers. This is promising for future demonstration of quantum dot devices with high modulation bandwidth...

  2. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  3. International Joint Conference SOCO’16-CISIS’16-ICEUTE’16

    CERN Document Server

    López-Guede, José; Etxaniz, Oier; Herrero, Álvaro; Quintián, Héctor; Corchado, Emilio

    2017-01-01

    This volume of Advances in Intelligent and Soft Computing contains accepted papers presented at SOCO 2016, CISIS 2016 and ICEUTE 2016, all conferences held in the beautiful and historic city of San Sebastián (Spain), in October 2016. Soft computing represents a collection or set of computational techniques in machine learning, computer science and some engineering disciplines, which investigate, simulate, and analyze very complex issues and phenomena. After a through peer-review process, the 11th SOCO 2016 International Program Committee selected 45 papers. In this relevant edition a special emphasis was put on the organization of special sessions. Two special session was organized related to relevant topics as: Optimization, Modeling and Control Systems by Soft Computing and Soft Computing Methods in Manufacturing and Management Systems. The aim of the 9th CISIS 2016 conference is to offer a meeting opportunity for academic and industry-related researchers belonging to the various, vast communities of Compu...

  4. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  5. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  6. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser; Construccion de un amplificador optico de semiconductor a partir de un laser de semiconductor Fabry-Perot

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N. [Departamento de Electronica y Telecomunicaciones, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada. Km. 107, Carretera Tijuana-Ensenada, 22860 Ensenada, Baja California (Mexico)

    2000-07-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  7. Is There a Better Semiconductor Firm in Taiwan?

    Directory of Open Access Journals (Sweden)

    Cheng-Wen LEE

    2017-06-01

    Full Text Available The authors investigate the firm value of semiconductor industry in Taiwan in order to differentiate between outstanding semiconductor company and weak semiconductor company. The authors use GAP which is analytical tool to perform four steps: the original maps, sorting maps with clustering trees, summary sufficient maps, and sediment maps. The findings offer a good instruction for policymakers to make related policies in semiconductor firms. Additionally, the paper helps to find firms needed to be reformed through classification by GAP.

  8. Flexible power 90W to 120W ArF immersion light source for future semiconductor lithography

    Science.gov (United States)

    Burdt, R.; Thornes, J.; Duffey, T.; Bibby, T.; Rokitski, R.; Mason, E.; Melchior, J.; Aggarwal, T.; Haran, D.; Wang, J.; Rechtsteiner, G.; Haviland, M.; Brown, D.

    2014-03-01

    Semiconductor market demand for improved performance at lower cost continues to drive enhancements in excimer light source technologies. Increased output power, reduced variability in key light source parameters, and improved beam stability are required of the light source to support immersion lithography, multi-patterning, and 450mm wafer applications in high volume semiconductor manufacturing. To support future scanner needs, Cymer conducted a technology demonstration program to evaluate the design elements for a 120W ArFi light source. The program was based on the 90W XLR 600ix platform, and included rapid power switching between 90W and 120W modes to potentially support lot-to-lot changes in desired power. The 120W requirements also included improved beam stability in an exposure window conditionally reduced by 20%. The 120W output power is achieved by efficiency gains in system design, keeping system input power at the same level as the 90W XLR 600ix. To assess system to system variability, detailed system testing was conducted from 90W - 120W with reproducible results.

  9. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  10. Thienoacene-based organic semiconductors.

    Science.gov (United States)

    Takimiya, Kazuo; Shinamura, Shoji; Osaka, Itaru; Miyazaki, Eigo

    2011-10-11

    Thienoacenes consist of fused thiophene rings in a ladder-type molecular structure and have been intensively studied as potential organic semiconductors for organic field-effect transistors (OFETs) in the last decade. They are reviewed here. Despite their simple and similar molecular structures, the hitherto reported properties of thienoacene-based OFETs are rather diverse. This Review focuses on four classes of thienoacenes, which are classified in terms of their chemical structures, and elucidates the molecular electronic structure of each class. The packing structures of thienoacenes and the thus-estimated solid-state electronic structures are correlated to their carrier transport properties in OFET devices. With this perspective of the molecular structures of thienoacenes and their carrier transport properties in OFET devices, the structure-property relationships in thienoacene-based organic semiconductors are discussed. The discussion provides insight into new molecular design strategies for the development of superior organic semiconductors. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  12. Luminescence in colloidal Mn2+-doped semiconductor nanocrystals

    International Nuclear Information System (INIS)

    Beaulac, Remi; Archer, Paul I.; Gamelin, Daniel R.

    2008-01-01

    Recent advances in nanocrystal doping chemistries have substantially broadened the variety of photophysical properties that can be observed in colloidal Mn 2+ -doped semiconductor nanocrystals. A brief overview is provided, focusing on Mn 2+ -doped II-VI semiconductor nanocrystals prepared by direct chemical synthesis and capped with coordinating surface ligands. These Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation. A brief outlook on future research directions is provided. - Graphical abstract: Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation

  13. Semiconductor photocatalysis principles and applications

    CERN Document Server

    Kisch, Horst

    2014-01-01

    Focusing on the basic principles of semiconductor photocatalysis, this book also gives a brief introduction to photochemistry, photoelectrochemistry, and homogeneous photocatalysis. In addition, the author - one of the leading authorities in the field - presents important environmental and practical aspects. A valuable, one-stop source for all chemists, material scientists, and physicists working in this area, as well as novice researchers entering semiconductor photocatalysis.

  14. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  15. International conference to explore ways to improve radiological protection of patients

    International Nuclear Information System (INIS)

    2001-01-01

    The first international conference specifically focused on the radiological protection of patients will be held in Torremolinos (Malaga), Spain, next week, from 26 to 30 March 2001. The conference, formally titled, 'International Conference on the Radiological Protection of Patients in Diagnostic and Interventional Radiology, Nuclear Medicine and Radiotherapy', is being organized by the IAEA, hosted by the Government of Spain and co-sponsored by the European Commission, the Pan American Health Organization and the World Health Organization. Medical applications of ionizing radiation are accepted world-wide as essential tools for keeping or restoring human health. However, they also represent by far the largest man-made source of radiation exposure. The United Nations Scientific Committee on the Effects of Atomic Radiation (UNSCEAR) estimates that diagnostic medical applications of radiation account for about 95% of the exposure to radiation from man-made sources of radiation and about 12% of total exposure, which includes the exposures received from natural sources. More than 900 participants from 80 countries are expected to attend the conference. They cover a broad spectrum of expertise, including radiologists, nuclear medicine specialists, radiation oncologists, medical physicists, technologists/radiographers, radiological protection officers, equipment manufacturers, experts who develop standards for radiological equipment, hospital administrators and public health officials and representatives of professional societies. In addition, a number of patients who have undergone radiation treatment will represent patients' interests and a patient will chair one of the round table debates. The conclusions of the Conference will be incorporated into the IAEA's programme of work in the field of radiation safety and will be reported to the IAEA General Conference at its next meeting in September 2001

  16. Two-fluid hydrodynamic model for semiconductors

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2018-01-01

    The hydrodynamic Drude model (HDM) has been successful in describing the optical properties of metallic nanostructures, but for semiconductors where several different kinds of charge carriers are present an extended theory is required. We present a two-fluid hydrodynamic model for semiconductors...

  17. 8th Swiss National Photovoltaics Congress. Conference proceedings

    International Nuclear Information System (INIS)

    Nowak, S.

    2010-01-01

    These congress proceedings contain the presentations made at the two-day 8 th Swiss National Photovoltaics Conference held in Winterthur, Switzerland, in February 2010. The presentations were grouped into six sessions. The first session dealt with promotional activities for photovoltaics (PV) in Switzerland. The presentations dealt with the present state of PV promotion, the cantonal support program in Basle and the Swiss photovoltaics market in a global context. The session was rounded off with a podium discussion on the Swiss cost-covering remuneration system for solar power. This theme was looked at in more detail in the second session of the conference; successes and hindrances in the system were discussed as well as an example of an alternative solar power 'exchange'. The third session looked at building-integration of PV systems; facade and roof integration and the use of flexible solar cells were discussed. The second day of the conference featured three further sessions. The first session dealt with transfer of know-how from research institutes to industry. A general overview was presented and specific examples of successful know-how transfer were reported on. The next session dealt with the great challenges presented by the efforts being made to expand the use of PV. Safety aspects were discussed, as were the certified testing of modules, mains integration and the training of personnel involved with the implementation of PV systems. The final session looked at the scenarios, perspectives and visions for Swiss and European PV business. PV systems were examined from the point of view of the semiconductor business, European energy planning, the role of PV in future energy supply and the roles of customers, investors and politics on the way to a renewable future.

  18. 40 CFR 63.7195 - What definitions apply to this subpart?

    Science.gov (United States)

    2010-07-01

    ..., rectifiers, integrated circuits, and transistors. Semiconductor manufacturing process unit means the... (CONTINUED) National Emission Standards for Hazardous Air Pollutants for Semiconductor Manufacturing Other... the atmosphere from a semiconductor manufacturing process unit or storage tank by means of a stack...

  19. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  20. Power semiconductor device adaptive cooling assembly

    NARCIS (Netherlands)

    2011-01-01

    The invention relates to a power semiconductor device (100) cooling assembly for cooling a power semiconductor device (100), wherein the assembly comprises an actively cooled heat sink (102) and a controller (208; 300), wherein the controller (208; 300) is adapted for adjusting the cooling