WorldWideScience

Sample records for semiconductor industries specifically

  1. Radiation effects and hardness of semiconductor electronic devices for nuclear industry

    International Nuclear Information System (INIS)

    Payat, R.; Friant, A.

    1988-01-01

    After a brief review of industrial and nuclear specificity and radiation effects in electronics components (semiconductors) the need for a specific test methodology of semiconductor devices is emphasized. Some studies appropriate for nuclear industry at D. LETI/DEIN/CEN-SACLAY are related [fr

  2. Productivity improvement through industrial engineering in the semiconductor industry

    Science.gov (United States)

    Meyersdorf, Doron

    1996-09-01

    Industrial Engineering is fairly new to the semiconductor industry, though the awareness to its importance has increased in recent years. The US semiconductor industry in particular has come to the realization that in order to remain competitive in the global market it must take the lead not only in product development but also in manufacturing. Industrial engineering techniques offer one ofthe most effective strategies for achieving manufacturing excellence. Industrial engineers play an important role in the success of the manufacturing facility. This paper defines the Industrial engineers role in the IC facility, set the visions of excellence in semiconductor manufacturing and highlights 10 roadblocks on the journey towards manufacturing excellence.

  3. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  4. Will Future Measurement Needs of the Semiconductor Industry Be Met?

    Science.gov (United States)

    Bennett, Herbert S

    2007-01-01

    We discuss the ability of the nation's measurement system to meet future metrology needs of the semiconductor industry. Lacking an acceptable metric for assessing the health of metrology for the semiconductor industry, we identify a limited set of unmet measurement needs. Assuming that this set of needs may serve as proxy for the galaxy of semiconductor measurement needs, we examine it from the perspective of what will be required to continue the semiconductor industry's powerful impact in the world's macro-economy and maintain its exceptional record of numerous technological innovations. This paper concludes with suggestions about ways to strengthen the measurement system for the semiconductor industry.

  5. Review of the Semiconductor Industry and Technology Roadmap.

    Science.gov (United States)

    Kumar, Sameer; Krenner, Nicole

    2002-01-01

    Points out that the semiconductor industry is extremely competitive and requires ongoing technological advances to improve performance while reducing costs to remain competitive and how essential it is to gain an understanding of important facets of the industry. Provides an overview of the initial and current semiconductor technology roadmap that…

  6. Cancer and reproductive risks in the semiconductor industry.

    Science.gov (United States)

    LaDou, Joseph; Bailar, John C

    2007-01-01

    Although many reproductive toxicants and carcinogens are used in the manufacture of semiconductor chips, and worrisome findings have been reported, no broad epidemiologic study has been conducted to define possible risks in a comprehensive way. With few exceptions, the American semiconductor industry has not supported access for independent studies. Older technologies are exported to newly industrialized countries as newer technologies are installed in Japan, the United States, and Europe. Thus there is particular concern about the many workers, mostly in countries that are still industrializing, who have jobs that use chemicals, technologies, and equipment that are no longer in use in developed countries. Since most countries lack cancer registries and have inadequate reproductive and cancer reporting mechanisms, industry efforts to control exposures to carcinogens are of particular importance. Government agencies, the courts, industry, publishers, and academia, on occasion, collude to ignore or to downplay the importance of occupational diseases. Examples of how this happens in the semiconductor industry are presented.

  7. Applications of nuclear microprobes in the semiconductor industry

    International Nuclear Information System (INIS)

    Takai, M.

    1996-01-01

    Possible nuclear microprobe applications in semiconductor industries are discussed. A unique technique using soft-error mapping and ion beam induced current measurements for reliability testing of dynamic random access memories such as soft-error immunity and noise carrier suppression has been developed for obtaining design parameters of future memory devices. Nano-probes and small installation areas are required for the use of microprobes in the semiconductor industry. Issues arising from microprobe applications such as damage induced by the probe beam are clarified. (orig.)

  8. Obtaining of polycrystalline silicon for semiconductor industry

    International Nuclear Information System (INIS)

    Mukashev, F.; Nauryzbaev, M.; Kolesnikov, B.; Ivanov, Y.

    1996-01-01

    The purpose of the project is to create pilot equipment and optimize the process of obtaining polycrystalline silicon on semi-industrial level. In the past several decades, the historical experience in the developing countries has shown that one of the most promising ways to improve the economy,of a country is to establish semiconductor industry. First of all, the results can help increase defense, national security and create industrial production. The silane method, which has been traditionally' used for obtaining technical and polycrystalline silicon, is to obtain and then to pyrolyzed mono-and poly silanes. Although the traditional methods of obtaining silicon hydrides have specific advantages, such as utilizing by-products, they also have clear shortcomings, i.e. either low output of the ultimate product ( through hydrolysis of Mg 2 Si) or high contents of by-products in it or high contents of dissolving vapors (through decomposing Mg 2 Si in non-water solutions)

  9. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  10. Toward a Theory of Industrial Development and Vertical Disintegration : The Case of the Semiconductor Industry

    OpenAIRE

    末永, 啓一郎

    2007-01-01

    The semiconductor industry has accomplished surprising growth, and its production basehas extended from the United States to Japan, Europe, and other Asian economies. One of thefactors of this phenomenon is the progress of vertical disintegration in the semiconductor industry.The boundaries of firms are discussed within a transaction cost framework. However, toidentify the process of long-term vertical disintegration at an industrial level, a dynamic theoryrather than a static theory is neces...

  11. Offshoring in the Semiconductor Industry: Historical Perspectives

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these earlie...

  12. Specific heat in diluted magnetic semiconductor quantum ring

    Science.gov (United States)

    Babanlı, A. M.; Ibragimov, B. G.

    2017-11-01

    In the present paper, we have calculated the specific heat and magnetization of a quantum ring of a diluted magnetic semiconductor (DMS) material in the presence of magnetic field. We take into account the effect of Rashba spin-orbital interaction, the exchange interaction and the Zeeman term on the specific heat. We have calculated the energy spectrum of the electrons in diluted magnetic semiconductor quantum ring. Moreover we have calculated the specific heat dependency on the magnetic field and Mn concentration at finite temperature of a diluted magnetic semiconductor quantum ring.

  13. Metrology-based control and profitability in the semiconductor industry

    Science.gov (United States)

    Weber, Charles

    2001-06-01

    This paper summarizes three studies of the semiconductor industry conducted at SEMATECH and MIT's Sloan School of Management. In conjunction they lead to the conclusion that rapid problem solving is an essential component of profitability in the semiconductor industry, and that metrology-based control is instrumental to rapid problem solving. The studies also identify the need for defect attribution. Once a source of a defect has been identified, the appropriate resources--human and technological--need to be brought into the physically optimal location for corrective action. The Internet is likely to enable effective defect attribution by inducing collaboration between different companies.

  14. Offshoring in the Semiconductor Industry: A Historical Perspective

    OpenAIRE

    Brown, Clair; Linden, Greg

    2005-01-01

    Semiconductor design is a frequently-cited example of the new wave of offshoring and foreign-outsourcing of service sector jobs. It is certainly a concern to U.S. design engineers themselves. In addition to the current wave of white-collar outsourcing, the industry also has a rich experience with offshoring of manufacturing activity. Semiconductor companies were among the first to invest in offshore facilities to manufacture goods for imports back to the U.S. A brief review of these...

  15. Leukemia and non-Hodgkin lymphoma in semiconductor industry workers in Korea.

    Science.gov (United States)

    Kim, Inah; Kim, Hyun J; Lim, Sin Y; Kongyoo, Jungok

    2012-01-01

    Reports of leukemia and non-Hodgkin lymphoma (NHL), cancers known to have a similar pathophysiology, among workers in the semiconductor industry have generated much public concern in Korea. This paper describes cases reported to the NGO Supporters for the Health and Rights of People in the Semiconductor Industry (SHARPs). We identified demographic characteristics, occupational, and disease history, for 17 leukemia and NHL cases from the Giheung Samsung semiconductor plant, diagnosed from November 2007 to January 2011. Patients were relatively young (mean = 28·5 years, SD = 6·5) at the time of diagnosis and the mean latency period was 104·3 months (SD = 65·8). Majority of the cases were fabrication operators (11 workers among 17) and 12 were hired before 2000. Six cases worked in the etching or diffusion process. The evidence to confirm the causal relationship between exposures in the semiconductor industry and leukemia or NHL remains insufficient and a more formal, independent study of the exposure-disease relationship in this occupation is needed. However, workers should be protected from the potential exposures immediately.

  16. Canberra semiconductor, an industrial partner for physics research

    International Nuclear Information System (INIS)

    Verplancke, J.; Burger, P.; Schoenmaekers, W.

    1990-01-01

    Canberra semiconductor produces germanium and silicon solid state detectors for nuclear radiation. Its business domain covers the production of standard detectors on an industrial basis, for industrial and applied physics applications, as well as the development of special detectors and electronics, tailored to the needs of a particular application, in science and research. There exists an important and beneficial interaction between these two activities. (orig.)

  17. Educating Tomorrow's Workforce: A Report on the Semiconductor Industry's Commitment to Youth in K-12.

    Science.gov (United States)

    Semiconductor Industry Association, San Jose, CA.

    The U.S. semiconductor industry, now the nation's largest manufacturing industry, displays its commitment to training its current workers and educating future workers by supporting educational efforts on the K-12 level. This catalog describes innovative actions by 16 Semiconductor Industry Association companies to improve education at the K-12…

  18. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  19. Semiconductor industry: a survey of structure, conduct, and performance

    International Nuclear Information System (INIS)

    Webbink, D.W.

    1977-01-01

    The study describes the structure, conduct, and performance of the semiconductor industry. The industry is characterized by a high rate of innovation and technological change, rapidly falling costs and prices, and rapidly rising sales in boom periods as well as large declines in sales in recession periods. These desirable performance characteristics take place in an industry that has moderately high domestic levels of concentration. However, there are many features that cause this industry to have behavior and performance that is markedly different from such highly concentrated industries as automobiles and steel. These features were investigated and are reported

  20. Technological and organizational diversity and technical advance in the early history of the American semiconductor industry

    Science.gov (United States)

    Cohen, W.; Holbrook, D.; Klepper, S.

    1994-06-01

    This study examines the early years of the semiconductor industry and focuses on the roles played by different size firms in technologically innovative processes. A large and diverse pool of firms participated in the growth of the industry. Three related technological areas were chosen for in-depth analysis: integrated circuits, materials technology, and device packaging. Large business producing vacuum tubes dominated the early production of semiconductor devices. As the market for new devices grew during the 1950's, new firms were founded and existing firms from other industries, e.g. aircraft builders and instrument makers, began to pursue semiconductor electronics. Small firms began to cater to the emerging industry by supplying materials and equipment. These firms contributed to the development of certain aspects of one thousand firms that were playing some part in the semiconductor industry.

  1. Spontaneous abortion in the British semiconductor industry: An HSE investigation. Health and Safety Executive.

    Science.gov (United States)

    Elliott, R C; Jones, J R; McElvenny, D M; Pennington, M J; Northage, C; Clegg, T A; Clarke, S D; Hodgson, J T; Osman, J

    1999-11-01

    The UK Health and Safety Executive (HSE) conducted a study to examine the risk of spontaneous abortion (SAB) in British female semiconductor industry workers, following reports from the USA which suggested an association between risk of SAB and work in fabrication rooms and/or exposure to ethylene glycol ethers. A nested case-control study based on 2,207 women who had worked at eight manufacturing sites during a 5-year retrospective time frame was established; 36 cases were matched with 80 controls. The overall SAB rate in the industry was 10.0%. (65 SABs/651 pregnancies) The crude odds ratio (OR) for fabrication work was 0.65 (95% CI 0.30-1.40). This was essentially unchanged after adjustment for a range of potential confounding factors in the first 3 months of pregnancy and was reduced to 0.58 (95% CI 0.26-1.30) after adjustment for smoking in the previous 12 months. There were no statistically significantly elevated ORs for any work group or any specific chemical or physical exposure in the industry. There is no evidence of an increased risk of SAB in the British semiconductor industry. Am. J. Ind. Med. 36:557-572, 1999. Published 1999 Wiley-Liss, Inc.

  2. Applications of Nuclear Reaction Analysis for Semiconductor Industry

    International Nuclear Information System (INIS)

    Wei Luncun

    2003-01-01

    Many thin film samples used in the semiconductor industry contain C, N and O. The detection limits and accuracy obtained by Rutherford Backscattering Spectroscopy (RBS) measurement are limited due to the small cross section values. High energy non-Rutherford backscattering is often used to enhance the sensitivities. But non-Rutherford cross section values are irregular and can not be calculated as normal Rutherford backscattering values. It is also difficult to find an appropriate energy window that for all these elements, and high-energy ions are needed. In this paper, the Nuclear Reaction Analysis (NRA) method is used to simultaneously measure C, N and O. several applications in the semiconductor research, development, and manufacturing areas are presented

  3. A study for safety and health management problem of semiconductor industry in Taiwan.

    Science.gov (United States)

    Chao, Chin-Jung; Wang, Hui-Ming; Feng, Wen-Yang; Tseng, Feng-Yi

    2008-12-01

    The main purpose of this study is to discuss and explore the safety and health management in semiconductor industry. The researcher practically investigates and interviews the input, process and output of the safety and health management of semiconductor industry by using the questionnaires and the interview method which is developed according to the framework of the OHSAS 18001. The result shows that there are six important factors for the safety and health management in Taiwan semiconductor industry. 1. The company should make employee clearly understand the safety and health laws and standards. 2. The company should make the safety and health management policy known to the public. 3. The company should put emphasis on the pursuance of the safety and health management laws. 4. The company should prevent the accidents. 5. The safety and health message should be communicated sufficiently. 6. The company should consider safety and health norm completely.

  4. Technician Training for the Semiconductor Microdevices Industry. Final Report.

    Science.gov (United States)

    Center for Occupational Research and Development, Inc., Waco, TX.

    The Center for Occupational Research and Development (CORD) carried out four activities to foster semiconductor manufacturing technician (SMT) training: (1) collaboration with industry experts and educators while developing a curriculum to train SMTs; (2) implementation and testing of the curriculum at a technical college; (3) dissemination of…

  5. Evolution of Ion Implantation Technology and its Contribution to Semiconductor Industry

    International Nuclear Information System (INIS)

    Tsukamoto, Katsuhiro; Kuroi, Takashi; Kawasaki, Yoji

    2011-01-01

    Industrial aspects of the evolution of ion implantation technology will be reviewed, and their impact on the semiconductor industry will be discussed. The main topics will be the technology's application to the most advanced, ultra scaled CMOS, and to power devices, as well as productivity improvements in implantation technology. Technological insights into future developments in ion-related technologies for emerging industries will also be presented.

  6. Cases Series of Malignant Lymphohematopoietic Disorder in Korean Semiconductor Industry

    Directory of Open Access Journals (Sweden)

    Eun-A Kim

    2011-06-01

    Conclusion: Considering the possibility of exposure to carcinogenic agents, we could not find any convincing evidence for occupational exposure in all investigated cases. However, further study is needed because the semiconductor industry is a newly developing one.

  7. Industrial workshop on LASL semiconductor radiation-detector research and development

    International Nuclear Information System (INIS)

    Endebrock, M.

    1978-11-01

    An Industrial Workshop on LASL Semiconductor Radiation Detector Research and Development was held at the Los Alamos Scientific Laboratory (LASL) in the spring of 1977. The purpose was to initiate communication between our detector research and development program and industry. LASL research programs were discussed with special emphasis on detector problems. Industrial needs and capabilities in detector research and development were also presented. Questions of technology transfer were addressed. The notes presented here are meant to be informal, as were the presentations

  8. Regulation of occupational health and safety in the semiconductor industry: enforcement problems and solutions.

    Science.gov (United States)

    Watterson, Andrew

    2006-01-01

    Reports of high incidences of occupational illnesses in the semiconductor industry should have triggered global investigations and rigorous inspection of the industry. Yet semiconductor plants remain essentially unregulated. Health and safety standards are inadequate and enforcement is lax. Roles for stakeholders in laying down good practice, monitoring, and regulating are proposed, and obstacles are described. Effective regulation has advantages for the industry as well as workers. Conditions for best practice include education at all levels, protection and support for labor inspectors, government commitment to enforcing laws, recognition of the right of workers to organize, and recognition of their rights.

  9. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  10. The impact of semiconductor, electronics and optoelectronic industries on downstream perfluorinated chemical contamination in Taiwanese rivers

    International Nuclear Information System (INIS)

    Lin, Angela Yu-Chen; Panchangam, Sri Chandana; Lo, Chao-Chun

    2009-01-01

    This study provides the first evidence on the influence of the semiconductor and electronics industries on perfluorinated chemicals (PFCs) contamination in receiving rivers. We have quantified ten PFCs, including perfluoroalkyl sulfonates (PFASs: PFBS, PFHxS, PFOS) and perfluoroalkyl carboxylates (PFCAs: PFHxA, PFHpA, PFOA, PFNA, PFDA, PFUnA, PFDoA) in semiconductor, electronic, and optoelectronic industrial wastewaters and their receiving water bodies (Taiwan's Keya, Touchien, and Xiaoli rivers). PFOS was found to be the major constituent in semiconductor wastewaters (up to 0.13 mg/L). However, different PFC distributions were found in electronics plant wastewaters; PFOA was the most significant PFC, contributing on average 72% to the effluent water samples, followed by PFOS (16%) and PFDA (9%). The distribution of PFCs in the receiving rivers was greatly impacted by industrial sources. PFOS, PFOA and PFDA were predominant and prevalent in all the river samples, with PFOS detected at the highest concentrations (up to 5.4 μg/L). - The semiconductor, electronics and optoelectronic industries are the primary source of PFC contamination in downstream aqueous environments

  11. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  12. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  13. Analysis of Logistics Costs of the Ukrainian Semiconductor Industry

    Directory of Open Access Journals (Sweden)

    Popova Viktoriya D.

    2014-01-01

    Full Text Available The goal of the article is analysis of logistics costs in production of semiconductor materials using example of two Ukrainian enterprises. The article studies influence of logistics management and logistics costs upon formation of the final cost value (price of a commodity (service. It gives an assessment of logistics costs of Ukrainian semiconductor enterprises and establishes its structure by types of main expenditure items: material, transport, production and storehouse. It establishes the generalised quantitative structure of logistics costs of Ukrainian semiconductor enterprises with various forms of ownership under conditions of a situational growth of cost value of products and reduction of profitability of production, caused by common crisis tendencies in economy. Prospects of further studies in this direction are analysis of costs in production of semiconductor products and establishment of the specific feature of their grouping and classifying from the point of view of logistics and justification of the model of assessment of cost value of products, which takes into account mutually contradictory influence of direct logistics costs and logistics management upon the final result.

  14. The FinFET Breakthrough and Networks of Innovation in the Semiconductor Industry, 1980-2005: Applying Digital Tools to the History of Technology.

    Science.gov (United States)

    O'Reagan, Douglas; Fleming, Lee

    2018-01-01

    The "FinFET" design for transistors, developed at the University of California, Berkeley, in the 1990s, represented a major leap forward in the semiconductor industry. Understanding its origins and importance requires deep knowledge of local factors, such as the relationships among the lab's principal investigators, students, staff, and the institution. It also requires understanding this lab within the broader network of relationships that comprise the semiconductor industry-a much more difficult task using traditional historical methods, due to the paucity of sources on industrial research. This article is simultaneously 1) a history of an impactful technology and its social context, 2) an experiment in using data tools and visualizations as a complement to archival and oral history sources, to clarify and explore these "big picture" dimensions, and 3) an introduction to specific data visualization tools that we hope will be useful to historians of technology more generally.

  15. The impact of semiconductor, electronics and optoelectronic industries on downstream perfluorinated chemical contamination in Taiwanese rivers.

    Science.gov (United States)

    Lin, Angela Yu-Chen; Panchangam, Sri Chandana; Lo, Chao-Chun

    2009-04-01

    This study provides the first evidence on the influence of the semiconductor and electronics industries on perfluorinated chemicals (PFCs) contamination in receiving rivers. We have quantified ten PFCs, including perfluoroalkyl sulfonates (PFASs: PFBS, PFHxS, PFOS) and perfluoroalkyl carboxylates (PFCAs: PFHxA, PFHpA, PFOA, PFNA, PFDA, PFUnA, PFDoA) in semiconductor, electronic, and optoelectronic industrial wastewaters and their receiving water bodies (Taiwan's Keya, Touchien, and Xiaoli rivers). PFOS was found to be the major constituent in semiconductor wastewaters (up to 0.13 mg/L). However, different PFC distributions were found in electronics plant wastewaters; PFOA was the most significant PFC, contributing on average 72% to the effluent water samples, followed by PFOS (16%) and PFDA (9%). The distribution of PFCs in the receiving rivers was greatly impacted by industrial sources. PFOS, PFOA and PFDA were predominant and prevalent in all the river samples, with PFOS detected at the highest concentrations (up to 5.4 microg/L).

  16. Distribution of volatile organic compounds over a semiconductor Industrial Park in Taiwan.

    Science.gov (United States)

    Chiu, Kong-Hwa; Wu, Ben-Zen; Chang, Chih-Chung; Sree, Usha; Lo, Jiunn-Guang

    2005-02-15

    This study examined volatile organic compounds (VOC) concentration in ambient air collected during the years 2000--2003 at several different locations of Hsinchu Science-based Industrial Park (HSIP) in Taiwan. A canister automated GC-MS system analyzed the volatile organics in ambient air grasp samples according to T0-15 method. Oxygenated volatiles were the most abundant VOC detected in HSIP followed by aromatics that are commonly used as solvents in the semiconductor industries. The major components measured in the ambient air are 2-propanol (29-135 ppbv), acetone (12-164 ppbv), benzene (0.7-1.7 ppbv), and toluene (13-20 ppbv). At some of the sampling locations, odorous compounds such as carbon disulfide and dimethyl sulfide levels exceed threshold values. The estimated toluene/benzene ratio is very high at most of the sites. However, the total amount of VOC is reduced over the years from 2000 to 2003 due to strict implementation on use and discharge of solvents in industries. There exists no definite seasonal pattern for sporadic occurrence of high levels of some of the volatile organics. Stagnant weather conditions with low wind speeds aid accumulation of toxic species at ground level. The results entail that hi-tech semiconductor industries are still a potential source for harmful organic substances to surrounding microenvironment.

  17. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  18. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  19. Metabolomic and proteomic biomarkers for III-V semiconductors: Chemical-specific porphyrinurias and proteinurias

    International Nuclear Information System (INIS)

    Fowler, Bruce A.; Conner, Elizabeth A.; Yamauchi, Hiroshi

    2005-01-01

    A pressing need exists to develop and validate molecular biomarkers to assess the early effects of chemical agents, both individually and in mixtures. This is particularly true for new and chemically intensive industries such as the semiconductor industry. Previous studies from this laboratory and others have demonstrated element-specific alterations of the heme biosynthetic pathway for the III-V semiconductors gallium arsenide (GaAs) and indium arsenide (InAs) with attendant increased urinary excretion of specific heme precursors. These data represent an example of a metabolomic biomarker to assess chemical effects early, before clinical disease develops. Previous studies have demonstrated that the intratracheal or subcutaneous administration of GaAs and InAs particles to hamsters produces the induction of the major stress protein gene families in renal proximal tubule cells. This was monitored by 35-S methionine labeling of gene products followed by two-dimensional gel electrophoresis after exposure to InAs particles. The present studies examined whether these effects were associated with the development of compound-specific proteinuria after 10 or 30 days following subcutaneous injection of GaAs or InAs particles in hamsters. The results of these studies demonstrated the development of GaAs- and InAs-specific alterations in renal tubule cell protein expression patterns that varied at 10 and 30 days. At the 30-day point, cells in hamsters that received InAs particles showed marked attenuation of protein expression, suggesting inhibition of the stress protein response. These changes were associated with GaAs and InAs proteinuria patterns as monitored by two-dimensional gel electrophoresis and silver staining. The intensity of the protein excretion patterns increased between the 10- and 30-day points and was most pronounced for animals in the 30-day InAs treatment group. No overt morphologic signs of cell death were seen in renal tubule cells of these animals

  20. Product innovation and persistence of leadership: theory with evidence from the semiconductor industry

    OpenAIRE

    Harald Gruber

    1990-01-01

    This paper sets out to model the evolution of market shares in the semiconductor industry. The time profile of market shares for different firms in this industry has shown a striking regularity over successive generations of products. In a model of vertical product differentiation three distinct patterns of market shares emerge as an equilibrium outcome, reflecting three distinct strategies in respect of timing of entry into new generations. The main novelty of the model developed here, relat...

  1. Industry specific financial distress modeling

    Directory of Open Access Journals (Sweden)

    Naz Sayari

    2017-01-01

    Full Text Available This study investigates uncertainty levels of various industries and tries to determine financial ratios having the greatest information content in determining the set of industry characteristics. It then uses these ratios to develop industry specific financial distress models. First, we employ factor analysis to determine the set of ratios that are most informative in specified industries. Second, we use a method based on the concept of entropy to measure the level of uncertainty in industries and also to single out the ratios that best reflect the uncertainty levels in specific industries. Finally, we conduct a logistic regression analysis and derive industry specific financial distress models which can be used to judge the predictive ability of selected financial ratios for each industry. The results show that financial ratios do indeed echo industry characteristics and that information content of specific ratios varies among different industries. Our findings show diverging impact of industry characteristics on companies; and thus the necessity of constructing industry specific financial distress models.

  2. Cases series of malignant lymphohematopoietic disorder in korean semiconductor industry.

    Science.gov (United States)

    Kim, Eun-A; Lee, Hye-Eun; Ryu, Hyung-Woo; Park, Seung-Hyun; Kang, Seong-Kyu

    2011-06-01

    Seven cases of malignant lymphohematopoietic (LHP) disorder were claimed to have developed from occupational exposure at two plants of a semiconductor company from 2007 to 2010. This study evaluated the possibility of exposure to carcinogenic agents for the cases. Clinical courses were reviewed with assessing possible exposure to carcinogenic agents related to LHP cancers. Chemicals used at six major semiconductor companies in Korea were reviewed. Airborne monitoring for chemicals, including benzene, was conducted and the ionizing radiation dose was measured from 2008 to 2010. The latency of seven cases (five leukemiae, a Non-Hodgkin's lymphoma, and an aplastic anemia) ranged from 16 months to 15 years and 5 months. Most chemical measurements were at levels of less than 10% of the Korean Occupational Exposure Limit value. No carcinogens related to LHP cancers were used or detected. Complete-shielded radiation-generating devices were used, but the ionizing radiation doses were 0.20-0.22 uSv/hr (background level: 0.21 µSv/hr). Airborne benzene was detected at 0.31 ppb when the detection limit was lowered as low as possible. Ethylene oxide and formaldehyde were not found in the cases' processes, while these two were determined to be among the 263 chemicals in the list that was used at the six semiconductor companies at levels lower than 0.1%. Exposures occurring before 2002 could not be assessed because of the lack of information. Considering the possibility of exposure to carcinogenic agents, we could not find any convincing evidence for occupational exposure in all investigated cases. However, further study is needed because the semiconductor industry is a newly developing one.

  3. The Semiconductor Industry and Emerging Technologies: A Study Using a Modified Delphi Method

    Science.gov (United States)

    Jordan, Edgar A.

    2010-01-01

    The purpose of this qualitative descriptive study was to determine what leaders in the semiconductor industry thought the future of computing would look like and what emerging materials showed the most promise to overcome the current theoretical limit of 10 nanometers for silicon dioxide. The researcher used a modified Delphi technique in two…

  4. Competitive-cum-cooperative interfirm relations and dynamics in the Japanese semiconductor industry

    CERN Document Server

    Okada, Yoshitaka

    2000-01-01

    Japanese semiconductor firms are well known for obtaining dynamics in a short period of time and achieving even global leadership. A significant portion of their success are attributable to cooperative interfirm relations and the development of intermediate organizational structure based on long-term relationship between firms. The purpose of this book is to explain how interfirm relations contributed to their dynamics during the golden age of the semiconductor industry. Meanwhile this book clarifies the real source of dynamics in interfirm relations and how the firms have interacted. The author concludes that the competitive-cum-cooperative (CCC) interfirm interaction are observed. Quantitative and qualitative findings show that firms enjoy not only flexible cooperation based synergy effects, but also dynamics market-like effects by creating competition among partners through CCC interaction.

  5. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  6. Environmental and workplace contamination in the semiconductor industry: implications for future health of the workforce and community.

    Science.gov (United States)

    Edelman, P

    1990-01-01

    The semiconductor industry has been an enormous worldwide growth industry. At the heart of computer and other electronic technological advances, the environment in and around these manufacturing facilities has not been scrutinized to fully detail the health effects to the workers and the community from such exposures. Hazard identification in this industry leads to the conclusion that there are many sources of potential exposure to chemicals including arsenic, solvents, photoactive polymers and other materials. As the size of the semiconductor work force expands, the potential for adverse health effects, ranging from transient irritant symptoms to reproductive effects and cancer, must be determined and control measures instituted. Risk assessments need to be effected for areas where these facilities conduct manufacturing. The predominance of women in the manufacturing areas requires evaluating the exposures to reproductive hazards and outcomes. Arsenic exposures must also be evaluated and minimized, especially for maintenance workers; evaluation for lung and skin cancers is also appropriate. PMID:2401268

  7. The Structuring of Shared Voluntary Standards in the U.S. Semiconductor Industry: Communicating to Reach Agreement.

    Science.gov (United States)

    Browning, Larry D.; Beyer, Janice M.

    1998-01-01

    Contributes to scholarship on organizational communication by tracing how voluntary cooperative standards were developed for the semiconductor industry through reflexive communication processes initiated by the SEMATECH consortium. Analyzes seven pivotal incidents that show how increased communication produced new provinces of meaning, actions,…

  8. Market survey of semiconductors

    International Nuclear Information System (INIS)

    Mackintosh, I.M.; Diegel, D.; Brown, A.; Brinker, C.S. den

    1977-06-01

    Examination of technology and product trends over the range of current and future products in integrated circuits and optoelectronic displays. Analysis and forecast of major economic influences that affect the production costs of integrated circuits and optoelectronic displays. Forecast of the applications and markets for integrated circuits up to 1985 in West Europe, the USA and Japan. Historic development of the semiconductor industry and the prevailing tendencies - factors which influence success in the semiconductor industry. (orig.) [de

  9. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  10. Recovery of hazardous semiconductor-industry sludge as a useful resource.

    Science.gov (United States)

    Lee, Tzen-Chin; Liu, Feng-Jiin

    2009-06-15

    Sludge, a solid waste recovered from wastewater of semiconductor-industries composes of agglomerates of nano-particles like SiO(2) and CaF(2). This sludge deflocculates in acidic and alkaline aqueous solutions into nano-particles smaller than 100 nm. Thus, this sludge is potentially hazardous to water resources when improperly dumped. It can cause considerable air-pollution when fed into rotary-kilns as a raw material for cement production. In this study, dried and pulverized sludge was used to replace 5-20 wt.% Portland cement in cement mortar. The compressive strength of the modified mortar was higher than that of plain cement mortar after curing for 3 days and more. In particular, the strength of mortar with 10 wt.% substitution improved by 25-35% after curing for 7-90 days. TCLP studies reveal no detectable release of heavy metals. Preliminary studies showed that nano-particles deflocculated from the sludge, when cured for up to 3 days retain in the modified mortar their nano-size, which become large-sized hydration compounds that contribute to the final mortar strength. Semiconductor sludge can thus be utilized as a useful resource to replace portion of cement in cement mortar, thereby avoiding their potential hazard on the environment.

  11. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  12. Occupational health provision and health surveillance in the semiconductor industry.

    Science.gov (United States)

    Kinoulty, Mary; Williams, Nerys

    2006-03-01

    To identify the nature of occupational health provision in UK semiconductor-manufacturing plants. To identify the level of industry compliance with legal health surveillance requirements. A national inspection programme was carried out by Health & Safety Executive inspectors using a developed protocol. A wide range of occupational health provision was identified from none to use of an accredited specialist. The majority of work was of a reactive nature even where there was specialist occupational health input. Seven companies were identified as not meeting legal compliance and one as having unacceptable compliance for health surveillance. The spectrum of occupational health provision was very wide. Where health surveillance was provided, it was poorly targeted with limited interpretation and feedback to management.

  13. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  14. Semiconductor technology for reducing emissions and increasing efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Duffin, B.; Frank, R. [Motorola Semiconductor Products Sector, Phoenix, AZ (United States)

    1997-12-31

    The cooperation and support of all industries are required to significantly impact a worldwide reduction in gaseous emissions that may contribute to climate change. Each industry also is striving to more efficiently utilize the resources that it consumes since this is both conservation for good citizenship and an intelligent approach to business. The semiconductor industry is also extremely concerned with these issues. However, semiconductor manufacturer`s products provide solutions for reduced emissions and increased efficiency in their industry, other industries and areas that can realize significant improvements through control technology. This paper will focus on semiconductor technologies of digital control, power switching and sensing to improve efficiency and reduce emissions in automotive, industrial, and office/home applications. 10 refs., 13 figs.

  15. Horizontal integration in markets for complementary components and vertical product differentiation: A case-based analysis in the semiconductor industry

    OpenAIRE

    Westbrock, B.

    2005-01-01

    Observations of recent mergers and acquisitions (M&A) in the semiconductor and computer industry indicate that activities concentrate on the technology leaders in this market. The author examines the influence of players’ heterogeneous product technologies on their involvement in M&A. He provides a rationale for the influence with the help of a case study and a two-stage non cooperative game. The case is about an acquisition wave between suppliers in two semiconductor component markets. Exe...

  16. Automation and Integration in Semiconductor Manufacturing

    OpenAIRE

    Liao, Da-Yin

    2010-01-01

    Semiconductor automation originates from the prevention and avoidance of frauds in daily fab operations. As semiconductor technology and business continuously advance and grow, manufacturing systems must aggressively evolve to meet the changing technical and business requirements in this industry. Semiconductor manufacturing has been suffering pains from islands of automation. The problems associated with these systems are limited

  17. Polycrystalline silicon availability for photovoltaic and semiconductor industries

    Science.gov (United States)

    Ferber, R. R.; Costogue, E. N.; Pellin, R.

    1982-01-01

    Markets, applications, and production techniques for Siemens process-produced polycrystalline silicon are surveyed. It is noted that as of 1982 a total of six Si materials suppliers were servicing a worldwide total of over 1000 manufacturers of Si-based devices. Besides solar cells, the Si wafers are employed for thyristors, rectifiers, bipolar power transistors, and discrete components for control systems. An estimated 3890 metric tons of semiconductor-grade polycrystalline Si will be used in 1982, and 6200 metric tons by 1985. Although the amount is expected to nearly triple between 1982-89, research is being carried out on the formation of thin films and ribbons for solar cells, thereby eliminating the waste produced in slicing Czolchralski-grown crystals. The free-world Si production in 1982 is estimated to be 3050 metric tons. Various new technologies for the formation of polycrystalline Si at lower costs and with less waste are considered. New entries into the industrial Si formation field are projected to produce a 2000 metric ton excess by 1988.

  18. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  19. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  20. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  1. Revenue sharing in semiconductor industry supply chain ...

    Indian Academy of Sciences (India)

    to reduce demand opportunities, inventory needs and production efficiencies, in addition to reducing .... design based on coalition structures in semiconductor supply chain. ..... supplier/contract manufacturer for a product/component category.

  2. Horizontal integration in markets for complementary components and vertical product differentiation: A case-based analysis in the semiconductor industry

    NARCIS (Netherlands)

    Westbrock, B.

    2005-01-01

    Observations of recent mergers and acquisitions (M&A) in the semiconductor and computer industry indicate that activities concentrate on the technology leaders in this market. The author examines the influence of players’ heterogeneous product technologies on their involvement in M&A. He provides a

  3. Product manufacturing, quality, and reliability initiatives to maintain a competitive advantage and meet customer expectations in the semiconductor industry

    Science.gov (United States)

    Capps, Gregory

    Semiconductor products are manufactured and consumed across the world. The semiconductor industry is constantly striving to manufacture products with greater performance, improved efficiency, less energy consumption, smaller feature sizes, thinner gate oxides, and faster speeds. Customers have pushed towards zero defects and require a more reliable, higher quality product than ever before. Manufacturers are required to improve yields, reduce operating costs, and increase revenue to maintain a competitive advantage. Opportunities exist for integrated circuit (IC) customers and manufacturers to work together and independently to reduce costs, eliminate waste, reduce defects, reduce warranty returns, and improve quality. This project focuses on electrical over-stress (EOS) and re-test okay (RTOK), two top failure return mechanisms, which both make great defect reduction opportunities in customer-manufacturer relationship. Proactive continuous improvement initiatives and methodologies are addressed with emphasis on product life cycle, manufacturing processes, test, statistical process control (SPC), industry best practices, customer education, and customer-manufacturer interaction.

  4. Soft X-ray spectromicroscopy and application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.; Warwick, A.; Padmore, H.A.; Triplett, B.B.

    1997-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved by conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at thr Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An Advanced Light Source μ-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers. (author)

  5. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  6. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  7. Introduction to Semiconductor Devices

    Science.gov (United States)

    Brennan, Kevin F.

    2005-03-01

    This volume offers a solid foundation for understanding the most important devices used in the hottest areas of electronic engineering today, from semiconductor fundamentals to state-of-the-art semiconductor devices in the telecommunications and computing industries. Kevin Brennan describes future approaches to computing hardware and RF power amplifiers, and explains how emerging trends and system demands of computing and telecommunications systems influence the choice, design and operation of semiconductor devices. In addition, he covers MODFETs and MOSFETs, short channel effects, and the challenges faced by continuing miniaturization. His book is both an excellent senior/graduate text and a valuable reference for practicing engineers and researchers.

  8. neutron-Induced Failures in semiconductor Devices

    Energy Technology Data Exchange (ETDEWEB)

    Wender, Stephen Arthur [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-13

    Single Event Effects are a very significant failure mode in modern semiconductor devices that may limit their reliability. Accelerated testing is important for semiconductor industry. Considerable more work is needed in this field to mitigate the problem. Mitigation of this problem will probably come from Physicists and Electrical Engineers working together

  9. Semiconductor Ion Implanters

    International Nuclear Information System (INIS)

    MacKinnon, Barry A.; Ruffell, John P.

    2011-01-01

    In 1953 the Raytheon CK722 transistor was priced at $7.60. Based upon this, an Intel Xeon Quad Core processor containing 820,000,000 transistors should list at $6.2 billion! Particle accelerator technology plays an important part in the remarkable story of why that Intel product can be purchased today for a few hundred dollars. Most people of the mid twentieth century would be astonished at the ubiquity of semiconductors in the products we now buy and use every day. Though relatively expensive in the nineteen fifties they now exist in a wide range of items from high-end multicore microprocessors like the Intel product to disposable items containing 'only' hundreds or thousands like RFID chips and talking greeting cards. This historical development has been fueled by continuous advancement of the several individual technologies involved in the production of semiconductor devices including Ion Implantation and the charged particle beamlines at the heart of implant machines. In the course of its 40 year development, the worldwide implanter industry has reached annual sales levels around $2B, installed thousands of dedicated machines and directly employs thousands of workers. It represents in all these measures, as much and possibly more than any other industrial application of particle accelerator technology. This presentation discusses the history of implanter development. It touches on some of the people involved and on some of the developmental changes and challenges imposed as the requirements of the semiconductor industry evolved.

  10. Causes Analysis of Enterprise Mergers and Acquisitions in Semiconductor Industries%浅析半导体行业内企业并购动因

    Institute of Scientific and Technical Information of China (English)

    周慧

    2011-01-01

    Domestic and international semiconductor companies started wave of mergers and acquisitions in this century, hoping to win in the semiconductor market through the scale and leadership brought by mergers and acquisitions. From the analysis of M & A from different point of economics, the fact that the mergers and acquisitions in semiconductor industry is effected by the economies of scale, synergies, industry cluster.%国内外半导体公司在本世纪展开了并购重组潮,希望通过并购带来的规模和领导力在半导体市场上获胜.本文从经济学中关于企业并购的不同角度分析,对半导体行业内的企业并购行为受规模经济,协同效应,产业集群效应等的影响进行大概的分析.

  11. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    Science.gov (United States)

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Strain mapping for the semiconductor industry by dark-field electron holography and nanobeam electron diffraction with nm resolution

    International Nuclear Information System (INIS)

    Cooper, David; Hartmann, Jean Michel; Carron, Veronique; Béché, Armand; Rouvière, Jean-Luc

    2010-01-01

    There is a requirement of the semiconductor industry to measure strain in semiconductor devices with nm-scale resolution. Here we show that dark-field electron holography and nanobeam electron diffraction (NBED) are both complementary techniques that can be used to determine the strain in these devices. We show two-dimensional strain maps acquired by dark holography and line profiles that have been acquired by NBED of recessed SiGe sources and drains with a variety of different gate lengths and Ge concentrations. We have also used dark-field electron holography to measure the evolution in strain during the silicidation process, showing that this can reduce the applied uniaxial compressive strain in the conduction channel by up to a factor of 3

  13. Soft X-ray spectromicroscopy and its application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.

    1996-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved with conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at the Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An ALS(mu)-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers

  14. General specifications for silicon semiconductors for use in radiation dosimetry

    International Nuclear Information System (INIS)

    Rikner, G.; Grusell, E.

    1987-01-01

    Silicon semiconductor detectors used in radiation dosimetry have different properties, just as e.g. ionisation chambers, affecting the interaction of radiation with matter in the vicinity of the sensitive volume of the detector, e.g. wall materials, and also the collection of the charges liberated in the detector by the radiation. The charge collection depends on impurities, lattice imperfections and other properties of the semiconductor crystal. In this paper the relevant parameters of a silicon semiconductor detector intended for dosimetry are reviewed. The influence of doping material, doping level, various effects of radiation damage, mechanical construction, detector size, statistical noise and connection to the electrometer are discussed. (author)

  15. A TOE Approach to Establish a Green Supply Chain Adoption Decision Model in the Semiconductor Industry

    Directory of Open Access Journals (Sweden)

    Bang-Ning Hwang

    2016-02-01

    Full Text Available The green supply chain is an innovation that extends traditional sustainability initiatives to environmental activities in the supply chain and aims to minimize a product’s environmental impact throughout its life cycle. The adoption of a green supply chain involves a complex decision-making process characterized by multiple criteria. The goal of the current study is to construct a decision framework by identifying a comprehensive set of consideration factors and their causal relationships. The consideration factors are deliberately drawn from a variety of different, yet related, theories and are grouped into an extensive Technology-Organization -Environment (TOE framework. In accordance with the Decision Making Trial and Evaluation Laboratory (DEMATEL method, the decision framework was analyzed for appropriateness through surveys of selected experts in the semiconductor industry. Because the semiconductor industry has a long history of heavy resource usage and has proven an early advocate of green supply chains, results from this study can provide insights to other firms with similar operations and aims. The contributions of this research are twofold. First, its theoretical contribution consists of integrating previously separate strands of different theories into a holistic framework and exploring the causal relationships among decision factors. Second, its practical contribution lies in its establishment of a strategic path that provides firms a set of priorities when adopting green supply chains.

  16. Is There a Better Semiconductor Firm in Taiwan?

    Directory of Open Access Journals (Sweden)

    Cheng-Wen LEE

    2017-06-01

    Full Text Available The authors investigate the firm value of semiconductor industry in Taiwan in order to differentiate between outstanding semiconductor company and weak semiconductor company. The authors use GAP which is analytical tool to perform four steps: the original maps, sorting maps with clustering trees, summary sufficient maps, and sediment maps. The findings offer a good instruction for policymakers to make related policies in semiconductor firms. Additionally, the paper helps to find firms needed to be reformed through classification by GAP.

  17. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  18. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  19. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  20. 10 CFR 34.13 - Specific license for industrial radiography.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 1 2010-01-01 2010-01-01 false Specific license for industrial radiography. 34.13 Section 34.13 Energy NUCLEAR REGULATORY COMMISSION LICENSES FOR INDUSTRIAL RADIOGRAPHY AND RADIATION SAFETY... industrial radiography. An application for a specific license for the use of licensed material in industrial...

  1. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  2. Optics education for machine operators in the semiconductor industry: moving beyond button pushing

    Science.gov (United States)

    Karakekes, Meg; Currier, Deborah

    1995-10-01

    In the competitive semiconductor manufacturing industry, employees who operate equipment are able to make greater contributions if they understand how the equipment works. By understanding the 'why' behind the 'what', the equipment operators can better partner with other technical staff to produce quality integrated circuits efficiently and effectively. This additional knowledge also opens equipment operators to job enrichment and enlargement opportunities. Advanced Micro Devices (AMD) is in the process of upgrading the skills of its equipment operators. This paper is an overview of a pilot program that employs optics education to upgrade stepper operators' skills. The paper starts with stepper tasks that require optics knowledge, examines teaching methods, reports both end-of-course and three months post-training knowledge retention, and summarizes how the training has impacted the production floor.

  3. Nitride semiconductor devices fundamentals and applications

    CERN Document Server

    Morkoç, Hadis

    2013-01-01

    This book gives a clear presentation of the necessary basics of semiconductor and device physics and engineering. It introduces readers to fundamental issues that will enable them to follow the latest technological research. It also covers important applications, including LED and lighting, semiconductor lasers, high power switching devices, and detectors. This balanced and up-to-date treatment makes the text an essential educational tool for both advanced students and professionals in the electronics industry.

  4. The Study of an Integrated Rating System for Supplier Quality Performance in the Semiconductor Industry

    Science.gov (United States)

    Lee, Yu-Cheng; Yen, Tieh-Min; Tsai, Chih-Hung

    This study provides an integrated model of Supplier Quality Performance Assesment (SQPA) activity for the semiconductor industry through introducing the ISO 9001 management framework, Importance-Performance Analysis (IPA) Supplier Quality Performance Assesment and Taguchi`s Signal-to-Noise Ratio (S/N) techniques. This integrated model provides a SQPA methodology to create value for all members under mutual cooperation and trust in the supply chain. This method helps organizations build a complete SQPA framework, linking organizational objectives and SQPA activities to optimize rating techniques to promote supplier quality improvement. The techniques used in SQPA activities are easily understood. A case involving a design house is illustrated to show our model.

  5. Production of High Value Fluorine Gases for the Semiconductor Industry

    Energy Technology Data Exchange (ETDEWEB)

    Bulko, J. B.

    2003-10-23

    The chemistry to manufacture high purity GeF{sub 4} and WF{sub 6} for use in the semiconductor industry using Starmet's new fluorine extraction technology has been developed. Production of GeF{sub 4} was established using a tube-style reactor system where conversion yields as high as 98.1% were attained for the reaction between and GeO{sub 2}. Collection of the fluoride gas improved to 97.7% when the reactor sweep gas contained a small fraction of dry air (10-12 vol%) along with helium. The lab-synthesized product was shown to contain the least amount of infrared active and elemental impurities when compared with a reference material certified at 99.99% purity. Analysis of the ''as-produced'' gas using ICP-MS showed that uranium could not be detected at a detection limit of 0.019ppm-wt. A process to make WF{sub 6} from WO{sub 2}, and UF{sub 4}, produced a WOF{sub 4} intermediate, which proved difficult to convert to tungsten hexafluoride using titanium fluoride as a fluorinating agent.

  6. Rare resource supply crisis and solution technology for semiconductor manufacturing

    Science.gov (United States)

    Fukuda, Hitomi; Hu, Sophia; Yoo, Youngsun; Takahisa, Kenji; Enami, Tatsuo

    2016-03-01

    There are growing concerns over future environmental impact and earth resource shortage throughout the world and in many industries. Our semiconductor industry is not excluded. "Green" has become an important topic as production volume become larger and more powerful. Especially, the rare gases are widely used in semiconductor manufacturing because of its inertness and extreme chemical stability. One major component of an Excimer laser system is Neon. It is used as a buffer gas for Argon (Ar) and Krypton (Kr) gases used in deep ultraviolet (DUV) lithography laser systems. Since Neon gas accounting for more than 96% of the laser gas mixture, a fairly large amount of neon gas is consumed to run these DUV lasers. However, due to country's instability both in politics and economics in Ukraine, the main producer of neon gas today, supply reduction has become an issue and is causing increasing concern. This concern is not only based on price increases, but has escalated to the point of supply shortages in 2015. This poses a critical situation for the semiconductor industry, which represents the leading consumer of neon gas in the world. Helium is another noble gas used for Excimer laser operation. It is used as a purge gas for optical component modules to prevent from being damaged by active gases and impurities. Helium has been used in various industries, including for medical equipment, linear motor cars, and semiconductors, and is indispensable for modern life. But consumption of helium in manufacturing has been increased dramatically, and its unstable supply and price rise has been a serious issue today. In this article, recent global supply issue of rare resources, especially Neon gas and Helium gas, and its solution technology to support semiconductor industry will be discussed.

  7. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  8. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  9. The Computer Industry. High Technology Industries: Profiles and Outlooks.

    Science.gov (United States)

    International Trade Administration (DOC), Washington, DC.

    A series of meetings was held to assess future problems in United States high technology, particularly in the fields of robotics, computers, semiconductors, and telecommunications. This report, which focuses on the computer industry, includes a profile of this industry and the papers presented by industry speakers during the meetings. The profile…

  10. Industrial application of atom probe tomography to semiconductor devices

    NARCIS (Netherlands)

    Giddings, A.D.; Koelling, S.; Shimizu, Y.; Estivill, R.; Inoue, K.; Vandervorst, W.; Yeoh, W.K.

    2018-01-01

    Advanced semiconductor devices offer a metrology challenge due to their small feature size, diverse composition and intricate structure. Atom probe tomography (APT) is an emerging technique that provides 3D compositional analysis at the atomic-scale; as such, it seems uniquely suited to meet these

  11. The simulation of air recirculation and fire/explosion phenomena within a semiconductor factory

    International Nuclear Information System (INIS)

    I, Yet-Pole; Chiu, Y.-L.; Wu, S.-J.

    2009-01-01

    The semiconductor industry is the collection of capital-intensive firms that employ a variety of hazardous chemicals and engage in the design and fabrication of semiconductor devices. Owing to its processing characteristics, the fully confined structure of the fabrication area (fab) and the vertical airflow ventilation design restrict the applications of traditional consequence analysis techniques that are commonly used in other industries. The adverse situation also limits the advancement of a fire/explosion prevention design for the industry. In this research, a realistic model of a semiconductor factory with a fab, sub-fabrication area, supply air plenum, and return air plenum structures was constructed and the computational fluid dynamics algorithm was employed to simulate the possible fire/explosion range and its severity. The semiconductor factory has fan module units with high efficiency particulate air filters that can keep the airflow uniform within the cleanroom. This condition was modeled by 25 fans, three layers of porous ceiling, and one layer of porous floor. The obtained results predicted very well the real airflow pattern in the semiconductor factory. Different released gases, leak locations, and leak rates were applied to investigate their influence on the hazard range and severity. Common mitigation measures such as a water spray system and a pressure relief panel were also provided to study their potential effectiveness to relieve thermal radiation and overpressure hazards within a fab. The semiconductor industry can use this simulation procedure as a reference on how to implement a consequence analysis for a flammable gas release accident within an air recirculation cleanroom

  12. Resistance transition assisted geometry enhanced magnetoresistance in semiconductors

    International Nuclear Information System (INIS)

    Luo, Zhaochu; Zhang, Xiaozhong

    2015-01-01

    Magnetoresistance (MR) reported in some non-magnetic semiconductors (particularly silicon) has triggered considerable interest owing to the large magnitude of the effect. Here, we showed that MR in lightly doped n-Si can be significantly enhanced by introducing two diodes and proper design of the carrier path [Wan, Nature 477, 304 (2011)]. We designed a geometrical enhanced magnetoresistance (GEMR) device whose room-temperature MR ratio reaching 30% at 0.065 T and 20 000% at 1.2 T, respectively, approaching the performance of commercial MR devices. The mechanism of this GEMR is: the diodes help to define a high resistive state (HRS) and a low resistive state (LRS) in device by their openness and closeness, respectively. The ratio of apparent resistance between HRS and LRS is determined by geometry of silicon wafer and electrodes. Magnetic field could induce a transition from LRS to HRS by reshaping potential and current distribution among silicon wafer, resulting in a giant enhancement of intrinsic MR. We expect that this GEMR could be also realized in other semiconductors. The combination of high sensitivity to low magnetic fields and large high-field response should make this device concept attractive to the magnetic field sensing industry. Moreover, because this MR device is based on a conventional silicon/semiconductor platform, it should be possible to integrate this MR device with existing silicon/semiconductor devices and so aid the development of silicon/semiconductor-based magnetoelectronics. Also combining MR devices and semiconducting devices in a single Si/semiconductor chip may lead to some novel devices with hybrid function, such as electric-magnetic-photonic properties. Our work demonstrates that the charge property of semiconductor can be used in the magnetic sensing industry, where the spin properties of magnetic materials play a role traditionally

  13. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  14. The United States digital recording industry

    Science.gov (United States)

    Simonds, John L.

    1993-01-01

    The recording industry resembles the semiconductor industry in several aspects. Both are large (greater than $60 Billion/year revenues); both are considered critical technologies supporting national objectives; both are experiencing increased competition from foreign suppliers; they recognize significant opportunities for both technological and market growth in the decade to come; and both realize that a key to this future growth lies in alliances among industry, academia, and government. The semiconductor industry has made significant investments in alliances relating to manufacturing technologies (SEMATECH) and to joint long-term technology research centered in universities (SRC). The federal government has provided funding support of these efforts in recognition of the critical roles semiconductor technologies play in national interests. The recording industry is now also forming critical alliances, but has been slower in starting and in gaining broad recognition by government agencies and legislators that the industry needs federal support. Traditionally, the recording industry has been viewed as mature, stable, and, while critical to national interests, able to chart and fund its own course toward future national needs. That perception is fortunately changing.

  15. Heterogeneous reaction mechanisms and kinetics relevant to the CVD of semiconductor materials

    Energy Technology Data Exchange (ETDEWEB)

    Creighton, J.R.; Coltrin, M.E.

    1994-03-01

    This report documents the state of the art in experimental and theoretical techniques for determining reaction mechanisms and chemical kinetics of heterogeneous reactions relevant to the chemical vapor deposition of semiconductor materials. It summarizes the most common ultra-high vacuum experimental techniques that are used and the types of rate information available from each. Several case studies of specific chemical systems relevant to the microelectronics industry are described. Theoretical methods for calculating heterogeneous reaction rate constants are also summarized.

  16. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  17. Amorphous semiconductors for particle detection: Physical and technical limits and possibilities

    International Nuclear Information System (INIS)

    Equer, B.; Karar, A.

    1989-01-01

    Amorphous silicon is used, at an industrial level, in at least three different fields of application: photovoltaic cells, flat TV screens and line scanners for image processing. In the last two cases, thin film transistors (TFT) are produced with the same technology. Particle detection with amorphous silicon has been demonstrated, but present performances are limited to ionizing particles. In this paper, we discuss the physical basis of amorphous semiconductors and the possible future development that can be expected on the basis of the existing technology. It is concluded that substitution of amorphous for crystalline silicon brings no clear advantage, if possible at all. Positive assets are to be found in using specific properties of thin layers: large area structures like arrays of photodiodes with associated readout are in the state of the art; vertical structures alternating layers of differently doped materials and/or of different semiconductors can be produced by the same technique. The development of large area pixel detectors is technically feasible but requires a very large effort. A joint development effort with industries involved in X-ray detection and 2D photodetectors might be the most appropriate solution. (orig.)

  18. Electronics Industry Study Report: Semiconductors and Defense Electronics

    Science.gov (United States)

    2003-01-01

    Access Memory (DRAM) chips and microprocessors. Samsung , Micron, Hynix, and Infineon control almost three-fourths of the DRAM market,8 while Intel alone...Country 2001 Sales ($B) 2002 Sales ($B) % Change % 2002 Mkt 1 1 Intel U.S. 23.7 24.0 1% 16.9% 2 3 Samsung Semiconductor S. Korea 6.3...located in four major regions: the United States, Europe, Japan, and the Asia-Pacific region (includes South Korea, China, Singapore, Malaysia , Taiwan

  19. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  20. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  1. General Industrial Electronics. Oklahoma Trade and Industrial Education.

    Science.gov (United States)

    Harwick, Jim; Siebert, Leo

    This curriculum guide, part of a series of curriculum guides dealing with industrial electricity and electronics, is designed for use in teaching a course in general industrial electronics. Covered in the first half of the guide are units on the following electronic components: semiconductors, solid-state diodes, bipolar transistors, and special…

  2. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  3. Ion sources for industrial use

    International Nuclear Information System (INIS)

    Sakudo, Noriyuki

    1994-01-01

    Industrial applications of ion beams began in the 1970's with their application in fabrication of semiconductor devices. Since then, various improvements have been carried out for source lifetimes, current levels and diversification of ion species. Nowadays, ion beams are expected to be used for surface modification of materials as well as semiconductor fabrication. In this report, some of the typical ion sources are reviewed from the viewpoint of future industrial use. (author)

  4. Industry Specifics and Consumers’ Reactions to Business Crises

    Directory of Open Access Journals (Sweden)

    Kazlauskienė Asta

    2018-02-01

    Full Text Available Different scientific studies provide many valuable recommendations how to manage crises in order to lessen their negative effect on relations with consumers. But the question whether the same business crises management rules can be applied for different industries, or they must be adapted depending on industries specifics, has not received sufficient scientific attention. Knowledge gaps about industry specific effect on consumer reactions to business crises remain. This study focuses on understanding the differences in consumers’ reactions in business crises situations with regard to controversial evaluation in the society of “the sin industries” (alcohol, tobacco, gambling, etc. and ordinary industries (not having controversial associations. Experimental research design, including online experiment with tobacco, beer and functional soft drinks consumers (in total 306 respondents, was chosen for competing research hypotheses testing. Empirical evidence was in line with theoretical argumentation about less negative consumers’ reactions during business crises in case of “sin industries” versus ordinary industry. This study shows that consumers attitudes, such as perception of company’s product quality, trust, social responsibility and behavioural intentions, such as intention to buy and recommend company’s products, are less negative during business crises in lower reputation “sin industries” than in ordinary industries.

  5. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  6. Mathematical Modelling and Simulation of Electrical Circuits and Semiconductor Devices

    CERN Document Server

    Merten, K; Bulirsch, R

    1990-01-01

    Numerical simulation and modelling of electric circuits and semiconductor devices are of primal interest in today's high technology industries. At the Oberwolfach Conference more than forty scientists from around the world, in­ cluding applied mathematicians and electrical engineers from industry and universities, presented new results in this area of growing importance. The contributions to this conference are presented in these proceedings. They include contributions on special topics of current interest in circuit and device simulation, as well as contributions that present an overview of the field. In the semiconductor area special lectures were given on mixed finite element methods and iterative procedures for the solution of large linear systems. For three dimensional models new discretization procedures including software packages were presented. Con­ nections between semiconductor equations and the Boltzmann equation were shown as well as relations to the quantum transport equation. Other issues dis...

  7. 75 FR 24742 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2010-05-05

    ... Semiconductor, Xiqing Integrated Semiconductor, Manufacturing Site, No. 15 Xinghua Road, Xiqing Economic... Malaysia Sdn. Bhd., NO. 2 Jalan SS 8/2, Free Industrial Zone, Sungai Way, 47300 Petaling Jaya, Selengor, Malaysia. Freescale Semiconductor Pte. Ltd., 7 Changi South Street 2, 03-00, Singapore 486415. Freescale...

  8. Identification of Spatial Fault Patterns in Semiconductor Wafers

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract The semiconductor industry is constantly searching for new ways to increase the rate of both process development and yield learning. As more data is being...

  9. Improving the Efficiency of a Coagulation-Flocculation Wastewater Treatment of the Semiconductor Industry through Zeta Potential Measurements

    Directory of Open Access Journals (Sweden)

    Eduardo Alberto López-Maldonado

    2014-01-01

    Full Text Available Efficiency of coagulation-flocculation process used for semiconductor wastewater treatment was improved by selecting suitable conditions (pH, polyelectrolyte type, and concentration through zeta potential measurements. Under this scenario the zeta potential, ζ, is the right parameter that allows studying and predicting the interactions at the molecular level between the contaminants in the wastewater and polyelectrolytes used for coagulation-flocculation. Additionally, this parameter is a key factor for assessing the efficiency of coagulation-flocculation processes based on the optimum dosages and windows for polyelectrolytes coagulation-flocculation effectiveness. In this paper, strategic pH variations allowed the prediction of the dosage of polyelectrolyte on wastewater from real electroplating baths, including the isoelectric point (IEP of the dispersions of water and commercial polyelectrolytes used in typical semiconductor industries. The results showed that there is a difference between polyelectrolyte demand required for the removal of suspended solids, turbidity, and organic matter from wastewater (23.4 mg/L and 67 mg/L, resp.. It was also concluded that the dose of polyelectrolytes and coagulation-flocculation window to achieve compliance with national and international regulations as EPA in USA and SEMARNAT in Mexico is influenced by the physicochemical characteristics of the dispersions and treatment conditions (pH and polyelectrolyte dosing strategy.

  10. Specification process reengineering: concepts and experiences from Danish industry

    DEFF Research Database (Denmark)

    Hansen, Benjamin Loer; Riis, Jesper; Hvam, Lars

    2003-01-01

    This paper presents terminologies and concepts related to the IT automation of specification processes in companies manufacturing custom made products. Based on 11 cases from the Danish industry the most significant development trends are discussed.......This paper presents terminologies and concepts related to the IT automation of specification processes in companies manufacturing custom made products. Based on 11 cases from the Danish industry the most significant development trends are discussed....

  11. Spectroscopic characterization of III-V semiconductor nanomaterials

    Science.gov (United States)

    Crankshaw, Shanna Marie

    III-V semiconductor materials form a broad basis for optoelectronic applications, including the broad basis of the telecom industry as well as smaller markets for high-mobility transistors. In a somewhat analogous manner as the traditional silicon logic industry has so heavily depended upon process manufacturing development, optoelectronics often relies instead on materials innovations. This thesis focuses particularly on III-V semiconductor nanomaterials, detailed characterization of which is invaluable for translating the exhibited behavior into useful applications. Specifically, the original research described in these thesis chapters is an investigation of semiconductors at a fundamental materials level, because the nanostructures in which they appear crystallize in quite atypical forms for the given semiconductors. Rather than restricting the experimental approaches to any one particular technique, many different types of optical spectroscopies are developed and applied where relevant to elucidate the connection between the crystalline structure and exhibited properties. In the first chapters, for example, a wurtzite crystalline form of the prototypical zincblende III-V binary semiconductor, GaAs, is explored through polarization-dependent Raman spectroscopy and temperature-dependent photoluminescence, as well as second-harmonic generation (SHG). The altered symmetry properties of the wurtzite crystalline structure are particularly evident in the Raman and SHG polarization dependences, all within a bulk material realm. A rather different but deeply elegant aspect of crystalline symmetry in GaAs is explored in a separate study on zincblende GaAs samples quantum-confined in one direction, i.e. quantum well structures, whose quantization direction corresponds to the (110) direction. The (110) orientation modifies the low-temperature electron spin relaxation mechanisms available compared to the usual (001) samples, leading to altered spin coherence times explored

  12. High-resolution X-ray imaging - a powerful nondestructive technique for applications in semiconductor industry

    International Nuclear Information System (INIS)

    Zschech, Ehrenfried; Yun, Wenbing; Schneider, Gerd

    2008-01-01

    The availability of high-brilliance X-ray sources, high-precision X-ray focusing optics and very efficient CCD area detectors has contributed essentially to the development of transmission X-ray microscopy (TXM) and X-ray computed tomography (XCT) with sub-50 nm resolution. Particularly, the fabrication of high aspect ratio Fresnel zone plates with zone widths approaching 15 nm has contributed to the enormous improvement in spatial resolution during the previous years. Currently, Fresnel zone plates give the ability to reach spatial resolutions of 15 to 20 nm in the soft and of about 30 to 50 nm in the hard X-ray energy range. X-ray microscopes with rotating anode X-ray sources that can be installed in an analytical lab next to a semiconductor fab have been developed recently. These unique TXM/XCT systems provide an important new capability of nondestructive 3D imaging of internal circuit structures without destructive sample preparation such as cross sectioning. These lab systems can be used for failure localization in micro- and nanoelectronic structures and devices, e.g., to visualize voids and residuals in on-chip metal interconnects without physical modification of the chip. Synchrotron radiation experiments have been used to study new processes and materials that have to be introduced into the semiconductor industry. The potential of TXM using synchrotron radiation in the soft X-ray energy range is shown for the nondestructive in situ imaging of void evolution in embedded on-chip copper interconnect structures during electromigration and for the imaging of different types of insulating thin films between the on-chip interconnects (spectromicroscopy). (orig.)

  13. Accumulation of trace elements used in semiconductor industry in Formosan squirrel, as a bio-indicator of their exposure, living in Taiwan.

    Science.gov (United States)

    Suzuki, Yoshinari; Watanabe, Izumi; Oshida, Tatsuo; Chen, Yen-Jean; Lin, Liang-Kong; Wang, Yu-Huang; Yang, Kouh-Cheng; Kuno, Katsuji

    2007-07-01

    Concentrations of 17 trace elements were analyzed using inductively coupled plasma-mass spectrometry (ICP-MS) in Formosan squirrels (Callosciurus erythraeus) of Taiwan and Japan to document trace element pollution in Taiwan. High concentrations of elements used to produce semiconductors - Ga, As, Cd, In and Tl - were found in animals captured in Miaoli County, which is the nearest site to Hsinchu City, a chief city of Taiwan's semiconductor industry. Significant correlations between Ga, As, In and Tl were found in the kidney, liver, lung and muscle tissues of Taiwanese squirrels. Hierarchical cluster analysis indicated that Ga, As, In and Tl were of the same clade, indicating that Ga, As, In and Tl were discharged from an identical origin. Molar ratios of Ga/As concentration in lungs of animals captured in Miaoli resembled those of animals after intratracheal administration of particulate gallium arsenide (GaAs). This result might indicate that the higher concentrations of Ga and As in the specimens in Miaoli resulted from atmospheric exposure to GaAs.

  14. SPECIFICITY IN DEVELOPMENT OF CONSTRUCTION INDUSTRY

    Directory of Open Access Journals (Sweden)

    O. S. Golubova

    2012-01-01

    Full Text Available Specificity in development of construction industry of the Republic of Belarus determines  character of competition on the construction market, forms a pricing, marketing and product policy of building companies. Construction represents itself as a highly developed complex where interaction of business entities is of rather complicated multilateral character.

  15. The question about increasing of thermoelectrical Q and percent of the yield of the semiconductor material on the basis of chalcogenides of the bismuth and antimony under conditions of experimental-industrial production

    International Nuclear Information System (INIS)

    Magerramov, A.A.; Barkhalov, B.S.

    2005-01-01

    Full text : Different methods of the receiving of monocrystalline ingots of the semiconductor materials for thermo electrical inverter of energy have been considered. On the basis of the analyses of theoretical and experimental data generated series of recommendations, directed to increase thermo electrical Q receiving from thermo electrical materials and increasing percent of yield of semiconductor materials on the basis of chalcogenides of the bismuth and antimony on the basis of industrial production

  16. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Some specific features of a surface-screw plasma instability in semiconductors

    International Nuclear Information System (INIS)

    Karavaev, G.F.; Tsipivka, Yu.I.

    1976-01-01

    A numerical analysis of the dispersion relation has been carried out, which enables to discover some new peculiarities in the behaviour of the surface helical instability (SHI) of a semiconductor plasma. To simplify the dispersion relation a semiconductor with nearly equal electron and hole mobilities has been considered. The dependences of threshold characteristics of SHI on a magnetic field H for different angular harmonics are represented graphically. A comparison of the formulas obtained shows that the approximation of truncated series yields an incorrect qualitative dependence of the wavelength on H, whereas asymptotic formulas in the range of strong magnetic fields yield not only a correct qualitative dependence of the threshold characteristics on H, but also a good quantitative agreement

  18. Improving the Management of Innovative Development of Industrial Production According to Industry Specifics

    Directory of Open Access Journals (Sweden)

    Papizh Yuliia S.

    2018-03-01

    Full Text Available The problem of improvement of management efficiency of innovative development of industrial enterprises in modern economic conditions is indicated. The dynamics of innovative processes in Ukraine together with volumes of innovative activity of domestic enterprises are analyzed. The basic principles of formation and efficient functioning of the organizational-economic mechanism of innovative development of industrial production are substantiated. The branch specificity in management of innovative development of coal enterprises is identified. Directions of improvement of the organizational-economic mechanism of management of innovative development of enterprises of coal industry are defined. The basic principles of introduction of the mechanism for stimulation of innovative development of enterprises of coal industry are suggested.

  19. Modelling colliding-pulse mode-locked semiconductor lasers

    DEFF Research Database (Denmark)

    Bischoff, Svend

    or to determine the optimum operation conditions. The purpose of this thesis is to elucidate some of the physics of interest in the field of semiconductor laser modelling, semiconductor optics and fiber optics. To be more specific we will investigate: The Colliding-Pulse Mode-Locked (CPM) Quantum Well (QW) laser...... diode; the excitonic semiconductor response for varying material thickness in the case of linear optics; and modulational instability of electromagnetic waves in media with spatially varying non-linearity....

  20. Industry

    Energy Technology Data Exchange (ETDEWEB)

    Bernstein, Lenny; Roy, Joyashree; Delhotal, K. Casey; Harnisch, Jochen; Matsuhashi, Ryuji; Price, Lynn; Tanaka, Kanako; Worrell, Ernst; Yamba, Francis; Fengqi, Zhou; de la Rue du Can, Stephane; Gielen, Dolf; Joosen, Suzanne; Konar, Manaswita; Matysek, Anna; Miner, Reid; Okazaki, Teruo; Sanders, Johan; Sheinbaum Parado, Claudia

    2007-12-01

    This chapter addresses past, ongoing, and short (to 2010) and medium-term (to 2030) future actions that can be taken to mitigate GHG emissions from the manufacturing and process industries. Globally, and in most countries, CO{sub 2} accounts for more than 90% of CO{sub 2}-eq GHG emissions from the industrial sector (Price et al., 2006; US EPA, 2006b). These CO{sub 2} emissions arise from three sources: (1) the use of fossil fuels for energy, either directly by industry for heat and power generation or indirectly in the generation of purchased electricity and steam; (2) non-energy uses of fossil fuels in chemical processing and metal smelting; and (3) non-fossil fuel sources, for example cement and lime manufacture. Industrial processes also emit other GHGs, e.g.: (1) Nitrous oxide (N{sub 2}O) is emitted as a byproduct of adipic acid, nitric acid and caprolactam production; (2) HFC-23 is emitted as a byproduct of HCFC-22 production, a refrigerant, and also used in fluoroplastics manufacture; (3) Perfluorocarbons (PFCs) are emitted as byproducts of aluminium smelting and in semiconductor manufacture; (4) Sulphur hexafluoride (SF{sub 6}) is emitted in the manufacture, use and, decommissioning of gas insulated electrical switchgear, during the production of flat screen panels and semiconductors, from magnesium die casting and other industrial applications; (5) Methane (CH{sub 4}) is emitted as a byproduct of some chemical processes; and (6) CH{sub 4} and N{sub 2}O can be emitted by food industry waste streams. Many GHG emission mitigation options have been developed for the industrial sector. They fall into three categories: operating procedures, sector-wide technologies and process-specific technologies. A sampling of these options is discussed in Sections 7.2-7.4. The short- and medium-term potential for and cost of all classes of options are discussed in Section 7.5, barriers to the application of these options are addressed in Section 7.6 and the implication of

  1. The Extreme-Technology Industry

    Science.gov (United States)

    Hoefflinger, Bernd

    The persistent annual R&D quota of >15% of revenue in the semiconductor industry has been and continues to be more than twice as high as the OECD definition for High-Technology Industry. At the frontiers of miniaturization, the Cost-of-Ownership (COO) continues to rise upwards to beyond 10 billion for a Gigafactory. Only leaders in the world market for selected processors and memories or for foundry services can afford this. Others can succeed with high-value custom products equipped with high-performance application-specific standard products acquired from the leaders in their specific fields or as fabless original-device manufacturers buying wafers from top foundries and packaging/testing from contract manufacturers, thus eliminating the fixed cost for a factory. An overview is offered on the leaders in these different business models. In view of the coming highly diversified and heterogeneous world of nanoelectronic-systems competence, the point is made for global networks of manufacturing and services with the highest standards for product quality and liability.

  2. Environmental and health risks of chlorine trifluoride (ClF3), an alternative to potent greenhouse gases in the semiconductor industry.

    Science.gov (United States)

    Tsai, Wen-Tien

    2011-06-15

    The first accident involving chlorine trifluoride (ClF(3)) in the history of semiconductor fabrication processes occurred on 28 July 2006 at Hsinchu (Taiwan), resulting in a large release of the highly reactive material and causing the chemical burn to several workers. ClF(3) is used primarily as an in situ cleaning gas in the manufacture of semiconductor silicon-wafer devices in replacement of perfluorocompounds (PFCs) because they have the high potential to contribute significantly to the global warming. This article aimed at reviewing ClF(3) in the physicochemical properties, the industrial uses, and the environmental implications on the basis of its toxicity, reactivity, health hazards and exposure limits. The health hazards of probable decomposition/hydrolysis products from ClF(3) were also evaluated based on their basic physicochemical properties and occupational exposure limits. The occupational exposure assessment was further discussed to understand potentially hazardous risks caused by hydrogen fluoride and fluorides from the decomposition/hydrolysis products of ClF(3). Copyright © 2010 Elsevier B.V. All rights reserved.

  3. 77 FR 25747 - Certain Semiconductor Integrated Circuit Devices and Products Containing Same; Institution of...

    Science.gov (United States)

    2012-05-01

    ... INTERNATIONAL TRADE COMMISSION [Inv. No. 337-TA-840] Certain Semiconductor Integrated Circuit... States after importation of certain semiconductor integrated circuit devices and products containing same... No. 6,847,904 (``the '904 patent''). The complaint further alleges that an industry in the United...

  4. 77 FR 60721 - Certain Semiconductor Integrated Circuit Devices and Products Containing Same; Notice of...

    Science.gov (United States)

    2012-10-04

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-840] Certain Semiconductor Integrated... certain semiconductor integrated circuit devices and products containing same by reason of infringement of...,783; and 6,847,904. The complaint further alleges the existence of a domestic industry. The Commission...

  5. 10 CFR 34.61 - Records of the specific license for industrial radiography.

    Science.gov (United States)

    2010-01-01

    ... 10 Energy 1 2010-01-01 2010-01-01 false Records of the specific license for industrial radiography. 34.61 Section 34.61 Energy NUCLEAR REGULATORY COMMISSION LICENSES FOR INDUSTRIAL RADIOGRAPHY AND... Records of the specific license for industrial radiography. Each licensee shall maintain a copy of its...

  6. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  7. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  8. SPECIFITY OF REDEVELOPMENT OF INEFFICIENTLY USED INDUSTRIAL TERRITORIES

    Directory of Open Access Journals (Sweden)

    KOVALOV V. V.

    2017-05-01

    Full Text Available Raising of problem. In modern conditions of urban construction, the problem arises of searching for land for a new building. However, the most attractive land plots from the investment point of view are often occupied, including the industrial plants placed on them, many of which do not function. Redevelopment of industrial areas will effectively control the development of the urban environment in accordance with the requirements of the time. Purpose of the aricle. Determination of specific features of the formation of lofts as new elements of the urban environment in the redevelopment of inefficienly used industrial areas. Conclusion. When reconstructing urban degraded industrial areas, it is necessary to place residential objects in addition to commercial real estate objects, the expediency of which is confirmed by the world experience of redevelopment of industrial territories.

  9. Specifications from a biotechnology industry perspective.

    Science.gov (United States)

    Garnick, R L

    1997-01-01

    The emergence of new analytical technology and the production of pharmaceuticals for a global market in a cost-effective manner necessitate the establishment of worldwide specifications that are appropriate for the product and the manufacturing process. This requires a thorough knowledge of the protein and control of the systems that produce it as well as an understanding of the accuracy and precision of the assays used for testing. Harmonization of specifications among the worldwide regulatory authorities is critical for the future development of new pharmaceuticals. A continuing dialogue between industry and regulators to achieve this goal needs to be encouraged and supported.

  10. Industry Study, Electronics Industry, Spring 2009

    Science.gov (United States)

    2009-01-01

    not have the flexibility in their processes to quickly produce custom system-on-chips because they are optimized for high-end production. Dell ...building its semiconductor industry, Malaysia has moved in the same overall directions but has lagged a few years. Malaysia has succeeded in the backend

  11. Examination on the actual situation of safety measures for the gas used in semiconductor industry of U. S. A. Amerika no handotai kogyoyo gas no anzen taisaku no jitsujo wo mite

    Energy Technology Data Exchange (ETDEWEB)

    Horiguchi, S [National Inst. of Materials and Chemical Research, Tsukuba (Japan)

    1993-06-15

    In compliance with the request of the Compressed Gas Safety Association of Japan, the visits to the Department of Transportation (DOT), the Occupational Safety and Health Administration, Department of Labor (OSHA), which are the apparatus of government, the Compressed Gas Association (CGA), the makers of gas used in the semiconductor industry, the makers of cylinder cabinet, and the semiconductor manufacturing factories run by Japanese were made by the present authors in order to examine the actual situations of the safety measure to the gas used in semiconductor industry of U.S.A. In this paper, the viewpoints as well as the actual situation relating to the safety measure to the compressed gas in said visited apparatus and enterprises are introduced. Especially, a number of points which should be referred to are indicated that recently in America, the control of the dangerous materials are regulated rigorously due to the environmental problems, and additionally the files of material safety data sheet based on Hazard communication of OSHA are ranged in the passageways for being read freely, the certain safety educations are given to the employees in offices and the visiting traders or marketers concerned as the duty. 1 fig., 2 tabs.

  12. Application of Specific Features of Industrial Products when Forming and Developing Brands of Industrial Enterprises

    Directory of Open Access Journals (Sweden)

    Yatsentiuk Stanislav V.

    2014-03-01

    Full Text Available The article analyses and structures approaches and principles of formulation of industrial products. It offers classification of goods and markets of industrial products by their characteristics and participants. It identifies main participants that make decisions at B2C and B2B markets and characterises their specific features and motivation when making decisions on purchase of products of industrial enterprises. It studies and analyses indicators of development of domestic markets of consumer goods and market of industrial products and dynamics of development of their relation in retrospective view.

  13. Improvements in or relating to semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, K.; Groves, I.S.; Leigh, P.A.; McIntyre, N.; O'Hara, S.; Speight, J.D.

    1980-01-01

    A method of producing semiconductor devices is described consisting of a series of physical and chemical techniques which results in the production of semiconductor devices such as IMPATT diodes of DC-RF efficiency and high reliability (lifetime). The diodes can be mass produced without significant variation of the technology. One of the techniques used is the high energy proton bombardment of the semiconductor material in depth to passivate specific zones. The energy of the protons is increased in stages at intervals of less than 0.11 MeV up to a predetermined maximum energy. (UK)

  14. Insulated InP (100) semiconductor by nano nucleus generation in pure water

    Science.gov (United States)

    Ghorab, Farzaneh; Es'haghi, Zarrin

    2018-01-01

    Preparation of specified designs on optoelectronic devices such as Light-Emitting Diodes (LEDs) and Laser Diodes (LDs) by using insulated thin films is very important. InP as one of those semiconductors which is used as optoelectronic devices, have two different kinds of charge carriers as n-InP and p-InP in the microelectronic industry. The surface preparation of this kind of semiconductor can be accomplished with individually chemical, mechanical, chemo - mechanical and electrochemical methods. But electrochemical method can be suitably replaced instead of the other methods, like CMP (Chemical Mechanical Polishing), because of the simplicity. In this way, electrochemically formation of insulated thin films by nano nucleus generation on semiconductor (using constant current density of 0.07 mA /cm2) studied in this research. Insulated nano nucleus generation and their growth up to thin film formation on semiconductor single crystal (100), n-InP, inpure water (0.08 µs/cm,25°c) characterized by Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), Four-point probe and Styloprofilometer techniques. The SEM images show active and passive regions on the n-InP surface and not uniform area on p-InP surface by passing through the passive condition. So the passive regions were nonuniform, and only the active regions were uniform and clean. The various semiconducting behavior in electrochemical condition, studied and compared with structural specification of InP type group (III-V).

  15. 76 FR 79215 - Certain Semiconductor Chips With Dram Circuitry, and Modules and Products Containing Same...

    Science.gov (United States)

    2011-12-21

    ... INTERNATIONAL TRADE COMMISSION [Investigation No. 337-TA-819] Certain Semiconductor Chips With... importation, and the sale within the United States after importation of certain semiconductor chips with DRAM.... 7,906,809 (``the `809 patent''). The complaint further alleges that an industry in the United States...

  16. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  17. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  18. Nuclear industry technology boomerang

    International Nuclear Information System (INIS)

    Scholler, R.W.

    1987-01-01

    The benefits to the medical, pharmaceutical, semiconductor, computer, video, bioscience, laser, defense, and numerous high-tech industries from nuclear technology development fallout are indeed numerous and increase every day. Now those industries have made further progress and improvements that, in return, benefit the nuclear industry. The clean-air and particle-free devices and enclosures needed for protection and decontamination are excellent examples

  19. 76 FR 14688 - In the Matter of Certain Large Scale Integrated Circuit Semiconductor Chips and Products...

    Science.gov (United States)

    2011-03-17

    ... existence of a domestic industry. The Commission's notice of investigation named several respondents...; Freescale Semiconductor Malaysia Sdn. Bhd. of Malaysia; Freescale Semiconductor Pte. Ltd. of Singapore; Mouser Electronics, Inc. of Mansfield, Texas; and Motorola Inc. of Schaumburg, Illinois. On August 16...

  20. Laser interferometric method for determining the carrier diffusion length in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Manukhov, V. V. [Saint Petersburg State University (Russian Federation); Fedortsov, A. B.; Ivanov, A. S., E-mail: ivaleks58@gmail.com [Saint Petersburg Mining University (Russian Federation)

    2015-09-15

    A new laser interferometric method for measuring the carrier diffusion length in semiconductors is proposed. The method is based on the interference–absorption interaction of two laser radiations in a semiconductor. Injected radiation generates additional carriers in a semiconductor, which causes a change in the material’s optical constants and modulation of the probing radiation passed through the sample. When changing the distance between carrier generation and probing points, a decrease in the carrier concentration, which depends on the diffusion length, is recorded. The diffusion length is determined by comparing the experimental and theoretical dependences of the probe signal on the divergence of the injector and probe beams. The method is successfully tested on semiconductor samples with different thicknesses and surface states and can be used in scientific research and the electronics industry.

  1. Semiconductor product analysis challenges based on the 1999 ITRS

    International Nuclear Information System (INIS)

    Joseph, Thomas W.; Anderson, Richard E.; Gilfeather, Glen; LeClaire, Carole; Yim, Daniel

    2001-01-01

    One of the most significant challenges for technology characterization and failure analysis is to keep instrumentation and techniques in step with the development of technology itself. Not only are dimensions shrinking and new materials being employed, but the rate of change is increasing. According to the 1999 International Technology Roadmap for Semiconductors, 'The number and difficulty of the technical challenges continue to increase as technology moves forward'. It could be argued that technology cannot be developed without appropriate analytical techniques; nevertheless while much effort is being directed at materials and processes, only a small proportion is being directed at analysis. Whereas previous versions of the Semiconductor Industry Association roadmap contained a small number of implicit references to characterization and analysis, the 1999 ITRS contains many explicit references. It is clear that characterization is now woven through the roadmap, and technology developers in all areas appreciate the fact that new instrumentation and techniques will be required to sustain the rate of development the semiconductor industry has seen in recent years. Late in 1999, a subcommittee of the Sematech Product Analysis Forum (PAF) reviewed the ITRS and identified a 'top-ten' list of challenges which the failure analysis community will face as present technologies are extended and future technologies are developed. This paper discusses the PAF top-ten list of challenges, which is based primarily on the Difficult Challenges tables from each ITRS working group. Eight of the top-ten are challenges of significant technical magnitude; only two could be considered non-technical in nature. Most of these challenges cut across several working group areas and could be considered common threads in the roadmap, ranging from fault simulation and modeling to imaging small features, from electrical defect isolation to deprocessing. While evolutionary changes can be anticipated

  2. Semiconductor nanoparticles with spatial separation of charge carriers: synthesis and optical properties

    International Nuclear Information System (INIS)

    Vasiliev, Roman B; Dirin, Dmitry N; Gaskov, Alexander M

    2011-01-01

    The results of studies on core/shell semiconductor nanoparticles with spatial separation of photoexcited charge carriers are analyzed and generalized. Peculiarities of the electronic properties of semiconductor/semiconductor heterojunctions formed inside such particles are considered. Data on the effect of spatial separation of charge carriers on the optical properties of nanoparticles including spectral shifts of the exciton bands, absorption coefficients and electron–hole pair recombination times are presented. Methods of synthesis of core/shell semiconductor nanoparticles in solutions are discussed. Specific features of the optical properties of anisotropic semiconductor nanoparticles with the semiconductor/semiconductor junctions are noted. The bibliography includes 165 references.

  3. Government Support, FDI Clustering and Semiconductor Sustainability in China: Case Studies of Shanghai, Suzhou and Wuxi in the Yangtze Delta

    Directory of Open Access Journals (Sweden)

    Tsu-Lung Chou

    2014-08-01

    Full Text Available With reference to the case studies of Shanghai, Suzhou, and Wuxi, in the Yangtze Delta, China, this paper demonstrates the local possibilities and various development paths for developing an indigenous semiconductor industry, using the government support within foreign direct investment (FDI-dominated clusters for the New Industrializing Countries (NICs. Two important policy lessons are identified. The first is that the government may attract FDI and develop high-tech clustering by using policy support, but it does not necessarily provide conducive and positive influences on the sustainable development of domestic semiconductors. The second lesson is that the sustainability of the domestic semiconductor industry in the FDI cluster may start from three connected elements: (1 a pragmatic goal of government support; (2 complementarities of the domestic semiconductors with international leading firms in the market, technology and equipment linkages; and (3 a sustainable capacity of technical learning to drive local developments.

  4. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  5. Academic Centers and/as Industrial Consortia: US Microelectronics Research 1976-2016

    NARCIS (Netherlands)

    Mody, Cyrus C.M.

    2017-01-01

    In the U.S., in the late 1970s and early 1980s, academic research centers that were tightly linked to the semiconductor industry began to proliferate – at exactly the same time as the first academic start-up companies in biotech, and slightly before the first U.S. industrial semiconductor research

  6. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  7. Application specific Tester-On-a-Resident-Chip (TORCH{trademark}) - innovation in the area of semiconductor testing

    Energy Technology Data Exchange (ETDEWEB)

    Bowles, M. [L& M Technologies, Albuquerque, NM (United States); Peterson, T. [New Mexico Highlands Univ., Las Vegas, NM (United States); Savignon, D.; Campbell, D. [Sandia National Labs., Albuquerque, NM (United States)

    1997-12-01

    Manufacturers widely recognize testing as a major factor in the cost, producability, and delivery of product in the $100 billion integrated circuit business: {open_quotes}The rapid development of VLSI using sub-micron CMOS technology has suddenly exposed traditional test techniques as a major cost factor that could restrict the development of VLSI devices exceeding 512 pins an operating frequencies above 200 MHz.{close_quotes} -- 1994 Semiconductor Industry Association Roadmap, Design and Test, Summary, pg. 43. This problem increases dramatically for stockpile electronics, where small production quantities make it difficult to amortize the cost of increasingly expensive testers. Application of multiple ICs in Multi-Chip Modules (MCM) greatly multiplies testing problems for commercial and defense users alike. By traditional test methods, each new design requires custom test hardware and software and often dedicated testing equipment costing millions of dollars. Also, physical properties of traditional test systems often dedicated testing equipment costing millions of dollars. Also, physical properties of traditional test systems limit capabilities in testing at-speed (>200 MHz), high-impedance, and high-accuracy analog signals. This project proposed a revolutionary approach to these problems: replace the multi-million dollar external test system with an inexpensive test system integrated onto the product wafer. Such a methodology enables testing functions otherwise unachievable by conventional means, particularly in the areas of high-frequency, at-speed testing, high impedance analog circuits, and known good die assessment. The techniques apply specifically to low volume applications, typical of Defense Programs, where testing costs represent an unusually high proportional of product costs, not easily amortized.

  8. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  9. Semiconductor detectors in current energy dispersive X-ray spectral analysis

    Energy Technology Data Exchange (ETDEWEB)

    Betin, J; Zhabin, E; Krampit, I; Smirnov, V

    1980-04-01

    A review is presented of the properties of semiconductor detectors and of the possibilities stemming therefrom of using the detectors in X-ray spectral analysis in industries, in logging, in ecology and environmental control, in medicine, etc.

  10. Performance specifications and six sigma theory: Clinical chemistry and industry compared.

    Science.gov (United States)

    Oosterhuis, W P; Severens, M J M J

    2018-04-11

    Analytical performance specifications are crucial in test development and quality control. Although consensus has been reached on the use of biological variation to derive these specifications, no consensus has been reached which model should be preferred. The Six Sigma concept is widely applied in industry for quality specifications of products and can well be compared with Six Sigma models in clinical chemistry. However, the models for measurement specifications differ considerably between both fields: where the sigma metric is used in clinical chemistry, in industry the Number of Distinct Categories is used instead. In this study the models in both fields are compared and discussed. Copyright © 2018. Published by Elsevier Inc.

  11. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  12. Technical change in US industry: A cross-industry analysis

    Science.gov (United States)

    Nelson, R. R. (Editor)

    1981-01-01

    The nature of the public policies which have influenced the pace and pattern of technical progress in a number of American industries is studied with the view of assessing the broad effects of these policies. The industries studied are agriculture, pharmaceuticals, semiconductors, computers, civil aircraft, automobiles and residential construction. The policies considered include research and development funding as well as government procurement, education, information dissemination, patent protection, licensing, regulations, and anti-trust policies.

  13. Organic semiconductors in sensor applications

    CERN Document Server

    Malliaras, George; Owens, Róisín

    2008-01-01

    Organic semiconductors offer unique characteristics such as tunability of electronic properties via chemical synthesis, compatibility with mechanically flexible substrates, low-cost manufacturing, and facile integration with chemical and biological functionalities. These characteristics have prompted the application of organic semiconductors and their devices in physical, chemical, and biological sensors. This book covers this rapidly emerging field by discussing both optical and electrical sensor concepts. Novel transducers based on organic light-emitting diodes and organic thin-film transistors, as well as systems-on-a-chip architectures are presented. Functionalization techniques to enhance specificity are outlined, and models for the sensor response are described.

  14. Semiconductor detectors in current energy dispersive X-ray spectral analysis

    International Nuclear Information System (INIS)

    Betin, J.; Zhabin, E.; Krampit, I.; Smirnov, V.

    1980-01-01

    A review is presented of the properties of semiconductor detectors and of the possibilities stemming therefrom of using the detectors in X-ray spectral analysis in industries, in logging, in ecology and environmental control, in medicine, etc. (M.S.)

  15. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  16. Second International Conference on Neutron Transmutation Doping in Semiconductors

    CERN Document Server

    Neutron Transmutation Doping in Semiconductors

    1979-01-01

    This volume contains the invited and contributed papers presented at the Second International Conference on Neutron Transmutation Doping in Semiconductors held April 23-26, 1978 at the University of Missouri-Columbia. The first "testing of the waters" symposium on this subject was organized by John Cleland and Dick Wood of the Solid-State Division of Oak Ridge National Laboratory in April of 1976, just one year after NTD-silicon appeared on the marketplace. Since this first meeting, NTD-silicon has become established as the starting material for the power device industry and reactor irradiations are now measured in tens of tons of material per annum making NTD processing the largest radiation effects technology in the semiconductor industry. Since the first conference at Oak Ridge, new applications and irradiation techniques have developed. Interest in a second con­ ference and in publishing the proceedings has been extremely high. The second conference at the University of Missouri was attended by 114 perso...

  17. Industry-specificities and Size of Corporations: Determinants of Ownership Structures

    NARCIS (Netherlands)

    van der Elst, C.

    This paper analyses ownership concentration in six European countries and empirically studies the rent-seeking theory. This theory states that ownership concentration not only depends on the level of investor protection but also on company-specific and industry-specific parameters. This study

  18. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  19. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  20. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  1. Development of industrial variant specification systems

    DEFF Research Database (Denmark)

    Hansen, Benjamin Loer

    be developed from a holistic and strategically anchored point of view. Another assumption is that this is a challenge for many industrial companies. Even though the literature presents many considerations on general issues covering new information technology, little work is found on the business perspectives...... are discussed. A list of structural variables and solution components has been created. These are related to four design aspects in the holistic system design covering the aspects of process design, selection of resources (such as hardware, software and humans), the design of information structures...... solution elements and structural variables to be used in the design of variant specification systems. The thesis presents a “top-down” procedure to be used to develop variant specification systems from a strategically anchored and holistic point of view. A methodology and related task variables...

  2. Spectroscopic analysis of optoelectronic semiconductors

    CERN Document Server

    Jimenez, Juan

    2016-01-01

    This book deals with standard spectroscopic techniques which can be used to analyze semiconductor samples or devices, in both, bulk, micrometer and submicrometer scale. The book aims helping experimental physicists and engineers to choose the right analytical spectroscopic technique in order to get specific information about their specific demands. For this purpose, the techniques including technical details such as apparatus and probed sample region are described. More important, also the expected outcome from experiments is provided. This involves also the link to theory, that is not subject of this book, and the link to current experimental results in the literature which are presented in a review-like style. Many special spectroscopic techniques are introduced and their relationship to the standard techniques is revealed. Thus the book works also as a type of guide or reference book for people researching in optical spectroscopy of semiconductors.

  3. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  4. Technological competence and competitiveness of Korea industry

    International Nuclear Information System (INIS)

    Lee, Geun

    1997-06-01

    This book introduces technology and competitiveness and industrial policy of economics, technological competence and technological innovation system of Korea, a newly industrialized country, development of technological innovation and competence of semiconductor industry, development of technological innovation and competence of synthetic fiber industry, development of technological innovation and competence of machine tool industry, development of technological competence of automobile industry, improvement and delay of technological competence of computer industry, and development of technological innovation and competitiveness of appliance industry.

  5. Testing methodologies and systems for semiconductor optical amplifiers

    Science.gov (United States)

    Wieckowski, Michael

    Semiconductor optical amplifiers (SOA's) are gaining increased prominence in both optical communication systems and high-speed optical processing systems, due primarily to their unique nonlinear characteristics. This in turn, has raised questions regarding their lifetime performance reliability and has generated a demand for effective testing techniques. This is especially critical for industries utilizing SOA's as components for system-in-package products. It is important to note that very little research to date has been conducted in this area, even though production volume and market demand has continued to increase. In this thesis, the reliability of dilute-mode InP semiconductor optical amplifiers is studied experimentally and theoretically. The aging characteristics of the production level devices are demonstrated and the necessary techniques to accurately characterize them are presented. In addition, this work proposes a new methodology for characterizing the optical performance of these devices using measurements in the electrical domain. It is shown that optical performance degradation, specifically with respect to gain, can be directly qualified through measurements of electrical subthreshold differential resistance. This metric exhibits a linear proportionality to the defect concentration in the active region, and as such, can be used for prescreening devices before employing traditional optical testing methods. A complete theoretical analysis is developed in this work to explain this relationship based upon the device's current-voltage curve and its associated leakage and recombination currents. These results are then extended to realize new techniques for testing semiconductor optical amplifiers and other similarly structured devices. These techniques can be employed after fabrication and during packaged operation through the use of a proposed stand-alone testing system, or using a proposed integrated CMOS self-testing circuit. Both methods are capable

  6. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  7. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  8. Dynamism in a Semiconductor Industrial Machine Allocation Problem using a Hybrid of the Bio-inspired and Musical-Harmony Approach

    Science.gov (United States)

    Kalsom Yusof, Umi; Nor Akmal Khalid, Mohd

    2015-05-01

    Semiconductor industries need to constantly adjust to the rapid pace of change in the market. Most manufactured products usually have a very short life cycle. These scenarios imply the need to improve the efficiency of capacity planning, an important aspect of the machine allocation plan known for its complexity. Various studies have been performed to balance productivity and flexibility in the flexible manufacturing system (FMS). Many approaches have been developed by the researchers to determine the suitable balance between exploration (global improvement) and exploitation (local improvement). However, not much work has been focused on the domain of machine allocation problem that considers the effects of machine breakdowns. This paper develops a model to minimize the effect of machine breakdowns, thus increasing the productivity. The objectives are to minimize system unbalance and makespan as well as increase throughput while satisfying the technological constraints such as machine time availability. To examine the effectiveness of the proposed model, results for throughput, system unbalance and makespan on real industrial datasets were performed with applications of intelligence techniques, that is, a hybrid of genetic algorithm and harmony search. The result aims to obtain a feasible solution to the domain problem.

  9. Theory of semiconductor junction devices a textbook for electrical and electronic engineers

    CERN Document Server

    Leck, J H

    1967-01-01

    Theory of Semiconductor Junction Devices: A Textbook for Electrical and Electronic Engineers presents the simplified numerical computation of the fundamental electrical equations, specifically Poisson's and the Hall effect equations. This book provides the fundamental theory relevant for the understanding of semiconductor device theory. Comprised of 10 chapters, this book starts with an overview of the application of band theory to the special case of semiconductors, both intrinsic and extrinsic. This text then describes the electrical properties of conductivity, semiconductors, and Hall effe

  10. Experimental Methods for Implementing Graphene Contacts to Finite Bandgap Semiconductors

    DEFF Research Database (Denmark)

    Meyer-Holdt, Jakob

    Present Ph.D. thesis describes my work on implanting graphene as electrical contact to finite bandgap semiconductors. Different transistor architectures, types of graphene and finite bandgap semiconductors have been employed. The device planned from the beginning of my Ph.D. fellowship...... contacts to semiconductor nanowires, more specifically, epitaxially grown InAs nanowires. First, we tried a top down method where CVD graphene was deposited on substrate supported InAs nanowires followed by selective graphene ashing to define graphene electrodes. While electrical contact between...

  11. High precision stress measurements in semiconductor structures by Raman microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Uhlig, Benjamin

    2009-07-01

    Stress in silicon structures plays an essential role in modern semiconductor technology. This stress has to be measured and due to the ongoing miniaturization in today's semiconductor industry, the measuring method has to meet certain requirements. The present thesis deals with the question how Raman spectroscopy can be used to measure the state of stress in semiconductor structures. In the first chapter the relation between Raman peakshift and stress in the material is explained. It is shown that detailed stress maps with a spatial resolution close to the diffraction limit can be obtained in structured semiconductor samples. Furthermore a novel procedure, the so called Stokes-AntiStokes-Difference method is introduced. With this method, topography, tool or drift effects can be distinguished from stress related influences in the sample. In the next chapter Tip-enhanced Raman Scattering (TERS) and its application for an improvement in lateral resolution is discussed. For this, a study is presented, which shows the influence of metal particles on the intensity and localization of the Raman signal. A method to attach metal particles to scannable tips is successfully applied. First TERS scans are shown and their impact on and challenges for high resolution stress measurements on semiconductor structures is explained. (orig.)

  12. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process.

    Science.gov (United States)

    Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae

    2015-07-01

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.

  13. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  14. Developing New Nanoprobes from Semiconductor Nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua [Univ. of California, Berkeley, CA (United States)

    2006-01-01

    In recent years, semiconductor nanocrystal quantum dots havegarnered the spotlight as an important new class of biological labelingtool. Withoptical properties superior to conventional organicfluorophores from many aspects, such as high photostability andmultiplexing capability, quantum dots have been applied in a variety ofadvanced imaging applications. This dissertation research goes along withlarge amount of research efforts in this field, while focusing on thedesign and development of new nanoprobes from semiconductor nanocrystalsthat are aimed for useful imaging or sensing applications not possiblewith quantum dots alone. Specifically speaking, two strategies have beenapplied. In one, we have taken advantage of the increasing capability ofmanipulating the shape of semiconductor nanocrystals by developingsemiconductor quantum rods as fluorescent biological labels. In theother, we have assembled quantum dots and gold nanocrystals into discretenanostructures using DNA. The background information and synthesis,surface manipulation, property characterization and applications of thesenew nanoprobes in a few biological experiments are detailed in thedissertation.

  15. Hybrid organic semiconductor lasers for bio-molecular sensing.

    Science.gov (United States)

    Haughey, Anne-Marie; Foucher, Caroline; Guilhabert, Benoit; Kanibolotsky, Alexander L; Skabara, Peter J; Burley, Glenn; Dawson, Martin D; Laurand, Nicolas

    2014-01-01

    Bio-functionalised luminescent organic semiconductors are attractive for biophotonics because they can act as efficient laser materials while simultaneously interacting with molecules. In this paper, we present and discuss a laser biosensor platform that utilises a gain layer made of such an organic semiconductor material. The simple structure of the sensor and its operation principle are described. Nanolayer detection is shown experimentally and analysed theoretically in order to assess the potential and the limits of the biosensor. The advantage conferred by the organic semiconductor is explained, and comparisons to laser sensors using alternative dye-doped materials are made. Specific biomolecular sensing is demonstrated, and routes to functionalisation with nucleic acid probes, and future developments opened up by this achievement, are highlighted. Finally, attractive formats for sensing applications are mentioned, as well as colloidal quantum dots, which in the future could be used in conjunction with organic semiconductors.

  16. Semiconductor Research Corporation: A Case Study in Cooperative Innovation Partnerships

    Science.gov (United States)

    Logar, Nathaniel; Anadon, Laura Diaz; Narayanamurti, Venkatesh

    2014-01-01

    In the study of innovation institutions, it is important to consider how different institutional models can affect a research organization in conducting or funding successful work. As an industry collaborative, Semiconductor Research Corporation (SRC) provides an example of a privately funded institution that leverages the inputs of several member…

  17. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  18. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  19. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  20. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  1. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  2. Monte-Carlo simulation of crystallographical pore growth in III-V-semiconductors

    International Nuclear Information System (INIS)

    Leisner, Malte; Carstensen, Juergen; Foell, Helmut

    2011-01-01

    The growth of crystallographical pores in III-V-semiconductors can be understood in the framework of a simple model, which is based on the assumption that the branching of pores is proportional to the current density at the pore tips. The stochastic nature of this model allows its implementation into a three-dimensional Monte-Carlo-simulation of pore growth. The simulation is able to reproduce the experimentally observed crysto pore structures in III-V-semiconductors in full quantitative detail. The different branching probabilities for different semiconductors, as well as doping levels, can be deduced from the specific passivation behavior of the semiconductor-electrolyte-interface at the pore tips.

  3. Extraordinary Magnetoresistance in Semiconductor/Metal Hybrids: A Review

    Science.gov (United States)

    Sun, Jian; Kosel, Jürgen

    2013-01-01

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device’s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed. PMID:28809321

  4. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  5. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  6. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  7. Hydrogen-bond Specific Materials Modification in Group IV Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Tolk, Norman H. [Vanderbilt Univ., Nashville, TN (United States); Feldman, L. C. [Vanderbilt Univ., Nashville, TN (United States); Luepke, G. [College of William and Mary, Williamsburg, VA (United States)

    2015-09-14

    Executive summary Semiconductor dielectric crystals consist of two fundamental components: lattice atoms and electrons. The former component provides a crystalline structure that can be disrupted by various defects or the presence of an interface, or by transient oscillations known as phonons. The latter component produces an energetic structure that is responsible for the optical and electronic properties of the material, and can be perturbed by lattice defects or by photo-excitation. Over the period of this project, August 15, 1999 to March 31, 2015, a persistent theme has been the elucidation of the fundamental role of defects arising from the presence of radiation damage, impurities (in particular, hydrogen), localized strain or some combination of all three. As our research effort developed and evolved, we have experienced a few title changes, which reflected this evolution. Throughout the project, ultrafast lasers usually in a pump-probe configuration provided the ideal means to perturb and study semiconductor crystals by both forms of excitation, vibrational (phonon) and electronic (photon). Moreover, we have found in the course of this research that there are many interesting and relevant scientific questions that may be explored when phonon and photon excitations are controlled separately. Our early goals were to explore the dynamics of bond-selective vibrational excitation of hydrogen from point defects and impurities in crystalline and amorphous solids, initiating an investigation into the behavior of hydrogen isotopes utilizing a variety of ultrafast characterization techniques, principally transient bleaching spectroscopy to experimentally obtain vibrational lifetimes. The initiative could be divided into three related areas: (a) investigation of the change in electronic structure of solids due to the presence of hydrogen defect centers, (b) dynamical studies of hydrogen in materials and (c) characterization and stability of metastable hydrogen

  8. Applications of Nuclear Analytical Methods for High Tech Industry

    International Nuclear Information System (INIS)

    Hossain, T.

    2013-01-01

    Silicon based semiconductor chip manufacturing is a worldwide high technology industry with numerous measurement issues. One of the major concerns in the semiconductor manufacturing is contamination such as the trace metal impurities. This concern is vividly illustrated by the fact that the manufacturing in this industry is done in ultra clean environment where the entire manufacturing facility or “Fab” is a clean room facility or each and every manufacturing tool is enclosed in a mini-environment Although semiconductor devices are fabricated on the surface of the Si wafers contamination in the bulk material is a major concern. Nuclear methods of analysis are uniquely suited for the contamination analysis in such a matrix. Many opportunities in the semiconductor manufacturing field exist for the nuclear methods to provide support services. Contamination analysis by NAA, depth profiles by NDP and prompt gamma analysis of H in thin films are a few examples. These needs are on-going and require commitment from the lab so that a manufacturing operation can rely on the delivery of these services when required

  9. Synchrotron radiation studies of inorganic-organic semiconductor interfaces

    International Nuclear Information System (INIS)

    Evans, D.A.; Steiner, H.J.; Vearey-Roberts, A.R.; Bushell, A.; Cabailh, G.; O'Brien, S.; Wells, J.W.; McGovern, I.T.; Dhanak, V.R.; Kampen, T.U.; Zahn, D.R.T.; Batchelor, D.

    2003-01-01

    Organic semiconductors (polymers and small molecules) are widely used in electronic and optoelectronic technologies. Many devices are based on multilayer structures where interfaces play a central role in device performance and where inorganic semiconductor models are inadequate. Synchrotron radiation techniques such as photoelectron spectroscopy (PES), near-edge X-ray absorption fine structure (NEXAFS) and X-ray standing wave spectroscopy (XSW) provide a powerful means of probing the structural, electronic and chemical properties of these interfaces. The surface-specificity of these techniques allows key properties to be monitored as the heterostructure is fabricated. This methodology has been directed at the growth of hybrid organic-inorganic semiconductor interfaces involving copper phthalocyanine as the model organic material and InSb and GaAs as the model inorganic semiconductor substrates. Core level PES has revealed that these interfaces are abrupt and chemically inert due to the weak bonding between the molecules and the inorganic semiconductor. NEXAFS studies have shown that there is a preferred orientation of the molecules within the organic semiconductor layers. The valence band offsets for the heterojunctions have been directly measured using valence level PES and were found to be very different for copper phthalocyanine on InSb and GaAs (0.7 and -0.3 eV respectively) although an interface dipole is present in both cases

  10. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  11. Technique of calculating specific capital investments in the fuel extracting sectors of industry

    Energy Technology Data Exchange (ETDEWEB)

    Bugrov, V.A.; Filey, I.A.

    1980-01-01

    An analysis is made of the existing methods of calculating specific capital investments in the fuel extracting sectors of industry. Their shortcomings are shown. It is suggested that specific capital investments for extraction of coal and gas be defined as the ratio of capital investments to the conditional increase in extraction. Coal extraction should take int consideration all the capital investments associated with the input of new facilities, and the maintenance of the attained level of extraction and reconstruction of the enterprise, as well as all the newly introduced facilities both at the new and at the active enterprises associated with an increase in coal extraction and with maintenance of the facilities. The suggested technique completely corresponds to the ''Standard Technique for Developing a Technical-Industrial-Financial Plan,'' which stipulates determination of specific capital investments per unit of introduced facilities with only the difference that it takes into consideration the specific features of the fuel extracting sectors of industry.

  12. Light-matter Interactions in Semiconductors and Metals: From Nitride Optoelectronics to Quantum Plasmonics

    Science.gov (United States)

    Narang, Prineha

    This thesis puts forth a theory-directed approach coupled with spectroscopy aimed at the discovery and understanding of light-matter interactions in semiconductors and metals. The first part of the thesis presents the discovery and development of Zn-IV nitride materials. The commercial prominence in the optoelectronics industry of tunable semiconductor alloy materials based on nitride semiconductor devices, specifically InGaN, motivates the search for earth-abundant alternatives for use in efficient, high-quality optoelectronic devices. II-IV-N2 compounds, which are closely related to the wurtzite-structured III-N semiconductors, have similar electronic and optical properties to InGaN namely direct band gaps, high quantum efficiencies and large optical absorption coefficients. The choice of different group II and group IV elements provides chemical diversity that can be exploited to tune the structural and electronic properties through the series of alloys. The first theoretical and experimental investigation of the ZnSnxGe1--xN2 series as a replacement for III-nitrides is discussed here. The second half of the thesis shows ab-initio calculations for surface plasmons and plasmonic hot carrier dynamics. Surface plasmons, electromagnetic modes confined to the surface of a conductor-dielectric interface, have sparked renewed interest because of their quantum nature and their broad range of applications. The decay of surface plasmons is usually a detriment in the field of plasmonics, but the possibility to capture the energy normally lost to heat would open new opportunities in photon sensors, energy conversion devices and switching. A theoretical understanding of plasmon-driven hot carrier generation and relaxation dynamics in the ultrafast regime is presented here. Additionally calculations for plasmon-mediated upconversion as well as an energy-dependent transport model for these non-equilibrium carriers are shown. Finally, this thesis gives an outlook on the

  13. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  14. API Specification Q1: The quality system specification for the oil and gas industry

    International Nuclear Information System (INIS)

    Peurifoy, C.K.

    1994-01-01

    The Oil and Gas Production Industry began using the American Petroleum Institute's Specification Q1, ''Specification for Quality Programs'' (1st Edition, January 1, 1985) in late 1984. The generic ISO 9000 Series Standards, ''Quality management and quality assurance standards,'' were developed at about the same time and were published for public use in 1987. By late 1989 and into the early nineties, the formation of the European Economic Community and the issuance of the EC Procurement Directives sparked a rush by companies worldwide to comply with all the requirements necessary to do business in Europe. The ensuing ''ISO Mania'' has created a windfall for any company providing ISO 9000 quality system certification, consulting, training and almost anything to do with ISO 9000. It is difficult to miss one of the hundreds of newspaper and trade magazine articles promoting the ISO 9000 Quality Standards for use in almost every industry. This paper discusses the latest developments of both the lesser known API Spec Q1 and the much publicized ISO 9001 as well as discusses some of the similarities and differences between them and possible future trends. It also reviews some of the strengths and weaknesses of both documents to support the sentiment that API Spec Q1, in conjunction with the API Monogram Program, is the best quality standard for use in ordering equipment, materials and services for the Oil and Gas Industry

  15. Peptides for functionalization of InP semiconductors.

    Science.gov (United States)

    Estephan, Elias; Saab, Marie-belle; Larroque, Christian; Martin, Marta; Olsson, Fredrik; Lourdudoss, Sebastian; Gergely, Csilla

    2009-09-15

    The challenge is to achieve high specificity in molecular sensing by proper functionalization of micro/nano-structured semiconductors by peptides that reveal specific recognition for these structures. Here we report on surface modification of the InP semiconductors by adhesion peptides produced by the phage display technique. An M13 bacteriophage library has been used to screen 10(10) different peptides against the InP(001) and the InP(111) surfaces to finally isolate specific peptides for each orientation of the InP. MALDI-TOF/TOF mass spectrometry has been employed to study real affinity of the peptide towards the InP surfaces. The peptides serve for controlled placement of biotin onto InP to bind then streptavidin. Our Atomic Force Microscopy study revealed a total surface coverage of molecules when the InP surface was functionalized by its specific biotinylated peptide (YAIKGPSHFRPS). Finally, fluorescence microscopy has been employed to demonstrate the preferential attachment of the peptide onto a micro-patterned InP surface. Use of substrate specific peptides could present an alternative solution for the problems encountered in the actually existing sensing methods and molecular self-assembly due to the unwanted unspecific interactions.

  16. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  17. Integration of a nonmetallic electrostatic precipitator and a wet scrubber for improved removal of particles and corrosive gas cleaning in semiconductor manufacturing industries.

    Science.gov (United States)

    Kim, Hak-Joon; Han, Bangwoo; Kim, Yong-Jin; Yoa, Seok-Jun; Oda, Tetsuji

    2012-08-01

    To remove particles in corrosive gases generated by semiconductor industries, we have developed a novel non-metallic, two-stage electrostatic precipitator (ESP). Carbon brush electrodes and grounded carbon fiber-reinforced polymer (CFRP) form the ionization stage, and polyvinyl chloride collection plates are used in the collection stage of the ESP The collection performance of the ESP downstream of a wet scrubber was evaluated with KC1, silica, and mist particles (0.01-10 pm), changing design and operation parameters such as the ESP length, voltage, and flow rate. A long-term and regeneration performance (12-hr) test was conducted at the maximum operation conditions of the scrubber and ESP and the performance was then demonstrated for 1 month with exhaust gases from wet scrubbers at the rooftop of a semiconductor manufacturing plant in Korea. The results showed that the electrical and collection performance of the ESP (16 channels, 400x400 mm2) was maintained with different grounded plate materials (stainless steel and CFRP) and different lengths of the ionization stage. The collection efficiency of the ESP at high air velocity was enhanced with increases in applied voltages and collection plate lengths. The ESP (16 channels with 100 mm length, 400x400 mm2x540 mm with a 10-mm gap) removed more than 90% of silica and mistparticles with 10 and 12 kV applied to the ESPat the air velocity of 2 m/s and liquid-to-gas ratio of 3.6 L/m3. Decreased performance after 13 hours ofcontinuous operation was recovered to the initial performance level by 5 min of water washing. Moreover during the 1-month operation at the demonstration site, the ESP showed average collection efficiencies of 97% based on particle number and 92% based on total particle mass, which were achieved with a much smaller specific corona power of 0.28 W/m3/hr compared with conventional ESPs.

  18. Transverse Seebeck and Peltier effect in tilted metal-semiconductor multilayer structures

    International Nuclear Information System (INIS)

    Reitmaier, Christina

    2012-01-01

    Whether in aerospace, automobile industry or in home appliances, thermoelectric effects find use in many areas of technology. This work deals with the investigation of a special form of these effects, the transversal Seebeck- and Peltier effect. Via modelling under variation of the sample parameters the cooling efficiencies, the attainable temperature differences and the Figures of merit are optimised and than suitable samples are produced according to these specifications. With these tilted metal semiconductor multilayer structures consisting of lead and bismuth telluride a transversal Peltier effect is observed. Moreover, the generation of electric power is examined via the transversal Seebeck effect. In tilted Pb-Bi2Te3 multilayers the efficiency is measured with the conversion by heat in electric power and is compared to model calculations. (orig.)

  19. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  20. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  1. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  2. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  3. Synthesis and characterization of a new organic semiconductor material

    Energy Technology Data Exchange (ETDEWEB)

    Tiffour, Imane [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); Dehbi, Abdelkader [Laboratoire de Génie Physique, Département de Physique, Université de Tiaret, Tiaret 14000 (Algeria); Mourad, Abdel-Hamid I., E-mail: ahmourad@uaeu.ac.ae [Mechanical Engineering Department, Faculty of Engineering, United Arab Emirates University, Al-Ain, P.O. Box 15551 (United Arab Emirates); Belfedal, Abdelkader [Faculté des Sciences et Technologies, Université Mustapha Stambouli, Mascara 29000 (Algeria); LPCMME, Département de Physique, Université d' Oran Es-sénia, 3100 Oran (Algeria)

    2016-08-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε{sub r}, the activation energy E{sub a}, the optical transmittance T and the gap energy E{sub g} have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10{sup −5} S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10{sup −4} S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ{sub max}) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  4. Synthesis and characterization of a new organic semiconductor material

    International Nuclear Information System (INIS)

    Tiffour, Imane; Dehbi, Abdelkader; Mourad, Abdel-Hamid I.; Belfedal, Abdelkader

    2016-01-01

    The objective of this study is to create an ideal mixture of Acetaminophen/Curcumin leading to a new and improved semiconductor material, by a study of the electrical, thermal and optical properties. This new material will be compared with existing semiconductor technology to discuss its viability within the industry. The electrical properties were investigated using complex impedance spectroscopy and optical properties were studied by means of UV-Vis spectrophotometry. The electric conductivity σ, the dielectric constant ε_r, the activation energy E_a, the optical transmittance T and the gap energy E_g have been investigated in order to characterize our organic material. The electrical conductivity of the material is approximately 10"−"5 S/m at room temperature, increasing the temperature causes σ to increase exponentially to approximately 10"−"4 S/m. The activation energy obtained for the material is equal to 0.49 ± 0.02 ev. The optical absorption spectra show that the investigating material has absorbance in the visible range with a maximum wavelength (λ_m_a_x) 424 nm. From analysis, the absorption spectra it was found the optical band gap equal to 2.6 ± 0.02 eV and 2.46 ± 0.02 eV for the direct and indirect transition, respectively. In general, the study shows that the developed material has characteristics of organic semiconductor material that has a promising future in the field of organic electronics and their potential applications, e.g., photovoltaic cells. - Highlights: • Development of a new organic acetaminophen/Curcumin semiconductor material. • The developed material has characteristics of an organic semiconductor. • It has electrical conductivity comparable to available organic semiconductors. • It has high optical transmittance and low permittivity/dielectric constant.

  5. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying; Tee, Benjamin C-K.; Giri, Gaurav; Xu, Jie; Kim, Do Hwan; Becerril, Hector A.; Stoltenberg, Randall M.; Lee, Tae Hoon; Xue, Gi; Mannsfeld, Stefan C. B.; Bao, Zhenan

    2013-01-01

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control

  6. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  7. Radiation hardness and qualification of semiconductor electronic devices for nuclear reactors

    International Nuclear Information System (INIS)

    Friant, A.; Payat, R.

    1984-05-01

    After a brief review of radiation effects in semiconductors and radiation damage in semiconductor devices, the problems of qualification of electronic equipment to be used in nuclear reactors are compared to those relative to nuclear weapons or space experiments. The conclusion is that data obtained at very high dose rates or under pulsed irradiation in weapons and space programs should not be directly applied to nuclear plant instrumentation. The need for a specific qualification of semiconductor devices appropriate for nuclear reactors is emphasized. Some irradiation studies at IRDI/DEIN (CEN-Saclay) are related [fr

  8. Responsible Practices are Culturally Embedded: Theoretical Considerations on Industry-Specific Corporate Social Responsibility

    OpenAIRE

    Beschorner, Thomas; Hajduk, Thomas

    2017-01-01

    In this paper, we develop our argument in three steps: Firstly, we elaborate on some theoretical perspectives for industry-specific CSR by referring to cultural business ethics, a theoretical approach which is located between purely business perspectives and purely normative perspectives on CSR. Secondly, we briefly introduce the papers of this special issue, which covers a wide range of theoretical approaches and empirical studies in the field of industry-specific CSR. Thirdly, we draw atten...

  9. English for Specific Purposes: A Case Study in an Industrial Setting.

    Science.gov (United States)

    Alexander, Clare

    A course outline and sample materials for a course in English for garment workshop employees in New York are presented, and theoretical considerations in establishing an English for specific purposes (ESP) course are explored. Attention is directed to the needs analysis process undertaken in the garment industry. Specifically, ESP is used to mean…

  10. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  11. Extraordinary magnetoresistance in semiconductor/metal hybrids: A review

    KAUST Repository

    Sun, J.

    2013-02-13

    The Extraordinary Magnetoresistance (EMR) effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device\\'s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed. 2013 by the authors.

  12. Extraordinary Magnetoresistance in Semiconductor/Metal Hybrids: A Review

    Directory of Open Access Journals (Sweden)

    Jürgen Kosel

    2013-02-01

    Full Text Available The Extraordinary Magnetoresistance (EMR effect is a change in the resistance of a device upon the application of a magnetic field in hybrid structures, consisting of a semiconductor and a metal. The underlying principle of this phenomenon is a change of the current path in the hybrid structure upon application of a magnetic field, due to the Lorentz force. Specifically, the ratio of current, flowing through the highly conducting metal and the poorly conducting semiconductor, changes. The main factors for the device’s performance are: the device geometry, the conductivity of the metal and semiconductor, and the mobility of carriers in the semiconductor. Since the discovery of the EMR effect, much effort has been devoted to utilize its promising potential. In this review, a comprehensive overview of the research on the EMR effect and EMR devices is provided. Different geometries of EMR devices are compared with respect to MR ratio and output sensitivity, and the criteria of material selection for high-performance devices are discussed.

  13. Introductory quantum mechanics for semiconductor nanotechnology

    International Nuclear Information System (INIS)

    Kim, Dae Mann

    2010-01-01

    The result of the nano education project run by the Korean Nano Technology Initiative, this has been recommended for use as official textbook by the Korean Nanotechnology Research Society. The author is highly experienced in teaching both physics and engineering in academia and industry, and naturally adopts an interdisciplinary approach here. He is short on formulations but long on applications, allowing students to understand the essential workings of quantum mechanics without spending too much time covering the wide realms of physics. He takes care to provide sufficient technical background and motivation for students to pursue further studies of advanced quantum mechanics and stresses the importance of translating quantum insights into useful and tangible innovations and inventions. As such, this is the only work to cover semiconductor nanotechnology from the perspective of introductory quantum mechanics, with applications including mainstream semiconductor technologies as well as (nano)devices, ranging from photodetectors, laser diodes, and solar cells to transistors and Schottky contacts. Problems are also provided to test the reader's understanding and supplementary material available includes working presentation files, solutions and instructors manuals. (orig.)

  14. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  15. Synthesis of a nano-silver metal ink for use in thick conductive film fabrication applied on a semiconductor package.

    Directory of Open Access Journals (Sweden)

    Lai Chin Yung

    Full Text Available The success of printing technology in the electronics industry primarily depends on the availability of metal printing ink. Various types of commercially available metal ink are widely used in different industries such as the solar cell, radio frequency identification (RFID and light emitting diode (LED industries, with limited usage in semiconductor packaging. The use of printed ink in semiconductor IC packaging is limited by several factors such as poor electrical performance and mechanical strength. Poor adhesion of the printed metal track to the epoxy molding compound is another critical factor that has caused a decline in interest in the application of printing technology to the semiconductor industry. In this study, two different groups of adhesion promoters, based on metal and polymer groups, were used to promote adhesion between the printed ink and the epoxy molding substrate. The experimental data show that silver ink with a metal oxide adhesion promoter adheres better than silver ink with a polymer adhesion promoter. This result can be explained by the hydroxyl bonding between the metal oxide promoter and the silane grouping agent on the epoxy substrate, which contributes a greater adhesion strength compared to the polymer adhesion promoter. Hypotheses of the physical and chemical functions of both adhesion promoters are described in detail.

  16. Mechanochemical synthesis of nanocrystalline lead selenide. Industrial approach

    Energy Technology Data Exchange (ETDEWEB)

    Achimovicova, Marcela; Balaz, Peter [Slovak Academy of Sciences, Kosice (Slovakia). Inst. of Geotechnics; Durisin, Juraj [Slovak Academy of Sciences, Kosice (Slovakia). Inst. of Materials Research; Daneu, Nina [Josef Stefan Institute, Ljubljana (Slovenia). Dept. for Nanostructured Materials; Kovac, Juraj; Satka, Alexander [Slovak Univ. of Technology and International Laser Centre, Bratislava (Slovakia). Dept. of Microelectronics; Feldhoff, Armin [Leibniz Univ. Hannover (Germany). Inst. fuer Physikalische Chemie und Elektrochemie; Gock, Eberhard [Technical Univ. Clausthal, Clausthal-Zellerfeld (Germany). Inst. of Mineral and Waste Processing and Dumping Technology

    2011-04-15

    Mechanochemical synthesis of lead selenide PbSe nanoparticles was performed by high-energy milling of lead and selenium powder in a laboratory planetary ball mill and in an industrial eccentric vibratory mill. Structural properties of the synthesized lead selenide were characterized using X-ray diffraction that confirmed crystalline nature of PbSe nanoparticles. The average size of PbSe crystallites of 37 nm was calculated from X-ray diffraction data using the Williamson-Hall method. The methods of particle size distribution analysis, specific surface area measurement, scanning electron microscopy and transmission electron microscopy were used for characterization of surface, mean particle size, and morphology of PbSe. An application of industrial mill verified a possibility of the synthesis of a narrow bandgap semiconductor PbSe at ambient temperature and in a relatively short reaction time. (orig.)

  17. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  18. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  19. Tuning polarity and improving charge transport in organic semiconductors

    Science.gov (United States)

    Oh, Joon Hak; Han, A.-Reum; Yu, Hojeong; Lee, Eun Kwang; Jang, Moon Jeong

    2013-09-01

    Although state-of-the-art ambipolar polymer semiconductors have been extensively reported in recent years, highperformance ambipolar polymers with tunable dominant polarity are still required to realize on-demand, target-specific, high-performance organic circuitry. Herein, dithienyl-diketopyrrolopyrrole (TDPP)-based polymer semiconductors with engineered side-chains have been synthesized, characterized and employed in ambipolar organic field-effect transistors, in order to achieve controllable and improved electrical properties. Thermally removable tert-butoxycarbonyl (t-BOC) groups and hybrid siloxane-solubilizing groups are introduced as the solubilizing groups, and they are found to enable the tunable dominant polarity and the enhanced ambipolar performance, respectively. Such outstanding performance based on our molecular design strategies makes these ambipolar polymer semiconductors highly promising for low-cost, large-area, and flexible electronics.

  20. Gaining industrial confidence for the introduction of domain-specific languages

    NARCIS (Netherlands)

    Mooij, A.J.; Hooman, J.; Albers, R.

    2013-01-01

    Domain-Specific Languages (DSLs) receive attention as the possible next abstraction step in programming. Despite the benefits of using DSLs, in the industry there is also some reluctance against their introduction in product development. We address a number of issues that are important to gain

  1. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  2. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  3. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  4. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    Science.gov (United States)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    2017-12-05

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  5. Use of radioisotopes in the electronic industry

    International Nuclear Information System (INIS)

    Walis, L.; Jaskolska, H.; Wronski, W.; Stverak, B.

    1976-01-01

    The possibilities of applying nuclear methods in the study of high purity materials and of special technologies used in the electronic industry are described. Attention is paid to neutron activation analysis, nuclear microanalysis of thin surface layers, autoradiography and to radiotracer techniques. The most efficient applications of nuclear methods are indicated, such as the purification of materials to semiconductor grade, doping of semiconductor materials by metallurgical techniques or by diffusion and implantation, investigations of the effect of material processing on the surface purity, studies of metal-semiconductor reactions, dielectric layers, etc. The advantages and disadvantages of the described methods and their sensitivities are discussed. Examples of practical work done so far are given. (author)

  6. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  7. A model to systematically employ professional judgment in the Bayesian Decision Analysis for a semiconductor industry exposure assessment.

    Science.gov (United States)

    Torres, Craig; Jones, Rachael; Boelter, Fred; Poole, James; Dell, Linda; Harper, Paul

    2014-01-01

    Bayesian Decision Analysis (BDA) uses Bayesian statistics to integrate multiple types of exposure information and classify exposures within the exposure rating categorization scheme promoted in American Industrial Hygiene Association (AIHA) publications. Prior distributions for BDA may be developed from existing monitoring data, mathematical models, or professional judgment. Professional judgments may misclassify exposures. We suggest that a structured qualitative risk assessment (QLRA) method can provide consistency and transparency in professional judgments. In this analysis, we use a structured QLRA method to define prior distributions (priors) for BDA. We applied this approach at three semiconductor facilities in South Korea, and present an evaluation of the performance of structured QLRA for determination of priors, and an evaluation of occupational exposures using BDA. Specifically, the structured QLRA was applied to chemical agents in similar exposure groups to identify provisional risk ratings. Standard priors were developed for each risk rating before review of historical monitoring data. Newly collected monitoring data were used to update priors informed by QLRA or historical monitoring data, and determine the posterior distribution. Exposure ratings were defined by the rating category with the highest probability--i.e., the most likely. We found the most likely exposure rating in the QLRA-informed priors to be consistent with historical and newly collected monitoring data, and the posterior exposure ratings developed with QLRA-informed priors to be equal to or greater than those developed with data-informed priors in 94% of comparisons. Overall, exposures at these facilities are consistent with well-controlled work environments. That is, the 95th percentile of exposure distributions are ≤50% of the occupational exposure limit (OEL) for all chemical-SEG combinations evaluated; and are ≤10% of the limit for 94% of chemical-SEG combinations evaluated.

  8. General Observation of Photocatalytic Oxygen Reduction to Hydrogen Peroxide by Organic Semiconductor Thin Films and Colloidal Crystals.

    Science.gov (United States)

    Gryszel, Maciej; Sytnyk, Mykhailo; Jakešová, Marie; Romanazzi, Giuseppe; Gabrielsson, Roger; Heiss, Wolfgang; Głowacki, Eric Daniel

    2018-04-25

    Low-cost semiconductor photocatalysts offer unique possibilities for industrial chemical transformations and energy conversion applications. We report that a range of organic semiconductors are capable of efficient photocatalytic oxygen reduction to H 2 O 2 in aqueous conditions. These semiconductors, in the form of thin films, support a 2-electron/2-proton redox cycle involving photoreduction of dissolved O 2 to H 2 O 2 , with the concurrent photooxidation of organic substrates: formate, oxalate, and phenol. Photochemical oxygen reduction is observed in a pH range from 2 to 12. In cases where valence band energy of the semiconductor is energetically high, autoxidation competes with oxidation of the donors, and thus turnover numbers are low. Materials with deeper valence band energies afford higher stability and also oxidation of H 2 O to O 2 . We found increased H 2 O 2 evolution rate for surfactant-stabilized nanoparticles versus planar thin films. These results evidence that photochemical O 2 reduction may be a widespread feature of organic semiconductors, and open potential avenues for organic semiconductors for catalytic applications.

  9. Micro-computer cards for hard industrial environment

    Energy Technology Data Exchange (ETDEWEB)

    Breton, J M

    1984-03-15

    Approximately 60% of present or future distributed systems have, or will have, operational units installed in hard environments. In these applications, which include canalization and industrial motor control, robotics and process control, systems must be easily applied in environments not made for electronic use. The development of card systems in this hard industrial environment, which is found in petrochemical industry and mines is described. National semiconductor CIM card system CMOS technology allows the real time micro computer application to be efficient and functional in hard industrial environments.

  10. Palisades technical specification conversion from custom to new industry standard

    International Nuclear Information System (INIS)

    Foley, D.J.; Young, B.

    1990-01-01

    Consumers Power Company's (CPCo) Palisades plant is in the process of converting from custom technical specifications to the new industry standard, Restructured Standard Technical Specifications (RSTS). Custom technical specifications were the precursor to standard technical specifications, being issued to plants built prior to 1972. In May 1989, each of the four light water reactor owners' groups completed topical reports presenting their version of Restructured Standard Technical Specifications to the Nuclear Regulatory Commission (NRC). After approval of the owners' groups versions of the RSTs by the NRC, individual operating reactors will begin to make the conversion to the RSTS. Each owners' group has designated a lead plant, which will be the first of that vendor type to convert. All of the lead plants currently operate under standard technical specifications. The content of the RSTS was determined by comparing the standard technical specifications with selection criteria specified in the Commissions Interim Policy Statement

  11. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  12. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  13. Report on achievement in the preceding research related to global industry technologies for the global industry technology research and development project. Research on gas systems substituting global warming gases such as PFC used in manufacturing semiconductors; 1998 nendo chikyu kankyo sangyo gijutsu ni kakawaru sendo kenkyu. Handotai seizo nado ni shiyosuru PFC nado no chikyu ondanka gas no daitai gas system no kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    The present semiconductor manufacturing process uses a great amount of PFC having large global warming coefficients and extremely long atmospheric life. A research was made particularly on reduction of its emission from etching processes. After introducing how the semiconductor industry has been working conventionally on protection of the global environment, this paper makes clear the purpose and positioning of this preceding research, as well as how it is moved forward. The paper also reports the results of analyzing and discussing the exhaust gases from etching devices using several kinds of substitute PFC gases. Survey results are reported on the possibilities of new substitute gases, plasma decomposition and treatment of exhaust gases, reaction process simulation, and in-situ analyzing and evaluating technologies. Investigations were made on the possibility of using no PFC in wiring processes which consume greater amount of PFC, as well as on wiring techniques using inter-layer insulation film with low dielectric rate, a new wiring structure forming technology, new functional elements, circuits and systems in a wide range. Proposals were given on specific research and development themes and plans that begin in fiscal 1999. (NEDO)

  14. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  15. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  16. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  17. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  18. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  19. EXPORT CONTROLS: Rapid Advances in China's Semiconductor Industry Underscore Need for Fundamental U.S. Policy Review

    National Research Council Canada - National Science Library

    2002-01-01

    The United States controls the export of certain technology, including some of the equipment and materials used to make semiconductors, to sensitive destinations such as China for national security...

  20. Fiscal 1999 research report on long-term energy technology strategy. Basic research on industrial technology strategy (Individual technology strategy). Machine industry technology field (Semiconductor equipment); 1999 nendo choki energy gijutsu senryaku nado ni kansuru chosa hokokusho. Sangyo gijutsu senryaku sakutei kiban chosa (bun'yabetsu gijutsu senryaku) kikai sangyo gijutsu bun'ya (handotai seizo sochi bun'ya)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    This report summarizes the fiscal 1999 basic research result on industrial technology strategy of a semiconductor equipment field, viewing until 5-10 years after. For the future semiconductor industry, the favorable cycling of creation of new demands through performance improvement, and further technology innovation through market expansion is essential absolutely. Since technology development is followed by investment, not only the performance of each equipment but also the higher productivity and cost balance of the whole factory are essential. Self-intelligent function and networking are thus necessary for the equipment. As measures for environment preservation and energy saving, such innovative technologies are required as recycling, reuse, reaction process improvement and alternative technology. Because of diverse final products and a short life time of products, a large-scale collective investment is becoming difficult. A mini-line sequential investment production system according to demand scales is under investigation. Some issues such as micro-technology, realization of 300mm wafer, modularization, CIM, reliability and standardization are also described. (NEDO)

  1. III-nitride semiconductors and their modern devices

    CERN Document Server

    2013-01-01

    This book is dedicated to GaN and its alloys AlGaInN (III-V nitrides), semiconductors with intrinsic properties well suited for visible and UV light emission and electronic devices working at high temperature, high frequency, and harsh environments. There has been a rapid growth in the industrial activity relating to GaN, with GaN now ranking at the second position (after Si) among all semiconductors. This is mainly thanks to LEDs, but also to the emergence of lasers and high power and high frequency electronics. GaN-related research activities are also diversifying, ranging from advanced optical sources and single electron devices to physical, chemical, and biological sensors, optical detectors, and energy converters. All recent developments of nitrides and of their technology are gathered here in a single volume, with chapters written by world leaders in the field. This third book of the series edited by B. Gil is complementary to the preceding two, and is expected to offer a modern vision of nitrides and...

  2. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  3. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  4. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  5. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  6. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  7. Radiation effects on semiconductor devices in high energy heavy ion accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Belousov, Anton

    2014-10-20

    Radiation effects on semiconductor devices in GSI Helmholtz Center for Heavy Ion Research are becoming more and more significant with the increase of beam intensity due to upgrades. Moreover a new accelerator is being constructed on the basis of GSI within the project of facility for antiproton and ion research (FAIR). Beam intensities will be increased by factor of 100 and energies by factor of 10. Radiation fields in the vicinity of beam lines will increase more than 2 orders of magnitude and so will the effects on semiconductor devices. It is necessary to carry out a study of radiation effects on semiconductor devices considering specific properties of radiation typical for high energy heavy ion accelerators. Radiation effects on electronics in accelerator environment may be divided into two categories: short-term temporary effects and long-term permanent degradation. Both may become critical for proper operation of some electronic devices. This study is focused on radiation damage to CCD cameras in radiation environment of heavy ion accelerator. Series of experiments with irradiation of devices under test (DUTs) by secondary particles produced during ion beam losses were done for this study. Monte Carlo calculations were performed to simulate the experiment conditions and conditions expected in future accelerator. Corresponding comparisons and conclusions were done. Another device typical for accelerator facilities - industrial Ethernet switch was tested in similar conditions during this study. Series of direct irradiations of CCD and MOS transistors with heavy ion beams were done as well. Typical energies of the primary ion beams were 0.5-1 GeV/u. Ion species: from Na to U. Intensities of the beam up to 10{sup 9} ions/spill with spill length of 200-300 ns. Criteria of reliability and lifetime of DUTs in specific radiation conditions were formulated, basing on experimental results of the study. Predictions of electronic device reliability and lifetime were

  8. Evaluation of efficiency of a semiconductor gamma camera

    CERN Document Server

    Otake, H; Takeuchi, Y

    2002-01-01

    We evaluation basic characteristics of a compact type semiconductor gamma camera (eZ-SCOPE AN) of Cadmium Zinc Telluride (CdZnTe). This new compact gamma camera has 256 semiconductors representing the same number of pixels. Each semiconductor is 2 mm square and is located in 16 lines and rows on the surface of the detector. The specific performance characteristics were evaluated in the study referring to National Electrical Manufactures Association (NEMA) standards; intrinsic energy resolution, intrinsic count rate performance, integral uniformity, system planar sensitivity, system spatial resolution, and noise to the neighboring pixels. The intrinsic energy resolution measured 5.7% as full width half maximum (FWHM). The intrinsic count rate performance ranging from 17 kcps to 1,285 kcps was evaluated, but the highest intrinsic count rate was not observed. Twenty percents count loss was recognized at 1,021 kcps. The integral uniformity was 1.3% with high sensitivity collimator. The system planar sensitivity w...

  9. Semiconductor Laser Wind Lidar for Turbine Control

    DEFF Research Database (Denmark)

    Hu, Qi

    This thesis describes an experimentally oriented study of continuous wave (CW) coherent Doppler lidar system design. The main application is remote wind sensing for active wind turbine control using nacelle mounted lidar systems; and the primary focus is to devise an industrial instrument that can...... historical overview within the topic of wind lidar systems. Both the potential and the challenges of an industrialized wind lidar has been addressed here. Furthermore, the basic concept behind the heterodyne detection and a brief overview of the lidar signal processing is explained; and a simple...... investigation of the telescope truncation and lens aberrations is conducted, both numerically and experimentally. It is shown that these parameters dictate the spatial resolution of the lidar system, and have profound impact on the SNR. In this work, an all-semiconductor light source is used in the lidar design...

  10. Next generation of decision making software for nanopatterns characterization: application to semiconductor industry

    Science.gov (United States)

    Dervilllé, A.; Labrosse, A.; Zimmermann, Y.; Foucher, J.; Gronheid, R.; Boeckx, C.; Singh, A.; Leray, P.; Halder, S.

    2016-03-01

    The dimensional scaling in IC manufacturing strongly drives the demands on CD and defect metrology techniques and their measurement uncertainties. Defect review has become as important as CD metrology and both of them create a new metrology paradigm because it creates a completely new need for flexible, robust and scalable metrology software. Current, software architectures and metrology algorithms are performant but it must be pushed to another higher level in order to follow roadmap speed and requirements. For example: manage defect and CD in one step algorithm, customize algorithms and outputs features for each R&D team environment, provide software update every day or every week for R&D teams in order to explore easily various development strategies. The final goal is to avoid spending hours and days to manually tune algorithm to analyze metrology data and to allow R&D teams to stay focus on their expertise. The benefits are drastic costs reduction, more efficient R&D team and better process quality. In this paper, we propose a new generation of software platform and development infrastructure which can integrate specific metrology business modules. For example, we will show the integration of a chemistry module dedicated to electronics materials like Direct Self Assembly features. We will show a new generation of image analysis algorithms which are able to manage at the same time defect rates, images classifications, CD and roughness measurements with high throughput performances in order to be compatible with HVM. In a second part, we will assess the reliability, the customization of algorithm and the software platform capabilities to follow new specific semiconductor metrology software requirements: flexibility, robustness, high throughput and scalability. Finally, we will demonstrate how such environment has allowed a drastic reduction of data analysis cycle time.

  11. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  12. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  13. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  14. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  15. Semiconductor Laser Multi-Spectral Sensing and Imaging

    Directory of Open Access Journals (Sweden)

    Han Q. Le

    2010-01-01

    Full Text Available Multi-spectral laser imaging is a technique that can offer a combination of the laser capability of accurate spectral sensing with the desirable features of passive multispectral imaging. The technique can be used for detection, discrimination, and identification of objects by their spectral signature. This article describes and reviews the development and evaluation of semiconductor multi-spectral laser imaging systems. Although the method is certainly not specific to any laser technology, the use of semiconductor lasers is significant with respect to practicality and affordability. More relevantly, semiconductor lasers have their own characteristics; they offer excellent wavelength diversity but usually with modest power. Thus, system design and engineering issues are analyzed for approaches and trade-offs that can make the best use of semiconductor laser capabilities in multispectral imaging. A few systems were developed and the technique was tested and evaluated on a variety of natural and man-made objects. It was shown capable of high spectral resolution imaging which, unlike non-imaging point sensing, allows detecting and discriminating objects of interest even without a priori spectroscopic knowledge of the targets. Examples include material and chemical discrimination. It was also shown capable of dealing with the complexity of interpreting diffuse scattered spectral images and produced results that could otherwise be ambiguous with conventional imaging. Examples with glucose and spectral imaging of drug pills were discussed. Lastly, the technique was shown with conventional laser spectroscopy such as wavelength modulation spectroscopy to image a gas (CO. These results suggest the versatility and power of multi-spectral laser imaging, which can be practical with the use of semiconductor lasers.

  16. Semiconductor laser multi-spectral sensing and imaging.

    Science.gov (United States)

    Le, Han Q; Wang, Yang

    2010-01-01

    Multi-spectral laser imaging is a technique that can offer a combination of the laser capability of accurate spectral sensing with the desirable features of passive multispectral imaging. The technique can be used for detection, discrimination, and identification of objects by their spectral signature. This article describes and reviews the development and evaluation of semiconductor multi-spectral laser imaging systems. Although the method is certainly not specific to any laser technology, the use of semiconductor lasers is significant with respect to practicality and affordability. More relevantly, semiconductor lasers have their own characteristics; they offer excellent wavelength diversity but usually with modest power. Thus, system design and engineering issues are analyzed for approaches and trade-offs that can make the best use of semiconductor laser capabilities in multispectral imaging. A few systems were developed and the technique was tested and evaluated on a variety of natural and man-made objects. It was shown capable of high spectral resolution imaging which, unlike non-imaging point sensing, allows detecting and discriminating objects of interest even without a priori spectroscopic knowledge of the targets. Examples include material and chemical discrimination. It was also shown capable of dealing with the complexity of interpreting diffuse scattered spectral images and produced results that could otherwise be ambiguous with conventional imaging. Examples with glucose and spectral imaging of drug pills were discussed. Lastly, the technique was shown with conventional laser spectroscopy such as wavelength modulation spectroscopy to image a gas (CO). These results suggest the versatility and power of multi-spectral laser imaging, which can be practical with the use of semiconductor lasers.

  17. High-Temperature Electronics: A Role for Wide Bandgap Semiconductors?

    Science.gov (United States)

    Neudeck, Philip G.; Okojie, Robert S.; Chen, Liang-Yu

    2002-01-01

    It is increasingly recognized that semiconductor based electronics that can function at ambient temperatures higher than 150 C without external cooling could greatly benefit a variety of important applications, especially-in the automotive, aerospace, and energy production industries. The fact that wide bandgap semiconductors are capable of electronic functionality at much higher temperatures than silicon has partially fueled their development, particularly in the case of SiC. It appears unlikely that wide bandgap semiconductor devices will find much use in low-power transistor applications until the ambient temperature exceeds approximately 300 C, as commercially available silicon and silicon-on-insulator technologies are already satisfying requirements for digital and analog very large scale integrated circuits in this temperature range. However, practical operation of silicon power devices at ambient temperatures above 200 C appears problematic, as self-heating at higher power levels results in high internal junction temperatures and leakages. Thus, most electronic subsystems that simultaneously require high-temperature and high-power operation will necessarily be realized using wide bandgap devices, once the technology for realizing these devices become sufficiently developed that they become widely available. Technological challenges impeding the realization of beneficial wide bandgap high ambient temperature electronics, including material growth, contacts, and packaging, are briefly discussed.

  18. Dopants and defects in semiconductors

    CERN Document Server

    McCluskey, Matthew D

    2012-01-01

    "The book goes beyond the usual textbook in that it provides more specific examples of real-world defect physics … The book will be most useful for beginning graduate students in materials science. … an easy reading, broad introductory overview of the field …"-Materials Today, July-August 2012"… well written, with clear, lucid explanations …"-Chemistry World"The scientific development towards the method of controllable doping transformed the erratic and not reproducible family of semiconductor materials into the truly wonderful basis of modern microelectronics. This book tells the remarkable success story and I recommend it!"-Hans J. Queisser, Max-Planck-Institute, Stuttgart, Germany"McCluskey and Haller have written an outstanding modern guide to this field that will be useful to newcomers, and also to active researchers who want to broaden their horizons, as a means to learn the capabilities and limitations of the many techniques that are used in semiconductor-defect science."-Professor Michael J....

  19. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  20. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  1. Analytical approaches to optimizing system "Semiconductor converter-electric drive complex"

    Science.gov (United States)

    Kormilicin, N. V.; Zhuravlev, A. M.; Khayatov, E. S.

    2018-03-01

    In the electric drives of the machine-building industry, the problem of optimizing the drive in terms of mass-size indicators is acute. The article offers analytical methods that ensure the minimization of the mass of a multiphase semiconductor converter. In multiphase electric drives, the form of the phase current at which the best possible use of the "semiconductor converter-electric drive complex" for active materials is different from the sinusoidal form. It is shown that under certain restrictions on the phase current form, it is possible to obtain an analytical solution. In particular, if one assumes the shape of the phase current to be rectangular, the optimal shape of the control actions will depend on the width of the interpolar gap. In the general case, the proposed algorithm can be used to solve the problem under consideration by numerical methods.

  2. Accumulation of heavy metals and trace elements in fluvial sediments received effluents from traditional and semiconductor industries.

    Science.gov (United States)

    Hsu, Liang-Ching; Huang, Ching-Yi; Chuang, Yen-Hsun; Chen, Ho-Wen; Chan, Ya-Ting; Teah, Heng Yi; Chen, Tsan-Yao; Chang, Chiung-Fen; Liu, Yu-Ting; Tzou, Yu-Min

    2016-09-29

    Metal accumulation in sediments threatens adjacent ecosystems due to the potential of metal mobilization and the subsequent uptake into food webs. Here, contents of heavy metals (Cd, Cr, Cu, Ni, Pb, and Zn) and trace elements (Ga, In, Mo, and Se) were determined for river waters and bed sediments that received sewage discharged from traditional and semiconductor industries. We used principal component analysis (PCA) to determine the metal distribution in relation to environmental factors such as pH, EC, and organic matter (OM) contents in the river basin. While water PCA categorized discharged metals into three groups that implied potential origins of contamination, sediment PCA only indicated a correlation between metal accumulation and OM contents. Such discrepancy in metal distribution between river water and bed sediment highlighted the significance of physical-chemical properties of sediment, especially OM, in metal retention. Moreover, we used Se XANES as an example to test the species transformation during metal transportation from effluent outlets to bed sediments and found a portion of Se inventory shifted from less soluble elemental Se to the high soluble and toxic selenite and selenate. The consideration of environmental factors is required to develop pollution managements and assess environmental risks for bed sediments.

  3. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  4. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  5. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  6. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  7. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  8. Look-ahead strategies for controlling batch operations in industry - An overview

    NARCIS (Netherlands)

    Zee, Durk-Jouke van der; Chick, SE; Sanchez, PJ; Ferrin, D; Morrice, DJ

    2003-01-01

    Batching jobs in a manufacturing system is a very common policy in most industries. Main reasons for batching are avoidance of set ups and/or facilitation of material handling. Examples of batch-wise production systems are ovens found in aircraft industry and in semiconductor manufacturing. Starting

  9. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  10. Use of semiconductor detectors for radioactive waste account and control

    CERN Document Server

    Davydov, L N; Zakharchenko, A A

    2002-01-01

    The possibilities and development status of the contemporary semiconductor detectors and detecting devices intended for radiation monitoring at nuclear industry enterprises, including Chernobyl Shelter and depositories of nuclear wastes are shown. Such devices,created in the last years, can be successfully used for measurements of the gamma-radiation dose rate as well as for the isotope composition evaluation of nuclear materials and wastes, both during the work cycles and in emergency situations.

  11. Density functional theory and beyond-opportunities for quantum methods in materials modeling semiconductor technology

    International Nuclear Information System (INIS)

    Shankar, Sadasivan; Simka, Harsono; Haverty, Michael

    2008-01-01

    In the semiconductor industry, the use of new materials has been increasing with the advent of nanotechnology. As critical dimensions decrease, and the number of materials increases, the interactions between heterogeneous materials themselves and processing increase in complexity. Traditionally, applications of ab initio techniques are confined to electronic structure and band gap calculations of bulk materials, which are then used in coarse-grained models such as mesoscopic and continuum models. Density functional theory is the most widely used ab initio technique that was successfully extended to several applications. This paper illustrates applications of density functional theory to semiconductor processes and proposes further opportunities for use of such techniques in process development

  12. Valorization of GaN based metal-organic chemical vapor deposition dust a semiconductor power device industry waste through mechanochemical oxidation and leaching: A sustainable green process

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: Swain@iae.re.kr [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo [Institute for Advanced Engineering (IAE), Advanced Materials & Processing Center, Yongin-Si 449-863 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 330-714 (Korea, Republic of)

    2015-07-15

    Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.

  13. Characterization and application of halloysite nanotubes in semiconductor

    International Nuclear Information System (INIS)

    Basilia, Blessie; Sudario, Franck; Clemente, Richard; Millare, Jeremiah; Abad, Jojo

    2013-01-01

    The demand for low cost and reliable conductive die attach paste continues to increase because of the recent development in the semiconductor and electronics industry. Hybrid products with vertically and horizontally integrated components attach material with good electrical conductivity and excellent reliability. This has driven interest in polymers filled with silver particles that can deliver good performance and reliability at reduced cost. The challenge lies with the metal and polymer composition of the available die attach epoxies in the market with low Tg (glass transition temperature) and high CTE (coefficient of thermal expansion) compared to other components. Adding Halloysite Nanotube (HNT) to make a silver-filled epoxy (SFE) nanocomposite by in-situ intercalation method, the desired electrical conductivity of the epoxy matrix can be achieved without compromising its adhesion strength which is suitable for semiconductor die attach application. A custom design of experiment was used to study the effects of HNT mixed at varying composition into the silver filled epoxy matrix. Test results based on ASTM, JEDEC and military standards indicated that the desirable electrical conductivity and shear strength applicable to semiconductor die attach application can be achieved at 3% to 6% HNT composition. There was a substantial increase in Tg from 219°C t 228°C and reduction in CTE from 117 to 76 ppm/°C. Exfoliated structures of embedded HNT in the cured polymer matrix were observed in the SEM (Scanning Electron Microscopy) micrographs. Considering the electrical conductivity, adhesion strength, Tg and CTE, the HNT content of 3 to 6% is a good range to produce this material to attain good functionality and reliability. Combining HNT to make a silver filled epoxy-clay nanocomposite is highly feasible for semiconductor and electronics application. (author)

  14. Effect of specific resistance training on forearm pain and work disability in industrial technicians: cluster randomised controlled trial

    DEFF Research Database (Denmark)

    Andersen, Lars Louis; Jakobsen, Markus D; Pedersen, Mogens Theisen

    2012-01-01

    To determine the effect of specific resistance training on forearm pain and work disability in industrial technicians.......To determine the effect of specific resistance training on forearm pain and work disability in industrial technicians....

  15. Dual-comb spectroscopy of water vapor with a free-running semiconductor disk laser.

    Science.gov (United States)

    Link, S M; Maas, D J H C; Waldburger, D; Keller, U

    2017-06-16

    Dual-comb spectroscopy offers the potential for high accuracy combined with fast data acquisition. Applications are often limited, however, by the complexity of optical comb systems. Here we present dual-comb spectroscopy of water vapor using a substantially simplified single-laser system. Very good spectroscopy measurements with fast sampling rates are achieved with a free-running dual-comb mode-locked semiconductor disk laser. The absolute stability of the optical comb modes is characterized both for free-running operation and with simple microwave stabilization. This approach drastically reduces the complexity for dual-comb spectroscopy. Band-gap engineering to tune the center wavelength from the ultraviolet to the mid-infrared could optimize frequency combs for specific gas targets, further enabling dual-comb spectroscopy for a wider range of industrial applications. Copyright © 2017, American Association for the Advancement of Science.

  16. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  17. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  18. Direct solar pumping of semiconductor lasers: A feasibility study

    Science.gov (United States)

    Anderson, Neal G.

    1992-01-01

    This report describes results of NASA Grant NAG-1-1148, entitled Direct Solar Pumping of Semiconductor Lasers: A Feasibility Study. The goals of this study were to provide a preliminary assessment of the feasibility of pumping semiconductor lasers in space with directly focused sunlight and to identify semiconductor laser structures expected to operate at the lowest possible focusing intensities. It should be emphasized that the structures under consideration would provide direct optical-to-optical conversion of sunlight into laser light in a single crystal, in contrast to a configuration consisting of a solar cell or storage battery electrically pumping a current injection laser. With external modulation, such lasers could perhaps be efficient sources for intersatellite communications. We proposed specifically to develop a theoretical model of semiconductor quantum-well lasers photopumped by a broadband source, test it against existing experimental data where possible, and apply it to estimating solar pumping requirements and identifying optimum structures for operation at low pump intensities. These tasks have been accomplished, as described in this report of our completed project. The report is organized as follows: Some general considerations relevant to the solar-pumped semiconductor laser problem are discussed in Section 2, and the types of structures chosen for specific investigation are described. The details of the laser model we developed for this work are then outlined in Section 3. In Section 4, results of our study are presented, including designs for optimum lattice-matched and strained-layer solar-pumped quantum-well lasers and threshold pumping estimates for these structures. It was hoped at the outset of this work that structures could be identified which could be expected to operate continuously at solar photoexcitation intensities of several thousand suns, and this indeed turned out to be the case as described in this section. Our project is

  19. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  20. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  1. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  2. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  3. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  4. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  5. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  6. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  7. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  8. Semiconductor Nonlinear Dynamics Study by Broadband Terahertz Spectroscopy

    Science.gov (United States)

    Ho, I.-Chen

    , electron intervalley scattering and impact ionization of InAs crystals, are observed under the excitation of intense THz field on a sub-picosecond time scale. These two competing mechanisms are demonstrated by changing the impurity doping type of the semiconductors and varying the strength of the THz field. Another investigation of nonlinear carrier dynamics is the observation of coherent polaron oscillation in n-doped semiconductors excited by intense THz pulses. Through modulations of surface reflection with a THz pump/THz probe technique, this work experimentally verifies the interaction between energetic electrons and a phonon field, which has been theoretically predicted by previous publications, and shows that this interaction applies for the acoustic phonon modes. Usually, two transverse acoustic (2TA) phonon responses are inactive in infrared measurement, while they are detectable in second-order Raman spectroscopy. The study of polaron dynamics, with nonlinear THz spectroscopy (in the far-infrared range), provides a unique method to diagnose the overtones of 2TA phonon responses of semiconductors, and therefore incorporates the abilities of both infrared and Raman spectroscopy. This work presents a new milestone in wave-matter interaction and seeks to benefit the industrial applications in high power, small scale devices.

  9. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  10. Optical characterization of semiconductors infrared, Raman, and photoluminescence spectroscopy

    CERN Document Server

    Perkowitz, Sidney

    1993-01-01

    This is the first book to explain, illustrate, and compare the most widely used methods in optics: photoluminescence, infrared spectroscopy, and Raman scattering. Written with non-experts in mind, the book develops the background needed to understand the why and how of each technique, but does not require special knowledge of semiconductors or optics. Each method is illustrated with numerous case studies. Practical information drawn from the authors experience is given to help establish optical facilities, including commercial sources for equipment, and experimental details. For industrial sci

  11. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  12. Microscopic properties of ionic liquid/organic semiconductor interfaces revealed by molecular dynamics simulations.

    Science.gov (United States)

    Yokota, Yasuyuki; Miyamoto, Hiroo; Imanishi, Akihito; Takeya, Jun; Inagaki, Kouji; Morikawa, Yoshitada; Fukui, Ken-Ichi

    2018-05-09

    Electric double-layer transistors based on ionic liquid/organic semiconductor interfaces have been extensively studied during the past decade because of their high carrier densities at low operation voltages. Microscopic structures and the dynamics of ionic liquids likely determine the device performance; however, knowledge of these is limited by a lack of appropriate experimental tools. In this study, we investigated ionic liquid/organic semiconductor interfaces using molecular dynamics to reveal the microscopic properties of ionic liquids. The organic semiconductors include pentacene, rubrene, fullerene, and 7,7,8,8-tetracyanoquinodimethane (TCNQ). While ionic liquids close to the substrate always form the specific layered structures, the surface properties of organic semiconductors drastically alter the ionic dynamics. Ionic liquids at the fullerene interface behave as a two-dimensional ionic crystal because of the energy gain derived from the favorable electrostatic interaction on the corrugated periodic substrate.

  13. Optical Two-Dimensional Spectroscopy of Disordered Semiconductor Quantum Wells and Quantum Dots

    Energy Technology Data Exchange (ETDEWEB)

    Cundiff, Steven T. [Univ. of Colorado, Boulder, CO (United States)

    2016-05-03

    This final report describes the activities undertaken under grant "Optical Two-Dimensional Spectroscopy of Disordered Semiconductor Quantum Wells and Quantum Dots". The goal of this program was to implement optical 2-dimensional Fourier transform spectroscopy and apply it to electronic excitations, including excitons, in semiconductors. Specifically of interest are quantum wells that exhibit disorder due to well width fluctuations and quantum dots. In both cases, 2-D spectroscopy will provide information regarding coupling among excitonic localization sites.

  14. Measurement of ionising radiation semiconductor detectors: a review

    International Nuclear Information System (INIS)

    Aussel, J.P.

    1986-06-01

    Manufacturing techniques for nuclear detectors using semiconductors are constantly advancing, and a large range of models with different specificities and characteristics are available. After a theoretical reminder, this report describes the main types of detectors, their working and their preferential use. A comparative table guides the neophyte reader in his choice [fr

  15. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  16. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  17. Game Analysis of Determinants of Stability of Semiconductor Modular Production Networks

    Directory of Open Access Journals (Sweden)

    Wei He

    2014-07-01

    Full Text Available In today’s rapidly changing environment, semiconductor manufacturers compete more in the area of modular production networks. However, the instability of semiconductor modular production networks can to a large extent lead to the failure of these networks. The aim of this paper is to discuss the significance and explore the maintenance of the stability of these semiconductor modular production networks. Firstly, this paper qualitatively and quantitatively defines the stability of semiconductor modular production networks. Based on this, by establishing game models, this paper analyzes the influence mechanism of the main factors: external market fluctuation, the internal benefit allocation mechanism, and opportunism, which can jeopardize the stability of these networks. We find that: the greater the benefits a member enterprise derives from the common benefits, the more likely it is the member enterprise will not exit the modular production network; the adaptive ability of the networks to the external environment is closely related to the stability of the modular production networks; the supervision and punishment in networks can be substituted for each other and the level of supervision, punishment and trust can exert great influence on the stability of semiconductor modular production networks. Lastly, we propose some specific suggestions.

  18. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  19. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  20. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  1. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  2. Information Technology and the Third Industrial Revolution.

    Science.gov (United States)

    Fitzsimmons, Joe

    1994-01-01

    Discusses the so-called third industrial revolution, or the information revolution. Topics addressed include the progression of the revolution in the U.S. economy, in Europe, and in Third World countries; the empowering technologies, including digital switches, optical fiber, semiconductors, CD-ROM, networks, and combining technologies; and future…

  3. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  4. Hydrogen fluoride (HF) substance flow analysis for safe and sustainable chemical industry.

    Science.gov (United States)

    Kim, Junbeum; Hwang, Yongwoo; Yoo, Mijin; Chen, Sha; Lee, Ik-Mo

    2017-11-01

    In this study, the chemical substance flow of hydrogen fluoride (hydrofluoric acid, HF) in domestic chemical industries in 2014 was analyzed in order to provide a basic material and information for the establishment of organized management system to ensure safety during HF applications. A total of 44,751 tons of HF was made by four domestic companies (in 2014); import amount was 95,984 tons in 2014 while 21,579 tons of HF was imported in 2005. The export amount of HF was 2180 tons, of which 2074 ton (China, 1422 tons, U.S. 524 tons, and Malaysia, 128 tons) was exported for the manufacturing of semiconductors. Based on the export and import amounts, it can be inferred that HF was used for manufacturing semiconductors. The industries applications of 161,123 tons of HF were as follows: manufacturing of basic inorganic chemical substance (27,937 tons), manufacturing of other chemical products such as detergents (28,208 tons), manufacturing of flat display (24,896 tons), and manufacturing of glass container package (22,002 tons). In this study, an analysis of the chemical substance flow showed that HF was mainly used in the semiconductor industry as well as glass container manufacturing. Combined with other risk management tools and approaches in the chemical industry, the chemical substance flow analysis (CSFA) can be a useful tool and method for assessment and management. The current CSFA results provide useful information for policy making in the chemical industry and national systems. Graphical abstract Hydrogen fluoride chemical substance flows in 2014 in South Korea.

  5. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  6. Pion minus energy measurement by a multilayer semiconductor spectrometer

    International Nuclear Information System (INIS)

    Gornov, M.G.; Gurov, Yu.B.; Lapushkin, S.V.

    1981-01-01

    A technique for determining π - meson energy by a laminated semiconductor spectrometer is described. Results of experimental test of the technique carried out using beams of meson track of the JINR synchrocyclotron and three Si(Li) detectors are given. A specific feature of the technique is that chi 2 criterium with a functional written through exact thicknesses of semiconductor detectors was used for separating events with disturbance of ionization dependence and determining particle energy. It is shown that the absolute resolution can be not worse than 0.5 MeV in a wide energy range. It is concluded that the technique suggested is suitable for measuring energy of any charged particles with indefinite energy release during stoppage [ru

  7. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  8. Look-ahead strategies for controlling batch operations in industry - overview, comparison and exploration

    NARCIS (Netherlands)

    Zee, D.J. van der; Harten, A. van; Schuur, P.C.; Joines, JA; Barton, RR; Kang, K; Fishwick, PA

    2000-01-01

    Batching jobs in a manufacturing system is a very common policy in most industries. The main reasons for batching are avoidance of set ups and/or facilitation of material handling. Good examples of batch-wise production systems are ovens found in aircraft industry and in semiconductor manufacturing.

  9. Radiation immune RAM semiconductor technology for the 80's. [Random Access Memory

    Science.gov (United States)

    Hanna, W. A.; Panagos, P.

    1983-01-01

    This paper presents current and short term future characteristics of RAM semiconductor technologies which were obtained by literature survey and discussions with cognizant Government and industry personnel. In particular, total ionizing dose tolerance and high energy particle susceptibility of the technologies are addressed. Technologies judged compatible with spacecraft applications are ranked to determine the best current and future technology for fast access (less than 60 ns), radiation tolerant RAM.

  10. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  11. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  12. 2014 Defects in Semiconductors Gordon Research Conference & Gordon Research Seminar. Research Area 1: Materials Science, 1.3 Physical Properties of Materials

    Science.gov (United States)

    2014-08-01

    invite leading experts from the full range of industry to academia to cover the topics of wide bandgap nitride and oxide semiconductors , the...Tsukuba University) " Positron Annihilation Studies in InGaN" 12:10 pm - 12:30 pm Discussion 9 12:30 pm Lunch 1:30 pm - 4:00 pm Free Time 4:00 pm...SECURITY CLASSIFICATION OF: The Gordon Research Conference on DEFECTS IN SEMICONDUCTORS was held at Bentley University in Waltham, Massachusetts

  13. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  14. Voluntary GHG reduction of industrial sectors in Taiwan.

    Science.gov (United States)

    Chen, Liang-Tung; Hu, Allen H

    2012-08-01

    The present paper describes the voluntary greenhouse gas (GHG) reduction agreements of six different industrial sectors in Taiwan, as well as the fluorinated gases (F-gas) reduction agreement of the semiconductor and Liquid Crystal Display (LCD) industries. The operating mechanisms, GHG reduction methods, capital investment, and investment effectiveness are also discussed. A total of 182 plants participated in the voluntary energy saving and GHG reduction in six industrial sectors (iron and steel, petrochemical, cement, paper, synthetic fiber, and textile printing and dyeing), with 5.35 Mt reduction from 2004 to 2008, or 33% higher than the target goal (4.02 Mt). The reduction accounts for 1.6% annual emission or 7.8% during the 5-yr span. The petrochemical industry accounts for 49% of the reduction, followed by the cement sector (21%) and the iron and steel industry (13%). The total investment amounted to approximately USD 716 million, in which, the majority of the investment went to the modification of the manufacturing process (89%). The benefit was valued at around USD 472 million with an average payback period of 1.5 yr. Moreover, related energy saving was achieved through different approaches, e.g., via electricity (iron and steel), steam and oil consumption (petrochemical) and coal usage (cement). The cost for unit CO(2) reduction varies per industry, with the steel and iron industrial sector having the highest cost (USD 346 t(-1) CO(2)) compared with the average cost of the six industrial sectors (USD 134 t(-1) CO(2)). For the semiconductor and Thin-Film Transistor LCD industries, F-gas emissions were reduced from approximately 4.1 to about 1.7 Mt CO(2)-eq, and from 2.2 to about 1.1 Mt CO(2)-eq, respectively. Incentive mechanisms for participation in GHG reduction are also further discussed. Copyright © 2012 Elsevier Ltd. All rights reserved.

  15. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  16. Scanning electron microscopy of semiconductor materials

    International Nuclear Information System (INIS)

    Bresse, J.F.; Dupuy, M.

    1978-01-01

    The use of scanning electron microscopy in semiconductors opens up a large field of use. The operating modes lending themselves to the study of semiconductors are the induced current, cathodoluminescence and the use of the potential contrast which can also be applied very effectively to the study of the devices (planar in particular). However, a thorough knowledge of the mechanisms of the penetration of electrons, generation and recombination of generated carriers in a semiconductor is necessary in order to attain a better understanding of the operating modes peculiar to semiconductors [fr

  17. Metallurgy and purification of semiconductor materials

    International Nuclear Information System (INIS)

    Mughal, G.R.; Ali, M.M.; Ali, I.

    1996-01-01

    In this article the metallurgical aspects of semiconductor science and technology have been stressed here rather than of the physical and electronic aspect of the subject. Semiconductor technology has not merely presented the metallurgist with new challenges. The ease with which the semiconductor planes cleave make possible, the preparation and study of virgin surface. Semiconductor materials were being widely employed in the study of sub-boundaries and structures and can largely contribute to the study of certain aspects of nucleation and growth, precipitation phenomena, mechanical behaviour, in metallurgy. (A.B.)

  18. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2008-01-01

    This monograph describes fascinating recent progress in the field of chaos, stability and instability of semiconductor lasers. Applications and future prospects are discussed in detail. The book emphasizes the various dynamics induced in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Recent results of both theoretical and experimental investigations are presented. Demonstrating applications of semiconductor laser chaos, control and noise, Semiconductor Lasers describes suppression and chaotic secure communications. For those who are interested in optics but not familiar with nonlinear systems, a brief introduction to chaos analysis is presented.

  19. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  20. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  1. Solid-state NMR of inorganic semiconductors.

    Science.gov (United States)

    Yesinowski, James P

    2012-01-01

    Studies of inorganic semiconductors by solid-state NMR vary widely in terms of the nature of the samples investigated, the techniques employed to observe the NMR signal, and the types of information obtained. Compared with the NMR of diamagnetic non-semiconducting substances, important differences often result from the presence of electron or hole carriers that are the hallmark of semiconductors, and whose theoretical interpretation can be involved. This review aims to provide a broad perspective on the topic for the non-expert by providing: (1) a basic introduction to semiconductor physical concepts relevant to NMR, including common crystal structures and the various methods of making samples; (2) discussions of the NMR spin Hamiltonian, details of some of the NMR techniques and strategies used to make measurements and theoretically predict NMR parameters, and examples of how each of the terms in the Hamiltonian has provided useful information in bulk semiconductors; (3) a discussion of the additional considerations needed to interpret the NMR of nanoscale semiconductors, with selected examples. The area of semiconductor NMR is being revitalized by this interest in nanoscale semiconductors, the great improvements in NMR detection sensitivity and resolution that have occurred, and the current interest in optical pumping and spintronics-related studies. Promising directions for future research will be noted throughout.

  2. EDITORIAL: Focus on Dilute Magnetic Semiconductors FOCUS ON DILUTE MAGNETIC SEMICONDUCTORS

    Science.gov (United States)

    Chambers, Scott A.; Gallagher, Bryan

    2008-05-01

    papers, the authors have not carried out the necessary control experiments and materials characterization to convincingly eliminate these possibilities. The former includes the growth of films without the magnetic dopant and the associated demonstration of the absence of ferromagnetism. Magnetic secondary phase formation is particularly problematic because in order to inject enough magnetic dopant to generate appreciable magnetization and spin polarization, one must often exceed the solid solubility of the dopant in the host. If the dopant is itself ferromagnetic in its elemental state, or if unintended magnetic products nucleate, spurious ferromagnetism will occur. Moreover, it is often a major analysis challenge to detect secondary phases when they consist of only a few per cent of the dopant; element specific spectroscopies such as x-ray absorption have been invaluable in this task. Powder diffraction is not sufficiently sensitive for this level of analysis. Against this backdrop, this focus issue of New Journal of Physics now appears. The editors' principal goal in soliciting papers has been to encourage investigators to submit work in which the necessary experiments have been done to allow the material to be adequately characterized. This collection contains a mix of experimental and theoretical papers, and many different types of materials are covered. This focus issue thus constitutes a snapshot in time of a fast-moving and fascinating field of materials physics. Reference [1] Dietl T, Ohno H, Matsukura F, Cibert J and Ferrand D 2000 Science 287 1019 Focus on Dilute Magnetic Semiconductors Contents Lithographically and electrically controlled strain effects on anisotropic magnetoresistance in (Ga,Mn)As E De Ranieri, A W Rushforth, K Výborný, U Rana, E Ahmad, R P Campion, C T Foxon, B L Gallagher, A C Irvine, J Wunderlich and T Jungwirth Structure and magnetism of cobalt-doped ZnO thin films M Ivill, S J Pearton, S Rawal, L Leu, P Sadik, R Das, A F Hebard, M

  3. Off-axis electron holography for the measurement of active dopants in silicon semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, David

    2016-01-01

    There is a need in the semiconductor industry for a dopant profiling technique with nm-scale resolution. Here we demonstrate that off-axis electron holography can be used to provide maps of the electrostatic potential in semiconductor devices with nm-scale resolution. In this paper we will discuss issues regarding the spatial resolution and precision of the technique. Then we will discuss problems with specimen preparation and how this affects the accuracy of the measurements of the potentials. Finally we show results from experimental off-axis electron holography applied to nMOS and pMOS CMOS devices grown on bulk silicon and silicon- on-insulator type devices and present solutions to common problems that are encountered when examining these types of devices. (paper)

  4. CEA and mining industry

    International Nuclear Information System (INIS)

    Anon.

    2003-01-01

    The French atomic energy commission (CEA) is involved in the mining industry in several ways: - in the front-end of the nuclear industry through its daughter companies and participations in the exploration and exploitation of uranium ores, but also of gold and alloy metals with a 26% participation in Eramet company, the world leader of manganese and nickel. This activity is the main occupation of Cogema daughter company, via the Areva holding; - in the back-end of the nuclear fuel cycle with the delicate problem of the management of radioactive wastes; - in parallel with the nuclear industry through an important activity in semiconductor materials (FCI and SMTElectronics); - and finally through various research works on several mineral compounds. This article focusses on the fuel cycle aspects of the CEA activities and concludes with the research works carried out today on thermonuclear fusion. (J.S.)

  5. Spin physics in semiconductors

    CERN Document Server

    Dyakonov, Mikhail I

    2008-01-01

    This book describes beautiful optical and transport phenomena related to the electron and nuclear spins in semiconductors with emphasis on a clear presentation of the physics involved. Recent results on quantum wells and quantum dots are reviewed. The book is intended for students and researchers in the fields of semiconductor physics and nanoelectronics.

  6. A Systematic Cycle Time Reduction Procedure for Enhancing the Competitiveness and Sustainability of a Semiconductor Manufacturer

    Directory of Open Access Journals (Sweden)

    Toly Chen

    2013-11-01

    Full Text Available Cycle time reduction plays an important role in improving the competitiveness and sustainability of a semiconductor manufacturer. However, in the past, cycle time reduction was usually unplanned owing to the lack of a systematic and quantitative procedure. To tackle this problem, a systematic procedure was established in this study for planning cycle time reduction actions to enhance the competitiveness and sustainability of a semiconductor manufacturer. First, some controllable factors that are influential to the job cycle time are identified. Subsequently, the relationship between the controllable factors and the job cycle time is fitted with a back propagation network. Based on this relationship, actions to shorten the job cycle time can be planned. The feasibility and effectiveness of an action have to be assessed before it can be taken in practice. An example containing the real data of hundreds of jobs has been used to illustrate the applicability of the proposed methodology. In addition, the financial benefits of the cycle time reduction action were analyzed, which provided the evidence that the proposed methodology enabled the sustainable development of the semiconductor manufacturer, since capital adequacy is very important in the semiconductor manufacturing industry.

  7. A Comprehensive Review of Semiconductor Ultraviolet Photodetectors: From Thin Film to One-Dimensional Nanostructures

    Directory of Open Access Journals (Sweden)

    Liwen Sang

    2013-08-01

    Full Text Available Ultraviolet (UV photodetectors have drawn extensive attention owing to their applications in industrial, environmental and even biological fields. Compared to UV-enhanced Si photodetectors, a new generation of wide bandgap semiconductors, such as (Al, In GaN, diamond, and SiC, have the advantages of high responsivity, high thermal stability, robust radiation hardness and high response speed. On the other hand, one-dimensional (1D nanostructure semiconductors with a wide bandgap, such as β-Ga2O3, GaN, ZnO, or other metal-oxide nanostructures, also show their potential for high-efficiency UV photodetection. In some cases such as flame detection, high-temperature thermally stable detectors with high performance are required. This article provides a comprehensive review on the state-of-the-art research activities in the UV photodetection field, including not only semiconductor thin films, but also 1D nanostructured materials, which are attracting more and more attention in the detection field. A special focus is given on the thermal stability of the developed devices, which is one of the key characteristics for the real applications.

  8. Charge regulation at semiconductor-electrolyte interfaces.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2015-07-01

    The interface between a semiconductor material and an electrolyte solution has interesting and complex electrostatic properties. Its behavior will depend on the density of mobile charge carriers that are present in both phases as well as on the surface chemistry at the interface through local charge regulation. The latter is driven by chemical equilibria involving the immobile surface groups and the potential determining ions in the electrolyte solution. All these lead to an electrostatic potential distribution that propagate such that the electrolyte and the semiconductor are dependent on each other. Hence, any variation in the charge density in one phase will lead to a response in the other. This has significant implications on the physical properties of single semiconductor-electrolyte interfaces and on the electrostatic interactions between semiconductor particles suspended in electrolyte solutions. The present paper expands on our previous publication (Fleharty et al., 2014) and offers new results on the electrostatics of single semiconductor interfaces as well as on the interaction of charged semiconductor colloids suspended in electrolyte solution. Copyright © 2014 Elsevier Inc. All rights reserved.

  9. Magnetic excitations in ferromagnetic semiconductors

    International Nuclear Information System (INIS)

    Furdyna, J.K.; Liu, X.; Zhou, Y.Y.

    2009-01-01

    Magnetic excitations in a series of GaMnAs ferromagnetic semiconductor films were studied by ferromagnetic resonance (FMR). Using the FMR approach, multi-mode spin wave resonance spectra have been observed, whose analysis provides information on magnetic anisotropy (including surface anisotropy), distribution of magnetization precession within the GaMnAs film, dynamic surface spin pinning (derived from surface anisotropy), and the value of exchange stiffness constant D. These studies illustrate a combination of magnetism and semiconductor physics that is unique to magnetic semiconductors

  10. Injection of spin-polarized current into semiconductor

    International Nuclear Information System (INIS)

    Vedyayev, A.V.; Dieny, B.; Ryzhanova, N.V.; Zhukov, I.V.; Zhuravlev, M.Ye.; Lutz, H.O.

    2003-01-01

    A quantum-statistical theory of injection of spin-polarized current into a semiconductor in ferromagnet/tunnel barrier/semiconductor system is presented. The presence of Schottky barrier in the semiconductor is taken into account. The case of degenerated and non-degenerated semiconductors are considered. Both the diffusive and ballistic transport regime are investigated. The dependence of current polarization on barrier thickness and temperature is calculated

  11. Effect of interface roughness on Auger recombination in semiconductor quantum wells

    Science.gov (United States)

    Tan, Chee-Keong; Sun, Wei; Wierer, Jonathan J.; Tansu, Nelson

    2017-03-01

    Auger recombination in a semiconductor is a three-carrier process, wherein the energy from the recombination of an electron and hole pair promotes a third carrier to a higher energy state. In semiconductor quantum wells with increased carrier densities, the Auger recombination becomes an appreciable fraction of the total recombination rate and degrades luminescence efficiency. Gaining insight into the variables that influence Auger recombination in semiconductor quantum wells could lead to further advances in optoelectronic and electronic devices. Here we demonstrate the important role that interface roughness has on Auger recombination within quantum wells. Our computational studies find that as the ratio of interface roughness to quantum well thickness is increased, Auger recombination is significantly enhanced. Specifically, when considering a realistic interface roughness for an InGaN quantum well, the enhancement in Auger recombination rate over a quantum well with perfect heterointerfaces can be approximately four orders of magnitude.

  12. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    Directory of Open Access Journals (Sweden)

    Yoshihiro Irokawa

    2011-01-01

    Full Text Available In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C-V characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C-V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C-V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I-V characterization, suggesting that low-frequency C-V method would be effective in detecting very low hydrogen concentrations.

  13. Look-ahead strategies for controlling batch operations in industry : basic insights in rule construction

    NARCIS (Netherlands)

    van der Zee, D.J.; Sullivan, W.A.; Ahmad, M.M.; Fichtner, D.; Sauer, W.; Weigert, G.; Zerna, T.

    2002-01-01

    Batching jobs in a manufacturing system is a very common policy in most industries. Main reasons for batching are avoidance of set ups and/or facilitation of material handling. Examples of batch-wise production systems are ovens found in aircraft industry and in semiconductor manufacturing. Starting

  14. Surface properties and photocatalytic activity of KTaO3, CdS, MoS2 semiconductors and their binary and ternary semiconductor composites.

    Science.gov (United States)

    Bajorowicz, Beata; Cybula, Anna; Winiarski, Michał J; Klimczuk, Tomasz; Zaleska, Adriana

    2014-09-24

    Single semiconductors such as KTaO3, CdS MoS2 or their precursor solutions were combined to form novel binary and ternary semiconductor nanocomposites by the calcination or by the hydro/solvothermal mixed solutions methods, respectively. The aim of this work was to study the influence of preparation method as well as type and amount of the composite components on the surface properties and photocatalytic activity of the new semiconducting photoactive materials. We presented different binary and ternary combinations of the above semiconductors for phenol and toluene photocatalytic degradation and characterized by X-ray powder diffraction (XRD), UV-Vis diffuse reflectance spectroscopy (DRS), scanning electron microscopy (SEM), Brunauer-Emmett-Teller (BET) specific surface area and porosity. The results showed that loading MoS2 onto CdS as well as loading CdS onto KTaO3 significantly enhanced absorption properties as compared with single semiconductors. The highest photocatalytic activity in phenol degradation reaction under both UV-Vis and visible light irradiation and very good stability in toluene removal was observed for ternary hybrid obtained by calcination of KTaO3, CdS, MoS2 powders at the 10:5:1 molar ratio. Enhanced photoactivity could be related to the two-photon excitation in KTaO3-CdS-MoS2 composite under UV-Vis and/or to additional presence of CdMoO4 working as co-catalyst.

  15. Surface Properties and Photocatalytic Activity of KTaO3, CdS, MoS2 Semiconductors and Their Binary and Ternary Semiconductor Composites

    Directory of Open Access Journals (Sweden)

    Beata Bajorowicz

    2014-09-01

    Full Text Available Single semiconductors such as KTaO3, CdS MoS2 or their precursor solutions were combined to form novel binary and ternary semiconductor nanocomposites by the calcination or by the hydro/solvothermal mixed solutions methods, respectively. The aim of this work was to study the influence of preparation method as well as type and amount of the composite components on the surface properties and photocatalytic activity of the new semiconducting photoactive materials. We presented different binary and ternary combinations of the above semiconductors for phenol and toluene photocatalytic degradation and characterized by X-ray powder diffraction (XRD, UV-Vis diffuse reflectance spectroscopy (DRS, scanning electron microscopy (SEM, Brunauer–Emmett–Teller (BET specific surface area and porosity. The results showed that loading MoS2 onto CdS as well as loading CdS onto KTaO3 significantly enhanced absorption properties as compared with single semiconductors. The highest photocatalytic activity in phenol degradation reaction under both UV-Vis and visible light irradiation and very good stability in toluene removal was observed for ternary hybrid obtained by calcination of KTaO3, CdS, MoS2 powders at the 10:5:1 molar ratio. Enhanced photoactivity could be related to the two-photon excitation in KTaO3-CdS-MoS2 composite under UV-Vis and/or to additional presence of CdMoO4 working as co-catalyst.

  16. Industrial Applications of Low Temperature Plasmas

    International Nuclear Information System (INIS)

    Bardsley, J N

    2001-01-01

    The use of low temperature plasmas in industry is illustrated by the discussion of four applications, to lighting, displays, semiconductor manufacturing and pollution control. The type of plasma required for each application is described and typical materials are identified. The need to understand radical formation, ionization and metastable excitation within the discharge and the importance of surface reactions are stressed

  17. Thiophene-Based Organic Semiconductors.

    Science.gov (United States)

    Turkoglu, Gulsen; Cinar, M Emin; Ozturk, Turan

    2017-10-24

    Thiophene-based π-conjugated organic small molecules and polymers are the research subject of significant current interest owing to their potential use as organic semiconductors in material chemistry. Despite simple and similar molecular structures, the hitherto reported properties of thiophene-based organic semiconductors are rather diverse. Design of high performance organic semiconducting materials requires a thorough understanding of inter- and intra-molecular interactions, solid-state packing, and the influence of both factors on the charge carrier transport. In this chapter, thiophene-based organic semiconductors, which are classified in terms of their chemical structures and their structure-property relationships, are addressed for the potential applications as organic photovoltaics (OPVs), organic field-effect transistors (OFETs) and organic light emitting diodes (OLEDs).

  18. Device Physics of Narrow Gap Semiconductors

    CERN Document Server

    Chu, Junhao

    2010-01-01

    Narrow gap semiconductors obey the general rules of semiconductor science, but often exhibit extreme features of these rules because of the same properties that produce their narrow gaps. Consequently these materials provide sensitive tests of theory, and the opportunity for the design of innovative devices. Narrow gap semiconductors are the most important materials for the preparation of advanced modern infrared systems. Device Physics of Narrow Gap Semiconductors offers descriptions of the materials science and device physics of these unique materials. Topics covered include impurities and defects, recombination mechanisms, surface and interface properties, and the properties of low dimensional systems for infrared applications. This book will help readers to understand not only the semiconductor physics and materials science, but also how they relate to advanced opto-electronic devices. The last chapter applies the understanding of device physics to photoconductive detectors, photovoltaic infrared detector...

  19. Manipulating semiconductor colloidal stability through doping.

    Science.gov (United States)

    Fleharty, Mark E; van Swol, Frank; Petsev, Dimiter N

    2014-10-10

    The interface between a doped semiconductor material and electrolyte solution is of considerable fundamental interest, and is relevant to systems of practical importance. Both adjacent domains contain mobile charges, which respond to potential variations. This is exploited to design electronic and optoelectronic sensors, and other enabling semiconductor colloidal materials. We show that the charge mobility in both phases leads to a new type of interaction between semiconductor colloids suspended in aqueous electrolyte solutions. This interaction is due to the electrostatic response of the semiconductor interior to disturbances in the external field upon the approach of two particles. The electrostatic repulsion between two charged colloids is reduced from the one governed by the charged groups present at the particles surfaces. This type of interaction is unique to semiconductor particles and may have a substantial effect on the suspension dynamics and stability.

  20. Plasmon-induced carrier polarization in semiconductor nanocrystals

    Science.gov (United States)

    Yin, Penghui; Tan, Yi; Fang, Hanbing; Hegde, Manu; Radovanovic, Pavle V.

    2018-06-01

    Spintronics1 and valleytronics2 are emerging quantum electronic technologies that rely on using electron spin and multiple extrema of the band structure (valleys), respectively, as additional degrees of freedom. There are also collective properties of electrons in semiconductor nanostructures that potentially could be exploited in multifunctional quantum devices. Specifically, plasmonic semiconductor nanocrystals3-10 offer an opportunity for interface-free coupling between a plasmon and an exciton. However, plasmon-exciton coupling in single-phase semiconductor nanocrystals remains challenging because confined plasmon oscillations are generally not resonant with excitonic transitions. Here, we demonstrate a robust electron polarization in degenerately doped In2O3 nanocrystals, enabled by non-resonant coupling of cyclotron magnetoplasmonic modes11 with the exciton at the Fermi level. Using magnetic circular dichroism spectroscopy, we show that intrinsic plasmon-exciton coupling allows for the indirect excitation of the magnetoplasmonic modes, and subsequent Zeeman splitting of the excitonic states. Splitting of the band states and selective carrier polarization can be manipulated further by spin-orbit coupling. Our results effectively open up the field of plasmontronics, which involves the phenomena that arise from intrinsic plasmon-exciton and plasmon-spin interactions. Furthermore, the dynamic control of carrier polarization is readily achieved at room temperature, which allows us to harness the magnetoplasmonic mode as a new degree of freedom in practical photonic, optoelectronic and quantum-information processing devices.

  1. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  2. Laser semiconductor diode integrated with frequency doubler

    International Nuclear Information System (INIS)

    Tighineanu, I.; Dorogan, V.; Suruceanu, G.

    2003-01-01

    The invention relates to the technology of optoelectronic semiconductor devices and may be used in the production of laser semiconductor diodes integrated with optical nonlinear elements. The laser semiconductor diode integrated with frequency doubler includes a semiconductor substrate, a laser structure with waveguide. metal contacts in the waveguide of the laser structure it is formed a nanostructured field so that the nanostructure provides for the fulfillment of the phase synchronism conditions

  3. The Effects of Interdependence and Cooperative Behaviors on Buyer’s Satisfaction in the Semiconductor Component Supply Chain

    Directory of Open Access Journals (Sweden)

    Fan-Yun Pai

    2015-12-01

    Full Text Available The semiconductor industry is characterized by extreme competition in price and product features. Firms need to acquire or exchange resources with their supplier or buyer partners to stay at the leading edge of technology. Cooperation between buyers and suppliers is important and power is the mechanism that can explain the cooperative behaviors. This study aims to investigate how the power structure between the buyer and supplier influences the extent of suppliers’ cooperative behaviors, and the effects of these on buyer satisfaction with the buyer-supplier relationship. Opinions from firms in semiconductor manufacturing supply chain were used to investigate the proposed model. It is found that mutual interdependence between a supplier and its buyer can enhance cooperative behaviors and power asymmetry hurt firms’ investment in cooperative behaviors. Suggestions are then provided to semiconductor supply chain members based on the findings of this work.

  4. From Confrontation to Coopetition in the Globalized Semiconductor Industry

    NARCIS (Netherlands)

    van de Gevel, A.J.W.

    2000-01-01

    The silicon chip is not only a symbol of marvellous technologies that are transforming industrial production and leisure time in society, but also of trade and technology conflicts while at the same time offering the potential for cooperation.The purpose of this paper is to show that the

  5. Modeling of semiconductor nanostructures and semiconductor-electrolyte interfaces

    International Nuclear Information System (INIS)

    Birner, Stefan

    2011-01-01

    The main objective of Part I is to give an overview of some of the methods that have been implemented into the nextnano 3 software. Examples are discussed that give insight into doping, strain and mobility. Applications of the single-band Schroedinger equation include three-dimensional superlattices, and a qubit that is manipulated by a magnetic field. Results of the multi-band k.p method are presented for HgTe-CdTe and InAs-GaSb superlattices, and for a SiGe-Si quantum cascade structure. Particular focus is put on a detailed description of the contact block reduction (CBR) method that has been developed within our research group. By means of this approach, quantum transport in the ballistic limit in one, two and three dimensions can be calculated. I provide a very detailed description of the algorithm and present several well documented examples that highlight the key points of this method. Calculating quantum transport in three dimensions is a very challenging task where computationally efficient algorithms - apart from the CBR method - are not available yet. Part II describes the methods that I have implemented into the nextnano 3 software for calculating systems that consist of a combination of semiconductor materials and liquids. These biosensors have a solid-electrolyte interface, and the charges in the solid and in the electrolyte are coupled to each other through the Poisson-Boltzmann equation. I apply this model to a silicon based protein sensor, where I solve the Schroedinger equation together with the Poisson-Boltzmann equation self-consistently, and compare theoretical results with experiment. Furthermore, I have developed a novel approach to model the charge density profiles at semiconductor-electrolyte interfaces that allows us to distinguish hydrophobic and hydrophilic interfaces. Our approach extends previous work where ion specific potentials of mean force describe the distribution of ion species at the interface. I apply this new model to recently

  6. Modeling of semiconductor nanostructures and semiconductor-electrolyte interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Birner, Stefan

    2011-11-15

    The main objective of Part I is to give an overview of some of the methods that have been implemented into the nextnano{sup 3} software. Examples are discussed that give insight into doping, strain and mobility. Applications of the single-band Schroedinger equation include three-dimensional superlattices, and a qubit that is manipulated by a magnetic field. Results of the multi-band k.p method are presented for HgTe-CdTe and InAs-GaSb superlattices, and for a SiGe-Si quantum cascade structure. Particular focus is put on a detailed description of the contact block reduction (CBR) method that has been developed within our research group. By means of this approach, quantum transport in the ballistic limit in one, two and three dimensions can be calculated. I provide a very detailed description of the algorithm and present several well documented examples that highlight the key points of this method. Calculating quantum transport in three dimensions is a very challenging task where computationally efficient algorithms - apart from the CBR method - are not available yet. Part II describes the methods that I have implemented into the nextnano{sup 3} software for calculating systems that consist of a combination of semiconductor materials and liquids. These biosensors have a solid-electrolyte interface, and the charges in the solid and in the electrolyte are coupled to each other through the Poisson-Boltzmann equation. I apply this model to a silicon based protein sensor, where I solve the Schroedinger equation together with the Poisson-Boltzmann equation self-consistently, and compare theoretical results with experiment. Furthermore, I have developed a novel approach to model the charge density profiles at semiconductor-electrolyte interfaces that allows us to distinguish hydrophobic and hydrophilic interfaces. Our approach extends previous work where ion specific potentials of mean force describe the distribution of ion species at the interface. I apply this new model

  7. Multi-analytical study of historical semiconductor pigments

    International Nuclear Information System (INIS)

    Caporosso, V.

    2015-01-01

    This work is focused on the study of semiconductor-based pigments, which substituted traditional pigments in the second half of the 19. century. Synthetic semiconductor pigments may be chemically unstable due to the presence of many impurities unintentionally introduced during manufacturing. The aim of this work is to provide an insight on the application of X-ray Fluorescence (XRF) for the analysis of these painting materials, including both Cd- and Zn-based pigments. Three different approaches have been followed: the semi-quantitative analysis of samples with similar elemental composition, the complementary use of XRF and Raman spectroscopy for the analysis of elemental and molecular composition and the synchrotron-based XRF and XANES for the detection of impurities. The synergetic combination of different techniques provides information useful for the definition of specific markers for future analysis of paint-samples with implications for the conservation and treatment of late 19. and early 20. century paintings.

  8. Summary of some feasibility studies for site-specific solar industrial process heat

    Energy Technology Data Exchange (ETDEWEB)

    None

    1982-01-01

    Some feasibility studies for several different site specific solar industrial process heat applications are summarized. The followng applications are examined. Leather Tanning; Concrete Production: Lumber and Paper Processing; Milk Processing; Molding, Curing or Drying; Automobile Manufacture; and Food Processing and Preparation. For each application, site and process data, system design, and performance and cost estimates are summarized.

  9. Ultrafast THz Saturable Absorption in Doped Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hoffmann, Matthias C.

    2011-01-01

    We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields.......We demonstrate ultrafast THz saturable absorption in n-doped semiconductors by nonlinear THz time-domain spectroscopy. This effect is caused by the semiconductor conductivity modulation due to electron heating and satellite-valley scattering in strong THz fields....

  10. Semiconductor radiation detectors. Device physics

    International Nuclear Information System (INIS)

    Lutz, G.

    2007-01-01

    Starting from basic principles, the author, whose own contributions to these developments have been significant, describes the rapidly growing field of modern semiconductor detectors used for energy and position measurement radiation. This development was stimulated by requirements in elementary particle physics where it has led to important scientific discoveries. It has now spread to many other fields of science and technology. The book is written in a didactic way and includes an introduction to semiconductor physics. The working principles of semiconductor radiation detectors are explained in an intuitive way, followed by formal quantitative analysis. Broad coverage is also given to electronic signal readout and to the subject of radiation damage. The book is the first to comprehensively cover the semiconductor radiation detectors currently in use. It is useful as a teaching guide and as a reference work for research and applications. (orig.)

  11. Epitaxy of semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Krogstrup, P.; Ziino, N.L.B.; Chang, W.

    2015-01-01

    Controlling the properties of semiconductor/metal interfaces is a powerful method for designing functionality and improving the performance of electrical devices. Recently semiconductor/superconductor hybrids have appeared as an important example where the atomic scale uniformity of the interface...

  12. Applications of neutrons for laboratory and industrial activation analysis problems

    International Nuclear Information System (INIS)

    Szabo, Elek; Bakos, Laszlo

    1986-01-01

    This chapter presents some particular applications and case studies of neutrons in activation analysis for research and industrial development purposes. The reactor neutrons have been applied in Hungarian laboratories for semiconductor research, for analysis of geological (lunar) samples, and for a special comparator measurement of samples. Some industrial applications of neutron generator and sealed sources for analytical problems are presented. Finally, prompt neutron activation analysis is outlined briefly. (R.P.)

  13. Semiconductor Lasers Stability, Instability and Chaos

    CERN Document Server

    Ohtsubo, Junji

    2013-01-01

    This third edition of “Semiconductor Lasers, Stability, Instability and Chaos” was significantly extended.  In the previous edition, the dynamics and characteristics of chaos in semiconductor lasers after the introduction of the fundamental theory of laser chaos and chaotic dynamics induced by self-optical feedback and optical injection was discussed. Semiconductor lasers with new device structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are interesting devices from the viewpoint of chaotic dynamics since they essentially involve chaotic dynamics even in their free-running oscillations. These topics are also treated with respect to the new developments in the current edition. Also the control of such instabilities and chaos control are critical issues for applications. Another interesting and important issue of semiconductor laser chaos in this third edition is chaos synchronization between two lasers and the application to optical secure communication. One o...

  14. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  15. Optical coherent control in semiconductors

    DEFF Research Database (Denmark)

    Østergaard, John Erland; Vadim, Lyssenko; Hvam, Jørn Märcher

    2001-01-01

    of quantum control including the recent applications to semiconductors and nanostructures. We study the influence of inhomogeneous broadening in semiconductors on CC results. Photoluminescence (PL) and the coherent emission in four-wave mixing (FWM) is recorded after resonant excitation with phase...

  16. Semiconductor materials and their properties

    NARCIS (Netherlands)

    Reinders, Angelina H.M.E.; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre; Reinders, Angele; Verlinden, Pierre; van Sark, Wilfried; Freundlich, Alexandre

    2017-01-01

    Semiconductor materials are the basic materials which are used in photovoltaic (PV) devices. This chapter introduces solid-state physics and semiconductor properties that are relevant to photovoltaics without spending too much time on unnecessary information. Usually atoms in the group of

  17. Surface and Interface Engineering of Organometallic and Two Dimensional Semiconductor

    Science.gov (United States)

    Park, Jun Hong

    For over half a century, inorganic Si and III-V materials have led the modern semiconductor industry, expanding to logic transistor and optoelectronic applications. However, these inorganic materials have faced two different fundamental limitations, flexibility for wearable applications and scaling limitation as logic transistors. As a result, the organic and two dimensional have been studied intentionally for various fields. In the present dissertation, three different studies will be presented with followed order; (1) the chemical response of organic semiconductor in NO2 exposure. (2) The surface and stability of WSe2 in ambient air. (3) Deposition of dielectric on two dimensional materials using organometallic seeding layer. The organic molecules rely on the van der Waals interaction during growth of thin films, contrast to covalent bond inorganic semiconductors. Therefore, the morphology and electronic property at surface of organic semiconductor in micro scale is more sensitive to change in gaseous conditions. In addition, metal phthalocyanine, which is one of organic semiconductor materials, change their electronic property as reaction with gaseous analytes, suggesting as potential chemical sensing platforms. In the present part, the growth behavior of metal phthalocyanine and surface response to gaseous condition will be elucidated using scanning tunneling microscopy (STM). In second part, the surface of layered transition metal dichalcogenides and their chemical response to exposure ambient air will be investigated, using STM. Layered transition metal dichalcogenides (TMDs) have attracted widespread attention in the scientific community for electronic device applications because improved electrostatic gate control and suppression of short channel leakage resulted from their atomic thin body. To fabricate the transistor based on TMDs, TMDs should be exposed to ambient conditions, while the effect of air exposure has not been understood fully. In this part

  18. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    International Nuclear Information System (INIS)

    Likhachev, D.V.

    2015-01-01

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  19. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Likhachev, D.V., E-mail: dmitriy.likhachev@globalfoundries.com

    2015-08-31

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  20. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  1. Nuclear radiation detection by a variband semiconductor

    International Nuclear Information System (INIS)

    Volkov, A.S.

    1981-01-01

    Possibilities of using a variband semiconductor for detecting nuclear radiations are considered. It is shown that the variaband quasielectric field effectively collects charges induced by a nuclear particle only at a small mean free path in the semiconductor (up to 100 μm), the luminescence spectrum of the variband semiconductor when a nuclear particle gets into it, in principle, permits to determine both the energy and mean free path in the semiconductor (even at large mean free paths) [ru

  2. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  3. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  4. Exploring graphene field effect transistor devices to improve spectral resolution of semiconductor radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, Richard Karl [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Howell, Stephen Wayne [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Martin, Jeffrey B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hamilton, Allister B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2013-12-01

    Graphene, a planar, atomically thin form of carbon, has unique electrical and material properties that could enable new high performance semiconductor devices. Graphene could be of specific interest in the development of room-temperature, high-resolution semiconductor radiation spectrometers. Incorporating graphene into a field-effect transistor architecture could provide an extremely high sensitivity readout mechanism for sensing charge carriers in a semiconductor detector, thus enabling the fabrication of a sensitive radiation sensor. In addition, the field effect transistor architecture allows us to sense only a single charge carrier type, such as electrons. This is an advantage for room-temperature semiconductor radiation detectors, which often suffer from significant hole trapping. Here we report on initial efforts towards device fabrication and proof-of-concept testing. This work investigates the use of graphene transferred onto silicon and silicon carbide, and the response of these fabricated graphene field effect transistor devices to stimuli such as light and alpha radiation.

  5. Crystal step edges can trap electrons on the surfaces of n-type organic semiconductors.

    Science.gov (United States)

    He, Tao; Wu, Yanfei; D'Avino, Gabriele; Schmidt, Elliot; Stolte, Matthias; Cornil, Jérôme; Beljonne, David; Ruden, P Paul; Würthner, Frank; Frisbie, C Daniel

    2018-05-30

    Understanding relationships between microstructure and electrical transport is an important goal for the materials science of organic semiconductors. Combining high-resolution surface potential mapping by scanning Kelvin probe microscopy (SKPM) with systematic field effect transport measurements, we show that step edges can trap electrons on the surfaces of single crystal organic semiconductors. n-type organic semiconductor crystals exhibiting positive step edge surface potentials display threshold voltages that increase and carrier mobilities that decrease with increasing step density, characteristic of trapping, whereas crystals that do not have positive step edge surface potentials do not have strongly step density dependent transport. A device model and microelectrostatics calculations suggest that trapping can be intrinsic to step edges for crystals of molecules with polar substituents. The results provide a unique example of a specific microstructure-charge trapping relationship and highlight the utility of surface potential imaging in combination with transport measurements as a productive strategy for uncovering microscopic structure-property relationships in organic semiconductors.

  6. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  7. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  8. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  9. 46 CFR 183.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 183.360 Section 183.360... TONS) ELECTRICAL INSTALLATION Power Sources and Distribution Systems § 183.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents...

  10. First-principles calculations of the II-VI semiconductor β-HgS: Metal or semiconductor

    International Nuclear Information System (INIS)

    Delin, A.

    2002-06-01

    Relativistic all-electron full-potential first-principles calculations have been performed in order to study the symmetry of the energy levels around the valence band maximum in the zinc blende II-VI semiconductors β-HgS, HgSe, and HgTe. It is demonstrated that in general, an inverted band-structure does not necessarily lead to a zero fundamental energy gap for systems with zinc blende symmetry. Specifically, β-HgS is found to have at the same time an inverted band structure, and a small, slightly indirect, fundamental energy gap. Possibly, the energy levels around the valence band maximum order differently in each of these systems. (author)

  11. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  12. 46 CFR 129.360 - Semiconductor-rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor-rectifier systems. 129.360 Section 129.360... INSTALLATIONS Power Sources and Distribution Systems § 129.360 Semiconductor-rectifier systems. (a) Each semiconductor-rectifier system must have an adequate heat-removal system to prevent overheating. (b) If a...

  13. 46 CFR 120.360 - Semiconductor rectifier systems.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Semiconductor rectifier systems. 120.360 Section 120.360... INSTALLATION Power Sources and Distribution Systems § 120.360 Semiconductor rectifier systems. (a) Each semiconductor rectifier system must have an adequate heat removal system that prevents overheating. (b) Where a...

  14. Coherent dynamics in semiconductors

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    1998-01-01

    enhanced in quantum confined lower-dimensional systems, where exciton and biexciton effects dominate the spectra even at room temperature. The coherent dynamics of excitons are at modest densities well described by the optical Bloch equations and a number of the dynamical effects known from atomic......Ultrafast nonlinear optical spectroscopy is used to study the coherent dynamics of optically excited electron-hole pairs in semiconductors. Coulomb interaction implies that the optical inter-band transitions are dominated, at least at low temperatures, by excitonic effects. They are further...... and molecular systems are found and studied in the exciton-biexciton system of semiconductors. At densities where strong exciton interactions, or many-body effects, become dominant, the semiconductor Bloch equations present a more rigorous treatment of the phenomena Ultrafast degenerate four-wave mixing is used...

  15. The ATLAS semi-conductor tracker operation and performance

    International Nuclear Information System (INIS)

    Robinson, D.

    2013-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The SCT was installed and commissioned within ATLAS in 2007, and has been used to exploit fully the physics potential of the LHC since the first proton–proton collisions at 7 TeV were delivered in 2009. In this paper, its operational status throughout data taking up to the end of 2011 is presented, and its tracking performance is reviewed. -- Highlights: ► The operation and performance of the ATLAS Semi-Conductor Tracker (SCT) is reviewed. ► More than 99% of the SCT strips have remained operational in all data taking periods so far. ► Tracking performance indicators have met or exceeded design specifications. ► Radiation damage effects match closely expectations from delivered fluence.

  16. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  17. PREFACE: 16th Nordic Semiconductor Meeting

    Science.gov (United States)

    Pétur Gíslason, Hafliði; Guðmundsson, Viðar

    1994-01-01

    Some 30 years ago an informal meeting of the few Nordic specialists in semiconductor physics marked the beginning of what has become a biannual meeting of some hundred physicists and physics students from all the Nordic countries. The 16th Nordic Semiconductor Meeting took place at Laugarvatn, Iceland, June 12-15,1994. As a regional meeting the Nordic Semiconductor meeting has three characteristic features all of which distinguish it from more traditional international meetings in the field. First, it has the purpose of promoting Nordic cooperation in the international field of semiconductor physics. Research in the fields of advanced science and technology in the Nordic countries is likely to benefit from joining national forces before participating in the increasing European integration. Second, there is an unusually large fraction of graduate students amongst the participants of the Nordic Semiconductor Meeting. In fact, attending this conference is traditionally a part of the graduate program in seniconductor physics and technology. The Nordic Semiconductor Meeting is often the first conference of international character that graduate students attend in order to present a paper of poster. Third, there is an interdisciplinary quality of the meeting which is normally not the case for meetings of this size. In particular, the number of professional scientists from industry is comparable to the number of their academic colleagues. This is important for both groups, but perhaps the graduate students benefit most from presenting their results to both groups. The 16th Nordic Semiconductor Meeting, the first one in this series held in Iceland, attracted 129 active participants. The scientific programme was divided in twelve oral sessions. A novelty of this meeting was the emphasis on more fundamental physics in one of the two parallel sessions but more applied topics in the other, although the distinction was sometimes a matter of predilection. A poster session

  18. Mechanisms of current flow in metal-semiconductor ohmic contacts

    International Nuclear Information System (INIS)

    Blank, T. V.; Gol'dberg, Yu. A.

    2007-01-01

    Published data on the properties of metal-semiconductor ohmic contacts and mechanisms of current flow in these contacts (thermionic emission, field emission, thermal-field emission, and also current flow through metal shunts) are reviewed. Theoretical dependences of the resistance of an ohmic contact on temperature and the charge-carrier concentration in a semiconductor were compared with experimental data on ohmic contacts to II-VI semiconductors (ZnSe, ZnO), III-V semiconductors (GaN, AlN, InN, GaAs, GaP, InP), Group IV semiconductors (SiC, diamond), and alloys of these semiconductors. In ohmic contacts based on lightly doped semiconductors, the main mechanism of current flow is thermionic emission with the metal-semiconductor potential barrier height equal to 0.1-0.2 eV. In ohmic contacts based on heavily doped semiconductors, the current flow is effected owing to the field emission, while the metal-semiconductor potential barrier height is equal to 0.3-0.5 eV. In alloyed In contacts to GaP and GaN, a mechanism of current flow that is not characteristic of Schottky diodes (current flow through metal shunts formed by deposition of metal atoms onto dislocations or other imperfections in semiconductors) is observed

  19. Life-cycle assessment of semiconductors

    CERN Document Server

    Boyd, Sarah B

    2012-01-01

    Life-Cycle Assessment of Semiconductors presents the first and thus far only available transparent and complete life cycle assessment of semiconductor devices. A lack of reliable semiconductor LCA data has been a major challenge to evaluation of the potential environmental benefits of information technologies (IT). The analysis and results presented in this book will allow a higher degree of confidence and certainty in decisions concerning the use of IT in efforts to reduce climate change and other environmental effects. Coverage includes but is not limited to semiconductor manufacturing trends by product type and geography, unique coverage of life-cycle assessment, with a focus on uncertainty and sensitivity analysis of energy and global warming missions for CMOS logic devices, life cycle assessment of flash memory and life cycle assessment of DRAM. The information and conclusions discussed here will be highly relevant and useful to individuals and institutions. The book also: Provides a detailed, complete a...

  20. Radiation Safety in Industrial Radiography. Specific Safety Guide

    International Nuclear Information System (INIS)

    2011-01-01

    This Safety Guide provides recommendations for ensuring radiation safety in industrial radiography used in non-destructive testing. This includes industrial radiography work that utilizes X ray and gamma sources, both in shielded facilities that have effective engineering controls and in outside shielded facilities using mobile sources. Contents: 1. Introduction; 2. Duties and responsibilities; 3. Safety assessment; 4. Radiation protection programme; 5. Training and qualification; 6. Individual monitoring of workers; 7. Workplace monitoring; 8. Control of radioactive sources; 9. Safety of industrial radiography sources and exposure devices; 10. Radiography in shielded enclosures; 11. Site radiography; 12. Transport of radioactive sources; 13. Emergency preparedness and response; Appendix: IAEA categorization of radioactive sources; Annex I: Example safety assessment; Annex II: Overview of industrial radiography sources and equipment; Annex III: Examples of accidents in industrial radiography.

  1. Apparatus for testing semiconductor devices and capacitors

    International Nuclear Information System (INIS)

    York, R.A.

    1984-01-01

    An apparatus is provided for testing semiconductor devices. The apparatus tests the impedance of the semiconductor devices in both conducting and non-conducting states to detect semiconductors whose impedance in the conducting state is too high or whose impedance in the non-conducting state is too low. The apparatus uses a battery source for low voltage d.c. The circuitry for detecting when the impedance is too high in the conducting state includes a lamp in series with the battery source and the semiconductor device, whereby the impedance of the semiconductor device determines whether sufficient current will flow through the lamp to cause the lamp to illuminate. A d.c. to d.c. converter is provided to boost the voltage from the battery source to a relatively high voltage d.c. The relatively high voltage d.c. can be connected by a switch to circuitry for detecting when the impedance of the semiconductor device in the non-conducting state is too low. The circuitry for detecting when the impedance of the semiconductor device is too low includes a resistor which senses the current flowing in the device and converts the current into a voltage proportional to the leakage current. This voltage is then compared against a fixed reference. Further circuitry is provided for providing a visual indication when the voltage representative of leakage in relation to the reference signal indicates that there is excessive current flow through the semiconductor device

  2. Semiconductor nanostructures for artificial photosynthesis

    Science.gov (United States)

    Yang, Peidong

    2012-02-01

    Nanowires, with their unique capability to bridge the nanoscopic and macroscopic worlds, have already been demonstrated as important materials for different energy conversion. One emerging and exciting direction is their application for solar to fuel conversion. The generation of fuels by the direct conversion of solar energy in a fully integrated system is an attractive goal, but no such system has been demonstrated that shows the required efficiency, is sufficiently durable, or can be manufactured at reasonable cost. One of the most critical issues in solar water splitting is the development of a suitable photoanode with high efficiency and long-term durability in an aqueous environment. Semiconductor nanowires represent an important class of nanostructure building block for direct solar-to-fuel application because of their high surface area, tunable bandgap and efficient charge transport and collection. Nanowires can be readily designed and synthesized to deterministically incorporate heterojunctions with improved light absorption, charge separation and vectorial transport. Meanwhile, it is also possible to selectively decorate different oxidation or reduction catalysts onto specific segments of the nanowires to mimic the compartmentalized reactions in natural photosynthesis. In this talk, I will highlight several recent examples in this lab using semiconductor nanowires and their heterostructures for the purpose of direct solar water splitting.

  3. Specification of merger gains in the Norwegian electricity distribution industry

    International Nuclear Information System (INIS)

    Saastamoinen, Antti; Bjørndal, Endre; Bjørndal, Mette

    2017-01-01

    Electricity distribution often exhibits economies of scale. In Norway, a number of smaller distribution system operators exist and thus there is potential to restructure the industry, possibly through mergers. However, the revenue cap regulatory model in Norway does not incentivize firms to merge as merging leads to a stricter revenue cap for the merged company. Thus the regulator compensates the firms in order to create such incentives. The amount of compensation is based on the potential gains of the merger estimated using a data envelopment analysis (DEA) based frontier approach introduced by Bogetoft and Wang (2005). DEA is however only one of many possible frontier estimators that can be used in estimation. Furthermore, the returns to scale assumption, the operating environment of firms and the presence of stochastic noise and outlier observations are all known to affect to the estimation of production technology. In this paper we explore how varying assumptions under two alternative frontier estimators shape the distribution of merger gains within the Norwegian distribution industry. Our results reveal that the restructuring policies of the industry may be significantly altered depending how potential gains from the mergers are estimated. - Highlights: • The merger gains of Norwegian electricity distribution firm are investigated. • Different estimators and model specifications are applied. • Results show that the gains are significantly affected by the model choice. • Incentives to merge may be shaped through the estimation of gains.

  4. Strategic Alliances in the European Industries of the Third Industrial Revolution

    Directory of Open Access Journals (Sweden)

    Angel Calvo

    2016-07-01

    Full Text Available The dimension of the alliances has compelled experts to revise their preconceptions about the internationalization of companies and to include this strategy in their models as Uppsala model was forced to acknowledge. This article focuses on one of the alliances forged in Europe, very deviated from the practices of the majority because it was a company with exclusively European partners. It adopts the perspective of a peripheral country (Spain in the global economy and from the special status of at least one of the partners in a monopoly. Finally, the article follows case study methodology, which aims to delve into the complexity of the processes and the phenomena at hand. The article responds to the need for an alternative approach to industry analysis that is particularly important for technology-based industries and the most turbulent high-tech industries.  The first section examines the creation of European Silicon Structures as a strategic alliance in the European semiconductor industry. Parts two and three look at the case of Spain and the role of demand using the example of Telefonica. Conclusions are presented in the final section.

  5. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    Science.gov (United States)

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  6. Rectification at Graphene-Semiconductor Interfaces: Zero-Gap Semiconductor-Based Diodes

    Directory of Open Access Journals (Sweden)

    S. Tongay

    2012-01-01

    Full Text Available Using current-voltage (I-V, capacitance-voltage (C-V, and electric-field-modulated Raman measurements, we report on the unique physics and promising technical applications associated with the formation of Schottky barriers at the interface of a one-atom-thick zero-gap semiconductor (graphene and conventional semiconductors. When chemical-vapor-deposited graphene is transferred onto n-type Si, GaAs, 4H-SiC, and GaN semiconductor substrates, there is a strong van-der-Waals attraction that is accompanied by charge transfer across the interface and the formation of a rectifying (Schottky barrier. Thermionic-emission theory in conjunction with the Schottky-Mott model within the context of bond-polarization theory provides a surprisingly good description of the electrical properties. Applications can be made to sensors, where in forward bias there is exponential sensitivity to changes in the Schottky-barrier height due to the presence of absorbates on the graphene, and to analog devices, for which Schottky barriers are integral components. Such applications are promising because of graphene’s mechanical stability, its resistance to diffusion, its robustness at high temperatures, and its demonstrated capability to embrace multiple functionalities.

  7. Reflection technique for thermal mapping of semiconductors

    Science.gov (United States)

    Walter, Martin J.

    1989-06-20

    Semiconductors may be optically tested for their temperatures by illuminating them with tunable monochromatic electromagnetic radiation and observing the light reflected off of them. A transition point will occur when the wavelength of the light corresponds with the actual band gap energy of the semiconductor. At the transition point, the image of the semiconductor will appreciably darken as the light is transmitted through it, rather than being reflected off of it. The wavelength of the light at the transition point corresponds to the actual band gap energy and the actual temperature of the semiconductor.

  8. Porous and Nanoporous Semiconductors and Emerging Applications

    Directory of Open Access Journals (Sweden)

    Helmut Föll

    2006-01-01

    Full Text Available Pores in single-crystalline semiconductors can be produced in a wide range of geometries and morphologies, including the “nanometer” regime. Porous semiconductors may have properties completely different from the bulk, and metamaterials with, for example, optical properties not encountered in natural materials are emerging. Possible applications of porous semiconductors include various novel sensors, but also more “exotic” uses as, for example, high explosives or electrodes for micro-fuel cells. The paper briefly reviews pore formation (including more applied aspects of large area etching, properties of porous semiconductors, and emerging applications.

  9. Emission and Absorption Entropy Generation in Semiconductors

    DEFF Research Database (Denmark)

    Reck, Kasper; Varpula, Aapo; Prunnila, Mika

    2013-01-01

    While emission and absorption entropy generation is well known in black bodies, it has not previously been studied in semiconductors, even though semiconductors are widely used for solar light absorption in modern solar cells [1]. We present an analysis of the entropy generation in semiconductor...... materials due to emission and absorption of electromagnetic radiation. It is shown that the emission and absorption entropy generation reduces the fundamental limit on the efficiency of any semiconductor solar cell even further than the Landsberg limit. The results are derived from purely thermodynamical...

  10. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  11. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  12. Laser Cooling of 2-6 Semiconductors

    Science.gov (United States)

    2016-08-12

    AFRL-AFOSR-JP-TR-2016-0067 Laser Cooling of II-VI Semiconductors Qihua Xiong NANYANG TECHNOLOGICAL UNIVERSITY Final Report 08/12/2016 DISTRIBUTION A...From - To) 15 May 2013 to 14 May 2016 4. TITLE AND SUBTITLE Laser Cooling of II-VI Semiconductors 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-13-1...13. SUPPLEMENTARY NOTES 14. ABSTRACT The breakthrough of laser cooling in semiconductor has stimulated strong interest in further scaling up towards

  13. The floating-gate non-volatile semiconductor memory--from invention to the digital age.

    Science.gov (United States)

    Sze, S M

    2012-10-01

    In the past 45 years (from 1967 to 2012), the non-volatile semiconductor memory (NVSM) has emerged from a floating-gate concept to the prime technology driver of the largest industry in the world-the electronics industry. In this paper, we briefly review the historical development of NVSM and project its future trends to the year 2020. In addition, we consider NVSM's wide-range of applications from the digital cellular phone to tablet computer to digital television. As the device dimension is scaled down to the deca-nanometer regime, we expect that many innovations will be made to meet the scaling challenges, and NVSM-inspired technology will continue to enrich and improve our lives for decades to come.

  14. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  15. Synchrotron Physics and Industry: new opportunities for technology transfer

    International Nuclear Information System (INIS)

    Williams, P.

    2002-01-01

    Full text: In 1979, with the opening in the UK of the world's first dedicated synchrotron light source, the SRS, experimental science in virtually every discipline underwent what amounted to a major revolution. The unique nature of synchrotron radiation, with its intensity, brightness, polarization, time structure and energy spectrum offer an unequalled probe of matter in all its states. The decades since have seen the development of a wide range of associated experimental techniques which harness the power of this radiation, including photoemission, EXAFS, spectroscopy, imaging and, of course, protein crystallography. These in turn have been applied to studies from surface science to molecular biology. The advances using synchrotron radiation throughout the 1980s and '90s naturally had a major impact on fundamental research, particularly in unraveling the structures of large proteins and in understanding the properties of semiconductors and surfaces. Much of this work could not have been accomplished without access to one of the world's increasing number of synchrotron facilities, of which there are now approaching 100. However, industrial awareness of the opportunities afforded by the use of synchrotron radiation was restricted to the handful of major multinational corporations, primarily in Europe, the USA and Japan, whose fundamental research staff had access. While there were major programmes in certain specific areas, such as X-ray lithography for semiconductor LSI fabrication, the general level of industrial involvement was low. But today, this is changing. In protein crystallography, for example, the use of synchrotron radiation in structure determination puts the 1PX' technique on the same level as NMR in terms of its routine utility. It has become an essential tool to drug designers in biopharmaceuticals, where access to the structural data is increasingly thought of almost as a service, rather than fundamental research. Pioneering work on medical imaging

  16. Biomolecular detection using a metal semiconductor field effect transistor

    Science.gov (United States)

    Estephan, Elias; Saab, Marie-Belle; Buzatu, Petre; Aulombard, Roger; Cuisinier, Frédéric J. G.; Gergely, Csilla; Cloitre, Thierry

    2010-04-01

    In this work, our attention was drawn towards developing affinity-based electrical biosensors, using a MESFET (Metal Semiconductor Field Effect Transistor). Semiconductor (SC) surfaces must be prepared before the incubations with biomolecules. The peptides route was adapted to exceed and bypass the limits revealed by other types of surface modification due to the unwanted unspecific interactions. As these peptides reveal specific recognition of materials, then controlled functionalization can be achieved. Peptides were produced by phage display technology using a library of M13 bacteriophage. After several rounds of bio-panning, the phages presenting affinities for GaAs SC were isolated; the DNA of these specific phages were sequenced, and the peptide with the highest affinity was synthesized and biotinylated. To explore the possibility of electrical detection, the MESFET fabricated with the GaAs SC were used to detect the streptavidin via the biotinylated peptide in the presence of the bovine Serum Albumin. After each surface modification step, the IDS (current between the drain and the source) of the transistor was measured and a decrease in the intensity was detected. Furthermore, fluorescent microscopy was used in order to prove the specificity of this peptide and the specific localisation of biomolecules. In conclusion, the feasibility of producing an electrical biosensor using a MESFET has been demonstrated. Controlled placement, specific localization and detection of biomolecules on a MESFET transistor were achieved without covering the drain and the source. This method of functionalization and detection can be of great utility for biosensing application opening a new way for developing bioFETs (Biomolecular Field-Effect Transistor).

  17. Defense Industrial Base: Critical Infrastructure and Key Resources Sector-Specific Plan as Input to the National Infrastructure Protection Plan

    National Research Council Canada - National Science Library

    2007-01-01

    This Defense Industrial Base (DIB) Sector-Specific Plan (SSP), developed in collaboration with industry and government security partners, provides sector-level critical infrastructure and key resources (CI/KR...

  18. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  19. Progress in semiconductor drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Walton, J.; Gatti, E.

    1985-01-01

    Progress in testing semiconductor drift detectors is reported. Generally better position and energy resolutions were obtained than resolutions published previously. The improvement is mostly due to new electronics better matched to different detectors. It is shown that semiconductor drift detectors are becoming versatile and reliable detectors for position and energy measurements

  20. Photoelectronic properties of semiconductors

    CERN Document Server

    Bube, Richard H

    1992-01-01

    The interaction between light and electrons in semiconductors forms the basis for many interesting and practically significant properties. This book examines the fundamental physics underlying this rich complexity of photoelectronic properties of semiconductors, and will familiarise the reader with the relatively simple models that are useful in describing these fundamentals. The basic physics is also illustrated with typical recent examples of experimental data and observations. Following introductory material on the basic concepts, the book moves on to consider a wide range of phenomena, including photoconductivity, recombination effects, photoelectronic methods of defect analysis, photoeffects at grain boundaries, amorphous semiconductors, photovoltaic effects and photoeffects in quantum wells and superlattices. The author is Professor of Materials Science and Electrical Engineering at Stanford University, and has taught this material for many years. He is an experienced author, his earlier books having fo...

  1. Space Station - The base for tomorrow's electronic industry

    Science.gov (United States)

    Naumann, Robert J.

    1985-01-01

    The potential value of space material processing on the Space Station for the electronics industry is examined. The primary advantages of the space environment for producing high-purity semiconductors and electrooptical materials are identified as the virtual absence of gravity (suppressing buoyancy-driven convection in melts and density segregation of alloys) and the availabilty of high vacuum (with high pumping speed and heat rejection). The recent history of material development and processing technology in the electronics industry is reviewed, and the principal features of early space experiments are outlined.

  2. Production of solar chemicals: gaining selectivity with hybrid molecule/semiconductor assemblies.

    Science.gov (United States)

    Hennessey, Seán; Farràs, Pau

    2018-05-29

    Research on the production of solar fuels and chemicals has rocketed over the past decade, with a wide variety of systems proposed to harvest solar energy and drive chemical reactions. In this Feature Article we have focused on hybrid molecule/semiconductor assemblies in both powder and supported materials, summarising recent systems and highlighting the enormous possibilities offered by such assemblies to carry out highly demanding chemical reactions with industrial impact. Of relevance is the higher selectivity obtained in visible light-driven organic transformations when using molecular catalysts compared to photocatalytic materials.

  3. Field performance of an all-semiconductor laser coherent Doppler lidar

    DEFF Research Database (Denmark)

    Rodrigo, Peter John; Pedersen, Christian

    2012-01-01

    We implement and test what, to our knowledge, is the first deployable coherent Doppler lidar (CDL) system based on a compact, inexpensive all-semiconductor laser (SL). To demonstrate the field performance of our SL-CDL remote sensor, we compare a 36 h time series of averaged radial wind speeds...... measured by our instrument at an 80 m distance to those simultaneously obtained from an industry-standard sonic anemometer (SA). An excellent degree of correlation (R2=0.994 and slope=0.996) is achieved from a linear regression analysis of the CDL versus SA wind speed data. The lidar system is capable...

  4. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  5. Terahertz Nonlinear Optics in Semiconductors

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias C.

    2013-01-01

    We demonstrate the nonlinear optical effects – selfphase modulation and saturable absorption of a single-cycle THz pulse in a semiconductor. Resulting from THz-induced modulation of Drude plasma, these nonlinear optical effects, in particular, lead to self-shortening and nonlinear spectral...... breathing of a single-cycle THz pulse in a semiconductor....

  6. Squeezing in an injection-locked semiconductor laser

    Science.gov (United States)

    Inoue, S.; Machida, S.; Yamamoto, Y.; Ohzu, H.

    1993-09-01

    The intensity-noise properties of an injection-locked semiconductor laser were studied experimentally. The constant-current-driven semiconductor laser producing the amplitude-squeezed state whose intensity noise was reduced below the standard quantum limit (SQL) by 0.72 dB was injection-locked by an external master laser. The measured intensity-noise level of the injection-locked semiconductor laser was 0.91 dB below the SQL. This experimental result indicates that a phase-coherent amplitude-squeezed state or squeezed vacuum state together with a reference local oscillator wave can be generated directly by semiconductor laser systems.

  7. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  8. 18th European Conference on Mathematics for Industry

    CERN Document Server

    Capasso, Vincenzo; Nicosia, Giuseppe; Romano, Vittorio

    2016-01-01

    This book presents a collection of papers emphasizing applications of mathematical models and methods to real-world problems of relevance for industry, life science, environment, finance, and so on. The biannual Conference of ECMI (the European Consortium of Mathematics in Industry) held in 2014 focused on various aspects of industrial and applied mathematics. The five main topics addressed at the conference were mathematical models in life science, material science and semiconductors, mathematical methods in the environment, design automation and industrial applications, and computational finance. Several other topics have been treated, such as, among others, optimization and inverse problems, education, numerical methods for stiff pdes, model reduction, imaging processing, multi physics simulation, mathematical models in textile industry. The conference, which brought together applied mathematicians and experts from industry, provided a unique opportunity to exchange ideas, problems and methodologies...

  9. Waveguide based external cavity semiconductor lasers

    NARCIS (Netherlands)

    Oldenbeuving, Ruud; Klein, E.J.; Offerhaus, Herman L.; Lee, Christopher James; Verhaegen, M.; Boller, Klaus J.

    2012-01-01

    We report on progress of the project waveguide based external cavity semiconductor laser (WECSL) arrays. Here we present the latest results on our efforts to mode lock an array of tunable, external cavity semiconductor lasers.

  10. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  11. Introductory semiconductor device physics

    CERN Document Server

    Parker, Greg

    2004-01-01

    ATOMS AND BONDINGThe Periodic TableIonic BondingCovalent BondingMetallic bondingvan der Waals BondingStart a DatabaseENERGY BANDS AND EFFECTIVE MASSSemiconductors, Insulators and MetalsSemiconductorsInsulatorsMetalsThe Concept of Effective MassCARRIER CONCENTRATIONS IN SEMICONDUCTORSDonors and AcceptorsFermi-LevelCarrier Concentration EquationsDonors and Acceptors Both PresentCONDUCTION IN SEMICONDUCTORSCarrier DriftCarrier MobilitySaturated Drift VelocityMobility Variation with TemperatureA Derivation of Ohm's LawDrift Current EquationsSemiconductor Band Diagrams with an Electric Field Presen

  12. Fundamentals of semiconductor lasers

    CERN Document Server

    Numai, Takahiro

    2015-01-01

    This book explains physics under the operating principles of semiconductor lasers in detail based on the experience of the author, dealing with the first manufacturing of phase-shifted DFB-LDs and recent research on transverse modes.   The book also bridges a wide gap between journal papers and textbooks, requiring only an undergraduate-level knowledge of electromagnetism and quantum mechanics, and helps readers to understand journal papers where definitions of some technical terms vary, depending on the paper. Two definitions of the photon density in the rate equations and two definitions of the phase-shift in the phase-shifted DFB-LD are explained, and differences in the calculated results are indicated, depending on the definitions.    Readers can understand the physics of semiconductor lasers and analytical tools for Fabry-Perot LDs, DFB-LDs, and VCSELs and will be stimulated to develop semiconductor lasers themselves.

  13. New technology for the control of narrow-gap semiconductors

    International Nuclear Information System (INIS)

    Antoniou, I.; Bozhevolnov, V.; Melnikov, Yu.; Yafyasov, A.

    2003-01-01

    We present the results of the year work in the frame of the EU ESPRIT Project 28890 NTCONGS 'New technology for the control of narrow-gap semiconductors'. This work has involved both theoretical and experimental study, as well as the development of new specific equipment, towards the creation of a new generation of nanoelectronic devices able to operate at 77 K and even at room temperature

  14. Dispersion-induced nonlinearities in semiconductors

    DEFF Research Database (Denmark)

    Mørk, Jesper; Mecozzi, A.

    2002-01-01

    A dispersive and saturable medium is shown, under very general conditions, to possess ultrafast dynamic behaviour due to non-adiabatic polarisation dynamics. Simple analytical expressions relating the effect to the refractive index dispersion of a semiconductor ire derived and the magnitude...... of the equivalent Kerr coefficient is shown to be in qualitative agreement with measurements on active semiconductor waveguides....

  15. Industrial applications or electron beams

    International Nuclear Information System (INIS)

    Martin, J. I.

    2001-01-01

    Industrial use of electron beams began in the 1950's with the crosslinking of polyethylene film and wire insulation. Today the number of electron beam Processing Systems installed for industrial applications throughout the world has grown to more than six hundred stations in over 35 countries. Total installed power is now approaching 40 megawatts (over 8 million tons of products per year). Electron beam is now utilized by many major industries including plastics, automotive, rubber goods, wire and cable, electrical insulation, semiconductor, medical, packaging, or pollution control. The principal effect of high-energy electrons is to produce ions in the materials treated, resulting in the liberation of orbital electrons. As a result, the original molecule is modified and the ree radicals combine to form new molecules with new chemical reactions or dis organisation od the DNA chains of living organisms (insects, fungus, microorganisms, etc.). (Author) 8 refs

  16. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  17. Design and exploration of semiconductors from first principles: A review of recent advances

    Science.gov (United States)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  18. Applying Machine Learning to Workers' Compensation Data to Identify Industry-Specific Ergonomic and Safety Prevention Priorities: Ohio, 2001 to 2011.

    Science.gov (United States)

    Meyers, Alysha R; Al-Tarawneh, Ibraheem S; Wurzelbacher, Steven J; Bushnell, P Timothy; Lampl, Michael P; Bell, Jennifer L; Bertke, Stephen J; Robins, David C; Tseng, Chih-Yu; Wei, Chia; Raudabaugh, Jill A; Schnorr, Teresa M

    2018-01-01

    This study leveraged a state workers' compensation claims database and machine learning techniques to target prevention efforts by injury causation and industry. Injury causation auto-coding methods were developed to code more than 1.2 million Ohio Bureau of Workers' Compensation claims for this study. Industry groups were ranked for soft-tissue musculoskeletal claims that may have been preventable with biomechanical ergonomic (ERGO) or slip/trip/fall (STF) interventions. On the basis of the average of claim count and rate ranks for more than 200 industry groups, Skilled Nursing Facilities (ERGO) and General Freight Trucking (STF) were the highest risk for lost-time claims (>7 days). This study created a third, major causation-specific U.S. occupational injury surveillance system. These findings are being used to focus prevention resources on specific occupational injury types in specific industry groups, especially in Ohio. Other state bureaus or insurers may use similar methods.

  19. Semiconductor quantum-dot lasers and amplifiers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher; Borri, Paola; Ledentsov, N. N.

    2002-01-01

    -power surface emitting VCSELs. We investigated the ultrafast dynamics of quantum-dot semiconductor optical amplifiers. The dephasing time at room temperature of the ground-state transition in semiconductor quantum dots is around 250 fs in an unbiased amplifier, decreasing to below 50 fs when the amplifier...... is biased to positive net gain. We have further measured gain recovery times in quantum dot amplifiers that are significantly lower than in bulk and quantum-well semiconductor optical amplifiers. This is promising for future demonstration of quantum dot devices with high modulation bandwidth...

  20. Semiconductors: A 21st Century Social Studies Topic.

    Science.gov (United States)

    Sunal, Cynthia

    2000-01-01

    Addresses the reasons for exploring semiconductor technology and organic semiconductors in schools for either middle school or secondary students in an interdisciplinary social studies and science environment. Provides background information on transistors and semiconductors. Offers three social studies lessons and related science lessons if an…

  1. Radiation effects in semiconductors

    CERN Document Server

    2011-01-01

    There is a need to understand and combat potential radiation damage problems in semiconductor devices and circuits. Written by international experts, this book explains the effects of radiation on semiconductor devices, radiation detectors, and electronic devices and components. These contributors explore emerging applications, detector technologies, circuit design techniques, new materials, and innovative system approaches. The text focuses on how the technology is being used rather than the mathematical foundations behind it. It covers CMOS radiation-tolerant circuit implementations, CMOS pr

  2. The nonlinear carrier transport in a bipolar semiconductor sample

    International Nuclear Information System (INIS)

    Konin, A

    2008-01-01

    A theory of formation of the voltage across a bipolar semiconductor sample due to the current flow accounting for the energy band bending near the semiconductor surfaces is presented. The non-equilibrium space charge layers near the sample surfaces and the boundary conditions in the real metal-semiconductor junction have been taken into account. It is shown that the voltage-current relation of a thin sample at weak injection differs essentially from the classical Ohm's law and becomes nonlinear for certain semiconductor surface parameters. Complex voltage-current relations and the photo-induced electromotive force measurements allow determining the surface recombination rate in the real metal-semiconductor junction and the semiconductor surface potential

  3. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser; Construccion de un amplificador optico de semiconductor a partir de un laser de semiconductor Fabry-Perot

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N. [Departamento de Electronica y Telecomunicaciones, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada. Km. 107, Carretera Tijuana-Ensenada, 22860 Ensenada, Baja California (Mexico)

    2000-07-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  4. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  5. Improving the Efficiency of a Coagulation-Flocculation Wastewater Treatment of the Semiconductor Industry through Zeta Potential Measurements

    OpenAIRE

    López-Maldonado, Eduardo Alberto; Oropeza-Guzmán, Mercedes Teresita; Ochoa-Terán, Adrián

    2014-01-01

    Efficiency of coagulation-flocculation process used for semiconductor wastewater treatment was improved by selecting suitable conditions (pH, polyelectrolyte type, and concentration) through zeta potential measurements. Under this scenario the zeta potential, ζ, is the right parameter that allows studying and predicting the interactions at the molecular level between the contaminants in the wastewater and polyelectrolytes used for coagulation-flocculation. Additionally, this parameter is a k...

  6. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  7. Thienoacene-based organic semiconductors.

    Science.gov (United States)

    Takimiya, Kazuo; Shinamura, Shoji; Osaka, Itaru; Miyazaki, Eigo

    2011-10-11

    Thienoacenes consist of fused thiophene rings in a ladder-type molecular structure and have been intensively studied as potential organic semiconductors for organic field-effect transistors (OFETs) in the last decade. They are reviewed here. Despite their simple and similar molecular structures, the hitherto reported properties of thienoacene-based OFETs are rather diverse. This Review focuses on four classes of thienoacenes, which are classified in terms of their chemical structures, and elucidates the molecular electronic structure of each class. The packing structures of thienoacenes and the thus-estimated solid-state electronic structures are correlated to their carrier transport properties in OFET devices. With this perspective of the molecular structures of thienoacenes and their carrier transport properties in OFET devices, the structure-property relationships in thienoacene-based organic semiconductors are discussed. The discussion provides insight into new molecular design strategies for the development of superior organic semiconductors. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  9. Industrial and medical applications of accelerators with energies less than 20 MeV

    International Nuclear Information System (INIS)

    Duggan, J.L.

    1983-01-01

    In this paper the medical and industrial application of small accelerators is reviewed. Most of the material is taken from the Seventh Conference on the Application of Accelerators in Research and Industry, which was held in Denton, Texas in November of 1982. The areas covered include medical linacs, cyclotron design and production of medical radioisotopes, radiation processing, ion implantation for the metallurgical and semiconductor industries, oil and mineral exploration, trace, surface and bulk analysis, and unique accelerators for all of the above applications

  10. Luminescence in colloidal Mn2+-doped semiconductor nanocrystals

    International Nuclear Information System (INIS)

    Beaulac, Remi; Archer, Paul I.; Gamelin, Daniel R.

    2008-01-01

    Recent advances in nanocrystal doping chemistries have substantially broadened the variety of photophysical properties that can be observed in colloidal Mn 2+ -doped semiconductor nanocrystals. A brief overview is provided, focusing on Mn 2+ -doped II-VI semiconductor nanocrystals prepared by direct chemical synthesis and capped with coordinating surface ligands. These Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation. A brief outlook on future research directions is provided. - Graphical abstract: Mn 2+ -doped semiconductor nanocrystals are organized into three major groups according to the location of various Mn 2+ -related excited states relative to the energy gap of the host semiconductor nanocrystals. The positioning of these excited states gives rise to three distinct relaxation scenarios following photoexcitation

  11. Semiconductor photocatalysis principles and applications

    CERN Document Server

    Kisch, Horst

    2014-01-01

    Focusing on the basic principles of semiconductor photocatalysis, this book also gives a brief introduction to photochemistry, photoelectrochemistry, and homogeneous photocatalysis. In addition, the author - one of the leading authorities in the field - presents important environmental and practical aspects. A valuable, one-stop source for all chemists, material scientists, and physicists working in this area, as well as novice researchers entering semiconductor photocatalysis.

  12. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  13. Two-fluid hydrodynamic model for semiconductors

    DEFF Research Database (Denmark)

    Maack, Johan Rosenkrantz; Mortensen, N. Asger; Wubs, Martijn

    2018-01-01

    The hydrodynamic Drude model (HDM) has been successful in describing the optical properties of metallic nanostructures, but for semiconductors where several different kinds of charge carriers are present an extended theory is required. We present a two-fluid hydrodynamic model for semiconductors...

  14. The international electronics industry.

    Science.gov (United States)

    LaDou, J; Rohm, T

    1998-01-01

    High-technology microelectronics has a major presence in countries such as China, India, Indonesia, and Malaysia, now the third-largest manufacturer of semiconductor chips. The migration of European, Japanese, and American companies accommodates regional markets. Low wage rates and limited enforcement of environmental regulations in developing countries also serve as incentives for the dramatic global migration of this industry. The manufacture of microelectonics products is accompanied by a high incidence of occupational illnesses, which may reflect the widespread use of toxic materials. Metals, photoactive chemicals, solvents, acids, and toxic gases are used in a wide variety of combinations and workplace settings. The industry also presents problems of radiation exposure and various occupational stressors, including some unresolved ergonomic issues. The fast-paced changes of the technology underlying this industry, as well as the stringent security precautions, have added to the difficulty of instituting proper health and safety measures. Epidemiologic studies reveal an alarming increase in spontaneous abortions among cleanroom manufacturing workers; no definitive study has yet identified its cause. Other health issues, including occupational cancer, are yet to be studied. The microelectronics industry is a good example of an industry that is exported to many areas of the world before health and safety problems are properly addressed and resolved.

  15. Lack of enhanced photocatalytic formation of iodine on particulate semiconductor mixtures.

    Science.gov (United States)

    Karunakaran, C; Anilkumar, P; Vinayagamoorthy, P

    2012-12-01

    Under UV-A light illumination, formation of iodine from iodide ion on the surfaces of anatase TiO(2), ZnO, Fe(2)O(3), CeO(2), MoO(3), Bi(2)O(3), and Nb(2)O(5) increases with the concentration of iodide ion, airflow rate and light intensity and conform to the Langmuir-Hinshelwood kinetic model. Measurement of the particle size of the semiconductor oxides by light scattering method and deduction of the same from the determined specific surface area show that the oxide particles agglomerate in suspension. However, mixtures of any two listed particulate semiconductors do not show enhanced photocatalytic formation of iodine indicating absence of interparticle charge transfer. The results are rationalized. Copyright © 2012 Elsevier B.V. All rights reserved.

  16. Chemical engineering in the electronics industry: progress towards the rational design of organic semiconductor heterojunctions

    KAUST Repository

    Clancy, Paulette

    2012-05-01

    We review the current status of heterojunction design for combinations of organic semiconductor materials, given its central role in affecting the device performance for electronic devices and solar cell applications. We provide an emphasis on recent progress towards the rational design of heterojunctions that may lead to higher performance of charge separation and mobility. We also play particular attention to the role played by computational approaches and its potential to help define the best choice of materials for solar cell development in the future. We report the current status of the field with respect to such goals. © 2012 Elsevier Ltd.

  17. Chemical engineering in the electronics industry: progress towards the rational design of organic semiconductor heterojunctions

    KAUST Repository

    Clancy, Paulette

    2012-01-01

    We review the current status of heterojunction design for combinations of organic semiconductor materials, given its central role in affecting the device performance for electronic devices and solar cell applications. We provide an emphasis on recent progress towards the rational design of heterojunctions that may lead to higher performance of charge separation and mobility. We also play particular attention to the role played by computational approaches and its potential to help define the best choice of materials for solar cell development in the future. We report the current status of the field with respect to such goals. © 2012 Elsevier Ltd.

  18. Advances in semiconductor lasers

    CERN Document Server

    Coleman, James J; Jagadish, Chennupati

    2012-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scien

  19. Power semiconductor device adaptive cooling assembly

    NARCIS (Netherlands)

    2011-01-01

    The invention relates to a power semiconductor device (100) cooling assembly for cooling a power semiconductor device (100), wherein the assembly comprises an actively cooled heat sink (102) and a controller (208; 300), wherein the controller (208; 300) is adapted for adjusting the cooling

  20. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  1. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. SEM evaluation of metallization on semiconductors. [Scanning Electron Microscope

    Science.gov (United States)

    Fresh, D. L.; Adolphsen, J. W.

    1974-01-01

    A test method for the evaluation of metallization on semiconductors is presented and discussed. The method has been prepared in MIL-STD format for submittal as a proposed addition to MIL-STD-883. It is applicable to discrete devices and to integrated circuits and specifically addresses batch-process oriented defects. Quantitative accept/reject criteria are given for contact windows, other oxide steps, and general interconnecting metallization. Figures are provided that illustrate typical types of defects. Apparatus specifications, sampling plans, and specimen preparation and examination requirements are described. Procedures for glassivated devices and for multi-metal interconnection systems are included.

  3. 15 CFR 291.4 - National industry-specific pollution prevention and environmental compliance resource centers.

    Science.gov (United States)

    2010-01-01

    ... 15 Commerce and Foreign Trade 1 2010-01-01 2010-01-01 false National industry-specific pollution prevention and environmental compliance resource centers. 291.4 Section 291.4 Commerce and Foreign Trade Regulations Relating to Commerce and Foreign Trade NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY, DEPARTMENT OF COMMERCE NIST EXTRAMURAL PROGRAM...

  4. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  5. Dissipative chaos in semiconductor superlattices

    Directory of Open Access Journals (Sweden)

    F. Moghadam

    2008-03-01

    Full Text Available In this paper the motion of electron in a miniband of a semiconductor superlattice (SSL under the influence of external electric and magnetic fields is investigated. The electric field is applied in a direction perpendicular to the layers of the semiconductor superlattice, and the magnetic field is applied in different direction Numerical calculations show conditions led to the possibility of chaotic behaviors.

  6. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  7. The control network of air quality in the Lorraine steel industry country: an example of a specific steel industry network

    International Nuclear Information System (INIS)

    Poncin, G.

    1991-01-01

    This specific (for steel industry region) network for the air quality control mainly measures the concentrations in sulfur dioxide, airborne dust and fall out particles. The recent automation of this network implied a preliminary optimization study which consisted of a statistical analysis of the numerous data collected by many hand operated sensors. The implementation and working conditions of the new equipment have required the use of air-conditioned monoblock metallic cabins

  8. Present status of industrial utilization of radiation exposure

    International Nuclear Information System (INIS)

    Machi, Sueo

    1987-01-01

    Radioisotopes, such as Co-60 and Cs-137, and accelerators for electrically generating radiations are widely used as radiation sources for industrial radiation exposure applications. It is estimated that about 100 electron accelerators are in use in Japan as of 1985 in the industrial and R and D fields while about 300 - 400 accelerators and more than 130 Co-60 irradiation systems have been installed over the world. In the field of materials development, accelerators are currently utilized for production of cross-linked, high-temperature resistant cable cladding, production of heat-shrinkable polymer materials, pre-crosslinking of rubber sheet for tier, production of expanded polyethylene, graft copolymerization for producing diaphragms for battery, electron-beam surface coating, and lithography for semiconductor manufacturing. In the field of environmental protection, accelerators are employed for removal of sulfur dioxide and nitrogen oxides from gas emission, sterilization and composting of sludge, and electron treatment of water. Radiations are also used for sterilization of medical instruments. In addition, high-energy ion beam irradiation has already come into practical use in the field of semiconductor manufacturing and metallic surface treatment. (Nogami, K.)

  9. All-optical packet envelope detection using a slow semiconductor saturable absorber gate and a semiconductor optical amplifier

    NARCIS (Netherlands)

    Porzi, C.; Fresi, F.; Poti, L.; Bogoni, A.; Guina, M.; Orsila, L.; Okhotnikov, O.; Calabretta, N.

    2008-01-01

    Abstract—We propose a simple and effective scheme for alloptical packet envelope detection (AO-PED), exploiting a slow saturable absorber-based vertical cavity semiconductor gate and a semiconductor optical amplifier. A high extinction ratio of 15 dB was measured for the recovered envelope signal.

  10. Analysis and simulation of semiconductor devices

    CERN Document Server

    Selberherr, Siegfried

    1984-01-01

    The invention of semiconductor devices is a fairly recent one, considering classical time scales in human life. The bipolar transistor was announced in 1947, and the MOS transistor, in a practically usable manner, was demonstrated in 1960. From these beginnings the semiconductor device field has grown rapidly. The first integrated circuits, which contained just a few devices, became commercially available in the early 1960s. Immediately thereafter an evolution has taken place so that today, less than 25 years later, the manufacture of integrated circuits with over 400.000 devices per single chip is possible. Coincident with the growth in semiconductor device development, the literature concerning semiconductor device and technology issues has literally exploded. In the last decade about 50.000 papers have been published on these subjects. The advent of so called Very-Large-Scale-Integration (VLSI) has certainly revealed the need for a better understanding of basic device behavior. The miniaturization of the s...

  11. X-ray absorption spectroscopy of semiconductors

    CERN Document Server

    Ridgway, Mark

    2015-01-01

    X-ray Absorption Spectroscopy (XAS) is a powerful technique with which to probe the properties of matter, equally applicable to the solid, liquid and gas phases. Semiconductors are arguably our most technologically-relevant group of materials given they form the basis of the electronic and photonic devices that now so widely permeate almost every aspect of our society. The most effective utilisation of these materials today and tomorrow necessitates a detailed knowledge of their structural and vibrational properties. Through a series of comprehensive reviews, this book demonstrates the versatility of XAS for semiconductor materials analysis and presents important research activities in this ever growing field. A short introduction of the technique, aimed primarily at XAS newcomers, is followed by twenty independent chapters dedicated to distinct groups of materials. Topics span dopants in crystalline semiconductors and disorder in amorphous semiconductors to alloys and nanometric material as well as in-sit...

  12. Substrate effects on the formation of flat Ag films on (110) surfaces of III-V compound semiconductors

    International Nuclear Information System (INIS)

    Chao, K.; Zhang, Z.; Ebert, P.; Shih, C.K.

    1999-01-01

    Ag films grown at 135 K on (110) surfaces of III-V compound semiconductors and annealed at room temperature are investigated by scanning tunneling microscopy and low-energy electron diffraction. Ag films on Ga-V semiconductors are well ordered, atomically flat, and exhibit a specific critical thickness, which is a function of the substrate material. Films grown on In-V semiconductors are still rather flat, but significantly more disordered. The (111) oriented Ag films on III-arsenides and III-phosphides exhibit a clear twofold superstructure. Films on III-antimonides exhibit threefold low-energy electron diffraction images. The morphology of the Ag films can be explained on the basis of the electronic growth mechanism. copyright 1999 The American Physical Society

  13. Semiconductor X-ray spectrometers

    International Nuclear Information System (INIS)

    Muggleton, A.H.F.

    1978-02-01

    An outline is given of recent developments in particle and photon induced x-ray fluorescence (XRF) analysis. Following a brief description of the basic mechanism of semiconductor detector operation a comparison is made between semiconductor detectors, scintillators and gas filled proportional devices. Detector fabrication and cryostat design are described in more detail and the effects of various device parameters on system performance, such as energy resolution, count rate capability, efficiency, microphony, etc. are discussed. The main applications of these detectors in x-ray fluorescence analysis, electron microprobe analysis, medical and pollution studies are reviewed

  14. Compound semiconductor device physics

    CERN Document Server

    Tiwari, Sandip

    2013-01-01

    This book provides one of the most rigorous treatments of compound semiconductor device physics yet published. A complete understanding of modern devices requires a working knowledge of low-dimensional physics, the use of statistical methods, and the use of one-, two-, and three-dimensional analytical and numerical analysis techniques. With its systematic and detailed**discussion of these topics, this book is ideal for both the researcher and the student. Although the emphasis of this text is on compound semiconductor devices, many of the principles discussed will also be useful to those inter

  15. Photocatalytic semiconductors synthesis, characterization, and environmental applications

    CERN Document Server

    Hernández-Ramírez, Aracely

    2014-01-01

    This critical volume examines the different methods used for the synthesis of a great number of photocatalysts, including TiO2, ZnO and other modified semiconductors, as well as characterization techniques used for determining the optical, structural and morphological properties of the semiconducting materials. Additionally, the authors discuss photoelectrochemical methods for determining the light activity of the photocatalytic semiconductors by means of measurement of properties such as band gap energy, flat band potential and kinetics of hole and electron transfer. Photocatalytic Semiconductors: Synthesis, Characterization and Environmental Applications provide an overview of the semiconductor materials from first- to third-generation photocatalysts and their applications in wastewater treatment and water disinfection. The book further presents economic and toxicological aspects in the production and application of photocatalytic materials.

  16. Conductivity-limiting bipolar thermal conductivity in semiconductors

    Science.gov (United States)

    Wang, Shanyu; Yang, Jiong; Toll, Trevor; Yang, Jihui; Zhang, Wenqing; Tang, Xinfeng

    2015-01-01

    Intriguing experimental results raised the question about the fundamental mechanisms governing the electron-hole coupling induced bipolar thermal conduction in semiconductors. Our combined theoretical analysis and experimental measurements show that in semiconductors bipolar thermal transport is in general a “conductivity-limiting” phenomenon, and it is thus controlled by the carrier mobility ratio and by the minority carrier partial electrical conductivity for the intrinsic and extrinsic cases, respectively. Our numerical method quantifies the role of electronic band structure and carrier scattering mechanisms. We have successfully demonstrated bipolar thermal conductivity reduction in doped semiconductors via electronic band structure modulation and/or preferential minority carrier scatterings. We expect this study to be beneficial to the current interests in optimizing thermoelectric properties of narrow gap semiconductors. PMID:25970560

  17. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Model-based specification, analysis and synthesis of servo controllers for lithoscanners

    NARCIS (Netherlands)

    Schiffelers, R.; Alberts, W.; Voeten, J.P.M.

    2012-01-01

    ASML is the world's leading provider of complex lithography systems for the semiconductor industry. Such systems consist of numerous servo control systems. To design such control systems, a multi-disciplinary model-based development environment has been developed. It is based on a set of domain

  19. Electronic structure of filled tetrahedral semiconductors

    NARCIS (Netherlands)

    Wood, D.M.; Zunger, Alex; Groot, R. de

    1985-01-01

    We discuss the susceptibility of zinc-blende semiconductors to band-structure modification by insertion of small atoms at their tetrahedral interstitial states. GaP is found to become a direct-gap semiconductor with two He atoms present at its interstitial sites; Si does not. Analysis of the factors

  20. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  1. AC low-pressure plasmas generated by using annular-shaped electrodes for abatement of pollutants emitted during semiconductor manufacturing processes

    International Nuclear Information System (INIS)

    Hur, Min; Lee, Jae Ok; Song, Young Hoon

    2011-01-01

    A plasma abatement system operating at low pressures is set up with the aim of treating pollutants emitted by the semiconductor industry. The abatement device is characterized by using a tube-shaped reactor design and a bipolar alternating current, which allows an easy connection to pre-existing pipelines in the semiconductor industry and low installation cost, respectively. By using optical emission spectroscopy (OES) and Fourier transform infrared spectroscopy (FTIR), we analyzed the discharge characteristics and abatement efficiency with emphasis on the working pressure effect. In the case of CF 4 , the destruction and removal efficiency (DRE) is greatly reduced with increasing pressure. However, the pressure has a relatively small influence on the DRE for tetrakis(ethylmethylamino)zirconium (TEMAZ), which is significantly destroyed only with several hundred watts and without any liquefied byproducts. This difference is closely related to the spatial distribution of reactive species and to the chemical bond strengths of the pollutant's components. Finally, the applicability of the abatement device is discussed based on the experimental results.

  2. Temperature dependent electronic conduction in semiconductors

    International Nuclear Information System (INIS)

    Roberts, G.G.; Munn, R.W.

    1980-01-01

    This review describes the temperature dependence of bulk-controlled electronic currents in semiconductors. The scope of the article is wide in that it contrasts conduction mechanisms in inorganic and organic solids and also single crystal and disordered semiconductors. In many experimental situations it is the metal-semiconductor contact or the interface between two dissimilar semiconductors that governs the temperature dependence of the conductivity. However, in order to keep the length of the review within reasonable bounds, these topics have been largely avoided and emphasis is therefore placed on bulk-limited currents. A central feature of electronic conduction in semiconductors is the concentrations of mobile electrons and holes that contribute to the conductivity. Various statistical approaches may be used to calculate these densities which are normally strongly temperature dependent. Section 1 emphasizes the relationship between the position of the Fermi level, the distribution of quantum states, the total number of electrons available and the absolute temperature of the system. The inclusion of experimental data for several materials is designed to assist the experimentalist in his interpretation of activation energy curves. Sections 2 and 3 refer to electronic conduction in disordered solids and molecular crystals, respectively. In these cases alternative approaches to the conventional band theory approach must be considered. For example, the velocities of the charge carriers are usually substantially lower than those in conventional inorganic single crystal semiconductors, thus introducing the possibility of an activated mobility. Some general electronic properties of these materials are given in the introduction to each of these sections and these help to set the conduction mechanisms in context. (orig.)

  3. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  4. Semi-conducting plastics for disposable electronic devices - What are the organic semi-conductors arriving on the market?; Des plastiques semi-conducteurs pour l'electronique jetable. Qui sont les semi-conducteurs organiques qui arrivent sur le marche?

    Energy Technology Data Exchange (ETDEWEB)

    Nueesch, F. A. [EMPA, Duebendorf (Switzerland)

    2010-07-01

    This is a popularization article that describes basic properties of semi-conductors and reports on the status of research and development of organic semi-conductors. In a first part, fundamentals of semi-conductors are recalled. Comparisons are made between inorganic and organic (i.e. based on carbon polymers) compounds. Indications are given on how semi-conducting polymers are obtained. Potential applications are listed: flexible organic solar cells, light emitting diodes, flexible organic displays, intelligent cards for ticketing, etc. Research on organic semi-conductors is of great interest for industry, worldwide, and several companies are widely investing in this area.

  5. Wake fields in semiconductor plasmas

    International Nuclear Information System (INIS)

    Berezhiani, V.I.; Mahajan, S.M.

    1994-05-01

    It is shown that an intense short laser pulse propagating through a semiconductor plasma will generated longitudinal Langmuir waves in its wake. The measurable wake field can be used as a diagnostic to study nonlinear optical phenomena. For narrow gap semiconductors (for examples InSb) with Kane-type dispersion relation, the system can simulate, at currently available laser powers, the physics underlying wake-field accelerators. (author). 9 refs, 1 fig

  6. Transverse Seebeck and Peltier effect in tilted metal-semiconductor multilayer structures; Transversaler Seebeck- und Peltier-Effekt in verkippten Metall-Halbleiter-Multilagenstrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Reitmaier, Christina

    2012-07-01

    Whether in aerospace, automobile industry or in home appliances, thermoelectric effects find use in many areas of technology. This work deals with the investigation of a special form of these effects, the transversal Seebeck- and Peltier effect. Via modelling under variation of the sample parameters the cooling efficiencies, the attainable temperature differences and the Figures of merit are optimised and than suitable samples are produced according to these specifications. With these tilted metal semiconductor multilayer structures consisting of lead and bismuth telluride a transversal Peltier effect is observed. Moreover, the generation of electric power is examined via the transversal Seebeck effect. In tilted Pb-Bi2Te3 multilayers the efficiency is measured with the conversion by heat in electric power and is compared to model calculations. (orig.)

  7. 33rd International Conference on the Physics of Semiconductors

    International Nuclear Information System (INIS)

    2017-01-01

    Preface to the Proceedings of the 33rd International Conference on the Physics of Semiconductors, Beijing, 2016 Shaoyun Huang 1 , Yingjie Xing 1 , Yang Ji 2 , Dapeng Yu 3 , and Hongqi Xu 1 1 Beijing Key Laboratory of Quantum Devices, Key Laboratory for the Physics and Chemistry of Nanodevices and Department of Electronics, Peking University, Beijing 100871, China 2 SKLSM, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China 3 State Key Laboratory for Mesoscopic Physics, Department of Physics, Peking University, Beijing 100871, China From July 31 st to August 5 th , 2016, the 33rd International Conference on the Physics of Semiconductors (ICPS 2016) was held in Beijing, China, with a great success. The International Conference on the Physics of Semiconductors began in the 1950’s and is a premier biennial meeting for reporting all aspects of semiconductor physics including electronic, structural, optical, magnetic and transport properties. Reflecting the state of the art developments in semiconductor physics, ICPS 2016 served as an international forum for scholars, researchers, and specialists across the globe to discuss future research directions and technological advancements. The main topics of ICPS 2016 included: • Material growth, structural properties and characterization, phonons • Wide-bandgap semiconductors • Narrow-bandgap semiconductors • Carbon: nanotubes and graphene • 2D Materials beyond graphene • Organic semiconductors • Topological states of matter, topological Insulators and Weyl semimetals • Transport in heterostructures • Quantum Hall effects • Spintronics and spin phenomena • Electron devices and applications • Optical properties, optoelectronics, solar cells • Quantum optics, nanophotonics • Quantum information • Other topics in semiconductor physics and devices • Special topic: Majorana fermions in solid state (paper)

  8. Construction of an optical semiconductor amplifier starting from a Fabry-Perot semiconductor laser

    International Nuclear Information System (INIS)

    Garcia, E.; Soto, H.; Marquez, H.; Valles V, N.

    2000-01-01

    A methodology to convert a semiconductor laser Fabry-Perot (SL-FP) in a semiconductor optical amplifier (SOA) is presented. In order to suppress the cavity resonant an optical thin film coating was deposited on the facets of the SL-FP. The experiment was carried out putting on service a new monitoring technique that consist in the observation of the laser power spectrum during the antireflection coatings deposition. This allows to determine the moment were the facets reflectivity is minimum. The SOA obtained was characterized for different polarization currents. (Author)

  9. Below-bandgap photoreflection spectroscopy of semiconductor laser structures

    International Nuclear Information System (INIS)

    Sotnikov, Aleksandr E; Chernikov, Maksim A; Ryabushkin, Oleg A; Trubenko, P; Moshegov, N; Ovchinnikov, A

    2004-01-01

    A new method of modulated light reflection - below-bandgap photoreflection, is considered. Unlike the conventional photoreflection method, the proposed method uses optical pumping by photons of energy smaller than the bandgap of any layer of a semiconductor structure under study. Such pumping allows one to obtain the modulated reflection spectrum for all layers of the structure without excitation of photoluminescence. This method is especially promising for the study of wide-gap semiconductors. The results of the study of semiconductor structures used in modern high-power multimode semiconductor lasers are presented. (laser applications and other topics in quantum electronics)

  10. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  11. Diffusivity-mobility relationship for heavily doped semiconductors exhibiting band tails

    International Nuclear Information System (INIS)

    Khan, Arif; Das, Atanu

    2010-01-01

    A relationship between the mobility and diffusivity of semiconductors exhibiting band tails has been presented. The relationship is general enough to be applicable to both non-degenerate and degenerate semiconductors, and to semiconductors with and without band tails. It is suitable for studying electrical transport in these semiconductors.

  12. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  13. Survey of semiconductor physics

    CERN Document Server

    Böer, Karl W

    1992-01-01

    Any book that covers a large variety of subjects and is written by one author lacks by necessity the depth provided by an expert in his or her own field of specialization. This book is no exception. It has been written with the encouragement of my students and colleagues, who felt that an extensive card file I had accumulated over the years of teaching solid state and semiconductor physics would be helpful to more than just a few of us. This file, updated from time to time, contained lecture notes and other entries that were useful in my research and permitted me to give to my students a broader spectrum of information than is available in typical textbooks. When assembling this material into a book, I divided the top­ ics into material dealing with the homogeneous semiconductor, the subject of the previously published Volume 1, and the inhomoge­ neous semiconductor, the subject of this Volume 2. In order to keep the book to a manageable size, sections of tutorial character which can be used as text for a g...

  14. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  15. Specific heat of Cr-based semimagnetic semiconductors

    NARCIS (Netherlands)

    Twardowski, A.; Eggenkamp, P.J.T.; Mac, W.; Swagten, H.J.M.; Demianiuk, M.

    1993-01-01

    Specific heat of ZnCrSe and ZnCrS was measured for 1.5

  16. Do Specific Growth Drivers Exist for Firms? A Regional Analysis of Start-ups and Industrial Growth

    Directory of Open Access Journals (Sweden)

    PAUL I. OJEAGA

    2016-06-01

    Full Text Available The study of start-ups, have remained largely a micro economic issue. Firms are the key drivers of industrial sector GDP (or enterprise growth in countries across regions. Few studies have tried to examine the consequence of start-ups in the broad macroeconomics terms on enterprise growth in general with special emphasis on industrial sector output. This study provides a macroeconomic study of the effect of start- ups on industrial sector growth for countries in some specific geographical regions of the world. Panel data is utilized due to it obvious advantages such its ability to utilize a panel of short time frames and its suitability for controlling for omitted variable bias and unobservable heterogeneity across regions. The results show that start-ups remain an intrinsic variable for enterprise growth and industrial sector output discussion in general.

  17. The construction of the ATLAS semi-conductor tracker

    International Nuclear Information System (INIS)

    Jones, Tim

    2006-01-01

    The ATLAS (A Toroidal LHC ApparatuS) experiment at the Large Hadron Collider (LHC) at CERN has been designed to explore physics at the TeV energy scale and will be commissioned in 2007. In the innermost region of the experiment is a charged particle tracker, the Inner Detector of which the Semiconductor Tracker (SCT) is a major component. The SCT comprises a central barrel section enclosed by two endcaps (A and C). The construction of the major components of the ATLAS Semi-conductor tracker (SCT) is now nearing completion. Following a brief description of the design of the SCT, the logistics and organisation of the construction phase of the project are discussed. Central to the delivery of a high quality detector is the testing of large numbers of modules both during assembly and after they are mounted on their final support structures. The results of these tests for endcap C are presented showing that the electrical performance of the 988 modules to be installed in ATLAS is compatible with the specifications required

  18. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  19. Magnetic field effects in organic semiconductors : theory and simulations

    NARCIS (Netherlands)

    Kersten, S.P.

    2013-01-01

    Organic semiconductors are a promising class of materials, offering several advantages over inorganic semiconductors. They are light, flexible, easy and cheap to produce, and easily chemically tunable. Organic semiconductors are currently used for lighting applications and in the displays of some

  20. Comparative study of the performance of semiconductor laser based coherent Doppler lidars

    DEFF Research Database (Denmark)

    Rodrigo, Peter John; Pedersen, Christian

    2012-01-01

    Coherent Doppler Lidars (CDLs), operating at an eye-safe 1.5-micron wavelength, have found promising applications in the optimization of wind-power production. To meet the wind-energy sector's impending demand for more cost-efficient industrial sensors, we have focused on the development of conti......Coherent Doppler Lidars (CDLs), operating at an eye-safe 1.5-micron wavelength, have found promising applications in the optimization of wind-power production. To meet the wind-energy sector's impending demand for more cost-efficient industrial sensors, we have focused on the development...... of continuous-wave CDL systems using compact, inexpensive semiconductor laser (SL) sources. In this work, we compare the performance of two candidate emitters for an allsemiconductor CDL system: (1) a monolithic master-oscillator-power-amplifier (MOPA) SL and (2) an external-cavity tapered diode laser (ECTDL)....

  1. High mobility emissive organic semiconductor

    Science.gov (United States)

    Liu, Jie; Zhang, Hantang; Dong, Huanli; Meng, Lingqiang; Jiang, Longfeng; Jiang, Lang; Wang, Ying; Yu, Junsheng; Sun, Yanming; Hu, Wenping; Heeger, Alan J.

    2015-01-01

    The integration of high charge carrier mobility and high luminescence in an organic semiconductor is challenging. However, there is need of such materials for organic light-emitting transistors and organic electrically pumped lasers. Here we show a novel organic semiconductor, 2,6-diphenylanthracene (DPA), which exhibits not only high emission with single crystal absolute florescence quantum yield of 41.2% but also high charge carrier mobility with single crystal mobility of 34 cm2 V−1 s−1. Organic light-emitting diodes (OLEDs) based on DPA give pure blue emission with brightness up to 6,627 cd m−2 and turn-on voltage of 2.8 V. 2,6-Diphenylanthracene OLED arrays are successfully driven by DPA field-effect transistor arrays, demonstrating that DPA is a high mobility emissive organic semiconductor with potential in organic optoelectronics. PMID:26620323

  2. The Specifics of the Internationalization Process of Czech SMEs in the Food Industry

    Directory of Open Access Journals (Sweden)

    Marcela Tuzová

    2017-01-01

    Full Text Available The aim of this paper is to define the specifics of the internationalization process of Czech small and medium-sized enterprises (SMEs in the food industry. The food industry is the largest manufacturing sector in the EU which consists mainly of SMEs. However, in the Czech Republic it has to face increasing imports of foreign food products because of growing globalization, while exports lag behind. Thus, enterprises should be encouraged to internationalize in a greater extent to maintain their competitiveness. The paper explores the main motives, barriers and risks involved in internationalization as perceived by these enterprises. The results are based on primary data obtained by questionnaire surveys performed among Czech food industry SMEs, thus it is based on data about SME’s real experiences and perception of interntaionalization process. According to our results, Czech SMEs from food industry are driven to internationalize mainly by their efforts to grow or by unsolicited foreign demand for their product. However, as the biggest barriers are perceived those connected with the lack of knowledge about foreign market and administrative requirements. The identified motives and barriers are compared with results of similar researches from Slovakia and Poland. Moreover, the results of Czech SMEs risk perception in internationalization are depicted in risk matrix which assess not only the effect of risk but also the possibility of its occurrence.

  3. Graded core/shell semiconductor nanorods and nanorod barcodes

    Science.gov (United States)

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2010-12-14

    Graded core/shell semiconductor nanorods and shaped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  4. Influence of phonons on semiconductor quantum emission

    Energy Technology Data Exchange (ETDEWEB)

    Feldtmann, Thomas

    2009-07-06

    A microscopic theory of interacting charge carriers, lattice vibrations, and light modes in semiconductor systems is presented. The theory is applied to study quantum dots and phonon-assisted luminescence in bulk semiconductors and heterostructures. (orig.)

  5. Doping of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Luessem, B.; Riede, M.; Leo, K. [Institut fuer Angewandte Photophysik, TU Dresden (Germany)

    2013-01-15

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Doping of organic semiconductors

    International Nuclear Information System (INIS)

    Luessem, B.; Riede, M.; Leo, K.

    2013-01-01

    The understanding and applications of organic semiconductors have shown remarkable progress in recent years. This material class has been developed from being a lab curiosity to the basis of first successful products as small organic LED (OLED) displays; other areas of application such as OLED lighting and organic photovoltaics are on the verge of broad commercialization. Organic semiconductors are superior to inorganic ones for low-cost and large-area optoelectronics due to their flexibility, easy deposition, and broad variety, making tailor-made materials possible. However, electrical doping of organic semiconductors, i.e. the controlled adjustment of Fermi level that has been extremely important to the success of inorganic semiconductors, is still in its infancy. This review will discuss recent work on both fundamental principles and applications of doping, focused primarily to doping of evaporated organic layers with molecular dopants. Recently, both p- and n-type molecular dopants have been developed that lead to efficient and stable doping of organic thin films. Due to doping, the conductivity of the doped layers increases several orders of magnitude and allows for quasi-Ohmic contacts between organic layers and metal electrodes. Besides reducing voltage losses, doping thus also gives design freedom in terms of transport layer thickness and electrode choice. The use of doping in applications like OLEDs and organic solar cells is highlighted in this review. Overall, controlled molecular doping can be considered as key enabling technology for many different organic device types that can lead to significant improvements in efficiencies and lifetimes. (Copyright copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Tailoring Psychosocial Risk Assessment in the Oil and Gas Industry by Exploring Specific and Common Psychosocial Risks

    Directory of Open Access Journals (Sweden)

    Linn Iren Vestly Bergh

    2018-03-01

    Full Text Available Background: Psychosocial risk management [Psychosocial Risk Management Approach (PRIMA] has, through the years, been applied in several organizations in various industries and countries globally. PRIMA principles have also been translated into international frameworks, such as PRIMA-EF (European framework and the World Health Organization Healthy Workplace Framework. Over the past 10 years, an oil and gas company has put efforts into adopting and implementing international frameworks and standards for psychosocial risk management. More specifically, the company uses a PRIMA. Methods: This study explores available quantitative and qualitative risk data collected through the PRIMA method over the past 8 years in order to explore specific and common psychosocial risks in the petroleum industry. Results: The analyses showed a significant correlation between job resources and symptoms of work-related stress, there was a significant correlation between job demands and symptoms of work-related stress, and there were differences in psychosocial risk factors and symptoms of work-related stress onshore and offshore. The study also offers recommendations on how the results can further be utilized in building a robust system for managing psychosocial risks in the industry. Conclusion: The results from the analyses have provided meaningful and important information about the company-specific psychosocial risk factors and their impact on health and well-being. Keywords: oil and gas industry, psychosocial risk factors, psychosocial risk management

  8. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    Energy Technology Data Exchange (ETDEWEB)

    Halbwax, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Sarnet, T. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France)], E-mail: sarnet@lp3.univ-mrs.fr; Hermann, J.; Delaporte, Ph.; Sentis, M. [Laboratoire LP3 CNRS UMR 6182, Parc Scientifique et Technologique de Luminy, Case 917, 163 Avenue de Luminy, 13009 Marseille (France); Fares, L.; Haller, G. [STMicroelectronics, 190 Avenue Celestin Coq, ZI, 13106 Rousset Cedex (France)

    2007-12-15

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring.

  9. Micromachining of semiconductor by femtosecond laser for integrated circuit defect analysis

    International Nuclear Information System (INIS)

    Halbwax, M.; Sarnet, T.; Hermann, J.; Delaporte, Ph.; Sentis, M.; Fares, L.; Haller, G.

    2007-01-01

    The latest International Technology Roadmap for Semiconductors (ITRS) has highlighted the detection and analysis of defects in Integrated Circuits (IC) as a major challenge faced by the semiconductor industry. Advanced tools used today for defect cross sectioning include dual beams (focused ion- and electron-beam technologies) with resolution down to the sub-Angstrom level. However ion milling an IC with a FIB is time consuming because of the need to open wide cavities in front of the cross-sections that need to be analyzed. Therefore the use of a femtosecond laser as a tool for direct material removal is discussed in this paper. Experiments were performed on IC structures to reveal the different layers of fabrication: selective or total ablation can occur depending on the laser energy density, without delamination of the layers. Different laser irradiation conditions like pressure (air, vacuum), polarization, beam shaping, and scanning parameters have been used to produce different types of cavities. The femtosecond laser engraving of silicon-based structures could be useful for cross-sectioning devices but also for other applications like direct-write lithography, photomask repair, maskless implantation or reverse engineering/restructuring

  10. Metal-semiconductor interface in extreme temperature conditions

    International Nuclear Information System (INIS)

    Bulat, L.P.; Erofeeva, I.A.; Vorobiev, Yu.V.; Gonzalez-Hernandez, J.

    2008-01-01

    We present an investigation of electrons' and phonons' temperatures in the volume of a semiconductor (or metal) sample and at the interface between metal and semiconductor. Two types of mismatch between electrons' and phonons' temperatures take place: at metal-semiconductor interfaces and in the volume of the sample. The temperature mismatch leads to nonlinear terms in expressions for heat and electricity transport. The nonlinear effects should be taken into consideration in the study of electrical and heat transport in composites and in electronic chips

  11. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  12. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications.

    Science.gov (United States)

    Wen, Lin; Qiu, Liping; Wu, Yongxiang; Hu, Xiaoxiao; Zhang, Xiaobing

    2017-07-28

    Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  13. Aptamer-Modified Semiconductor Quantum Dots for Biosensing Applications

    Directory of Open Access Journals (Sweden)

    Lin Wen

    2017-07-01

    Full Text Available Semiconductor quantum dots have attracted extensive interest in the biosensing area because of their properties, such as narrow and symmetric emission with tunable colors, high quantum yield, high stability and controllable morphology. The introduction of various reactive functional groups on the surface of semiconductor quantum dots allows one to conjugate a spectrum of ligands, antibodies, peptides, or nucleic acids for broader and smarter applications. Among these ligands, aptamers exhibit many advantages including small size, high chemical stability, simple synthesis with high batch-to-batch consistency and convenient modification. More importantly, it is easy to introduce nucleic acid amplification strategies and/or nanomaterials to improve the sensitivity of aptamer-based sensing systems. Therefore, the combination of semiconductor quantum dots and aptamers brings more opportunities in bioanalysis. Here we summarize recent advances on aptamer-functionalized semiconductor quantum dots in biosensing applications. Firstly, we discuss the properties and structure of semiconductor quantum dots and aptamers. Then, the applications of biosensors based on aptamer-modified semiconductor quantum dots by different signal transducing mechanisms, including optical, electrochemical and electrogenerated chemiluminescence approaches, is discussed. Finally, our perspectives on the challenges and opportunities in this promising field are provided.

  14. Semiconductor plasmonic crystals: active control of THz extinction

    International Nuclear Information System (INIS)

    Schaafsma, M C; Rivas, J Gómez

    2013-01-01

    We investigate theoretically the enhanced THz extinction by periodic arrays of semiconductor particles. Scattering particles of doped semiconductors can sustain localized surface plasmon polaritons, which can be diffractively coupled giving rise to surface lattice resonances. These resonances are characterized by a large extinction and narrow bandwidth, which can be tuned by controlling the charge carrier density in the semiconductor. The underlaying mechanism leading to this tuneability is explained using the coupled dipole approximation and considering GaAs as the semiconductor. The enhanced THz extinction in arrays of GaAs particles could be tuned in a wide range by optical pumping of charge carriers. (invited article)

  15. Colloidal crystal formation in a semiconductor quantum plasma

    International Nuclear Information System (INIS)

    Zeba, I.; Uzma, Ch.; Jamil, M.; Salimullah, M.; Shukla, P. K.

    2010-01-01

    The static shielding and the far-field dynamical oscillatory wake potentials in an ion-implanted piezoelectric semiconductor with colloid ions as test particles have been investigated in detail. The dielectric response function of the semiconductor is contributed by the quantum effect of electrons through the Bohm potential and lattice electron-phonon coupling effects. It is found that the quantum effect causes tighter binding of the electrons reducing the quantum Debye shielding length and the effective length of the wake potential to several angstroms. Hence, a quasiquantum lattice of colloid ions can be formed in the semiconductor in the quantum scales giving rise to drastic modifications of the ion-implanted semiconductor properties.

  16. CANDIED WATERMELON. INDUSTRIAL RAW MATERIALS (SPECIFICATIONS

    Directory of Open Access Journals (Sweden)

    L. V. Pavlov

    2016-01-01

    Full Text Available The purpose of the standard is the valuation of the indicators of quality of candied fruit watermelon, cooked in sugar syrup, dried and coated in granulated sugar intended for industrial processing and nutrition. This standard is developed for the first time in the Russian Federation.

  17. Biggest semiconductor installed

    CERN Multimedia

    2008-01-01

    Scientists and technicians at the European Laboratory for Particle Physics, commonly known by its French acronym CERN (Centre Europen pour la Recherche Nuclaire), have completed the installation of the largest semiconductor silicon detector.

  18. Research and Design on a Product Data Definition System of Semiconductor Packaging Industry

    Science.gov (United States)

    Shi, Jinfei; Ma, Qingyao; Zhou, Yifan; Chen, Ruwen

    2017-12-01

    This paper develops a product data definition (PDD) system for a semiconductor packaging and testing company with independent intellectual property rights. The new PDD system can solve the problems such as, the effective control of production plans, the timely feedback of production processes, and the efficient schedule of resources. Firstly, this paper introduces the general requirements of the PDD system and depicts the operation flow and the data flow of the PDD system. Secondly, the overall design scheme of the PDD system is put forward. After that, the physical data model is developed using the Power Designer15.0 tool, and the database system is built. Finally, the function realization and running effects of the PDD system are analysed. The successful operation of the PDD system can realize the information flow among various production departments of the enterprise to meet the standard of the enterprise manufacturing integration and improve the efficiency of production management.

  19. Industry-Oriented Laboratory Development for Mixed-Signal IC Test Education

    Science.gov (United States)

    Hu, J.; Haffner, M.; Yoder, S.; Scott, M.; Reehal, G.; Ismail, M.

    2010-01-01

    The semiconductor industry is lacking qualified integrated circuit (IC) test engineers to serve in the field of mixed-signal electronics. The absence of mixed-signal IC test education at the collegiate level is cited as one of the main sources for this problem. In response to this situation, the Department of Electrical and Computer Engineering at…

  20. The Physics of Semiconductors An Introduction Including Devices and Nanophysics

    CERN Document Server

    Grundmann, Marius

    2006-01-01

    The Physics of Semiconductors provides material for a comprehensive upper-level-undergrauate and graduate course on the subject, guiding readers to the point where they can choose a special topic and begin supervised research. The textbook provides a balance between essential aspects of solid-state and semiconductor physics, on the one hand, and the principles of various semiconductor devices and their applications in electronic and photonic devices, on the other. It highlights many practical aspects of semiconductors such as alloys, strain, heterostructures, nanostructures, that are necessary in modern semiconductor research but typically omitted in textbooks. For the interested reader some additional advanced topics are included, such as Bragg mirrors, resonators, polarized and magnetic semiconductors are included. Also supplied are explicit formulas for many results, to support better understanding. The Physics of Semiconductors requires little or no prior knowledge of solid-state physics and evolved from ...