WorldWideScience

Sample records for semiconductor grade silicon

  1. Semiconductor Grade, Solar Silicon Purification Project. [photovoltaic solar energy conversion

    Science.gov (United States)

    Ingle, W. M.; Rosler, R. S.; Thompson, S. W.; Chaney, R. E.

    1979-01-01

    A low cost by-product, SiF4, is reacted with mg silicon to form SiF2 gas which is polymerized. The (SiF2)x polymer is heated forming volatile SixFy homologues which disproportionate on a silicon particle bed forming silicon and SiF4. The silicon analysis procedure relied heavily on mass spectroscopic and emission spectroscopic analysis. These analyses demonstrated that major purification had occured and some samples were indistinguishable from semiconductor grade silicon (except possibly for phosphorus). However, electrical analysis via crystal growth reveal that the product contains compensated phosphorus and boron.

  2. Manufacture of ribbon and solar cells of material of semiconductor grade

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described of producing ribbon-like substantially monocrystalline bodies of silicon or other materials of semiconductor grade suitable for use in solar cells or other semiconductor devices. A tube of the material is made and a photovoltaic junction formed in it. The tube is then divided lengthwise into a number of ribbon-like bodies. The photovoltaic junction can be formed either by diffusion or by ion-implantation. (U.K.)

  3. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  4. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  5. Low Cost Solar Array Project. Feasibility of the silane process for producing semiconductor-grade silicon. Final report, October 1975-March 1979

    Energy Technology Data Exchange (ETDEWEB)

    1979-06-01

    The commercial production of low-cost semiconductor-grade silicon is an essential requirement of the JPL/DOE (Department of Energy) Low-Cost Solar Array (LSA) Project. A 1000-metric-ton-per-year commercial facility using the Union Carbide Silane Process will produce molten silicon for an estimated price of $7.56/kg (1975 dollars, private financing), meeting the DOE goal of less than $10/kg. Conclusions and technology status are reported for both contract phases, which had the following objectives: (1) establish the feasibility of Union Carbide's Silane Process for commercial application, and (2) develop an integrated process design for an Experimental Process System Development Unit (EPSDU) and a commercial facility, and estimate the corresponding commercial plant economic performance. To assemble the facility design, the following work was performed: (a) collection of Union Carbide's applicable background technology; (b) design, assembly, and operation of a small integrated silane-producing Process Development Unit (PDU); (c) analysis, testing, and comparison of two high-temperature methods for converting pure silane to silicon metal; and (d) determination of chemical reaction equilibria and kinetics, and vapor-liquid equilibria for chlorosilanes.

  6. Fast determination of impurities in metallurgical grade silicon for photovoltaics by instrumental neutron activation analysis

    International Nuclear Information System (INIS)

    Hampel, J.; Boldt, F.M.; Gerstenberg, H.; Hampel, G.; Kratz, J.V.; Reber, S.; Wiehl, N.

    2011-01-01

    Standard wafer solar cells are made of near-semiconductor quality silicon. This high quality material makes up a significant part of the total costs of a solar module. Therefore, new concepts with less expensive so called solar grade silicon directly based on physiochemically upgraded metallurgical grade silicon are investigated. Metallurgical grade silicon contains large amounts of impurities, mainly transition metals like Fe, Cr, Mn, and Co, which degrade the minority carrier lifetime and thus the solar cell efficiency. A major reduction of the transition metal content occurs during the unidirectional crystallization due to the low segregation coefficient between the solid and liquid phase. A further reduction of the impurity level has to be done by gettering procedures applied to the silicon wafers. The efficiency of such cleaning procedures of metallurgical grade silicon is studied by instrumental neutron activation analysis (INAA). Small sized silicon wafers of approximately 200 mg with and without gettering step were analyzed. To accelerate the detection of transition metals in a crystallized silicon ingot, experiments of scanning whole vertical silicon columns with a diameter of approximately 1 cm by gamma spectroscopy were carried out. It was demonstrated that impurity profiles can be obtained in a comparably short time. Relatively constant transition metal ratios were found throughout an entire silicon ingot. This led to the conclusion that the determination of several metal profiles might be possible by the detection of only one 'leading element'. As the determination of Mn in silicon can be done quite fast compared to elements like Fe, Cr, and Co, it could be used as a rough marker for the overall metal concentration level. Thus, a fast way to determine impurities in photovoltaic silicon material is demonstrated. - Highlights: → We demonstrate a fast way to determine impurities in photovoltaic silicon by NAA. → We make first experiments of locally

  7. General specifications for silicon semiconductors for use in radiation dosimetry

    International Nuclear Information System (INIS)

    Rikner, G.; Grusell, E.

    1987-01-01

    Silicon semiconductor detectors used in radiation dosimetry have different properties, just as e.g. ionisation chambers, affecting the interaction of radiation with matter in the vicinity of the sensitive volume of the detector, e.g. wall materials, and also the collection of the charges liberated in the detector by the radiation. The charge collection depends on impurities, lattice imperfections and other properties of the semiconductor crystal. In this paper the relevant parameters of a silicon semiconductor detector intended for dosimetry are reviewed. The influence of doping material, doping level, various effects of radiation damage, mechanical construction, detector size, statistical noise and connection to the electrometer are discussed. (author)

  8. Diffusion in semiconductors, other than silicon compilation

    CERN Document Server

    Fisher, David J

    2011-01-01

    Review from Book News Inc.: Summary reports of 337 experiments provide information on the diffusion of matter and heat in 31 materials used in semiconductors. Most of the compounds are based on cadmium, gallium, indium, lead, and zinc. Mercury telluride is included however, as is silicon carbide for some reason. Each article is thoroughly referenced to the authors and publication number, date, and page. The arrangement is alphabetical by semiconductor material. Indexes cover authors, hosts, and diffusants.

  9. Recycling of silicon: from industrial waste to biocompatible nanoparticles for nanomedicine

    Science.gov (United States)

    Kozlov, N. K.; Natashina, U. A.; Tamarov, K. P.; Gongalsky, M. B.; Solovyev, V. V.; Kudryavtsev, A. A.; Sivakov, V.; Osminkina, L. A.

    2017-09-01

    The formation of photoluminescent porous silicon (PSi) nanoparticles (NPs) is usually based on an expensive semiconductor grade wafers technology. Here, we report a low-cost method of PSi NPs synthesis from the industrial silicon waste remained after the wafer production. The proposed method is based on metal-assisted wet-chemical etching (MACE) of the silicon surface of cm-sized metallurgical grade silicon stones which leads to a nanostructuring of the surface due to an anisotropic etching, with subsequent ultrasound fracturing in water. The obtained PSi NPs exhibit bright red room temperature photoluminescence (PL) and demonstrate similar microstructure and physical characteristics in comparison with the nanoparticles synthesized from semiconductor grade Si wafers. PSi NPs prepared from metallurgical grade silicon stones, similar to silicon NPs synthesized from high purity silicon wafer, show low toxicity to biological objects that open the possibility of using such type of NPs in nanomedicine.

  10. Graded core/shell semiconductor nanorods and nanorod barcodes

    Science.gov (United States)

    Alivisatos, A. Paul; Scher, Erik C.; Manna, Liberato

    2010-12-14

    Graded core/shell semiconductor nanorods and shaped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.

  11. Polycrystalline silicon availability for photovoltaic and semiconductor industries

    Science.gov (United States)

    Ferber, R. R.; Costogue, E. N.; Pellin, R.

    1982-01-01

    Markets, applications, and production techniques for Siemens process-produced polycrystalline silicon are surveyed. It is noted that as of 1982 a total of six Si materials suppliers were servicing a worldwide total of over 1000 manufacturers of Si-based devices. Besides solar cells, the Si wafers are employed for thyristors, rectifiers, bipolar power transistors, and discrete components for control systems. An estimated 3890 metric tons of semiconductor-grade polycrystalline Si will be used in 1982, and 6200 metric tons by 1985. Although the amount is expected to nearly triple between 1982-89, research is being carried out on the formation of thin films and ribbons for solar cells, thereby eliminating the waste produced in slicing Czolchralski-grown crystals. The free-world Si production in 1982 is estimated to be 3050 metric tons. Various new technologies for the formation of polycrystalline Si at lower costs and with less waste are considered. New entries into the industrial Si formation field are projected to produce a 2000 metric ton excess by 1988.

  12. Effective carrier sweepout in a silicon waveguide by a metal-semiconductor-metal structure

    DEFF Research Database (Denmark)

    Ding, Yunhong; Hu, Hao; Ou, Haiyan

    2015-01-01

    We demonstrate effective carrier depletion by metal-semiconductor-metal junctions for a silicon waveguide. Photo-generated carriers are efficiently swept out by applying bias voltages, and a shortest carrier lifetime of only 55 ps is demonstrated.......We demonstrate effective carrier depletion by metal-semiconductor-metal junctions for a silicon waveguide. Photo-generated carriers are efficiently swept out by applying bias voltages, and a shortest carrier lifetime of only 55 ps is demonstrated....

  13. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  14. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  15. Obtaining of polycrystalline silicon for semiconductor industry

    International Nuclear Information System (INIS)

    Mukashev, F.; Nauryzbaev, M.; Kolesnikov, B.; Ivanov, Y.

    1996-01-01

    The purpose of the project is to create pilot equipment and optimize the process of obtaining polycrystalline silicon on semi-industrial level. In the past several decades, the historical experience in the developing countries has shown that one of the most promising ways to improve the economy,of a country is to establish semiconductor industry. First of all, the results can help increase defense, national security and create industrial production. The silane method, which has been traditionally' used for obtaining technical and polycrystalline silicon, is to obtain and then to pyrolyzed mono-and poly silanes. Although the traditional methods of obtaining silicon hydrides have specific advantages, such as utilizing by-products, they also have clear shortcomings, i.e. either low output of the ultimate product ( through hydrolysis of Mg 2 Si) or high contents of by-products in it or high contents of dissolving vapors (through decomposing Mg 2 Si in non-water solutions)

  16. Electron Beam Induced Radiation Damage of the Semiconductor Radiation Detector based on Silicon

    International Nuclear Information System (INIS)

    Kim, Han Soo; Kim, Yong Kyun; Park, Se Hwan; Haa, Jang Ho; Kang, Sang Mook; Chung, Chong Eun; Cho, Seung Yeon; Park, Ji Hyun; Yoon, Tae Hyung

    2005-01-01

    A Silicon Surface Barrier (SSB) semiconductor detector which is generally used to detect a charged particle such as an alpha particle was developed. The performance of the developed SSB semiconductor detector was measured with an I-V curve and an alpha spectrum. The response for an alpha particle was measured by Pu-238 sources. A SSB semiconductor detector was irradiated firstly at 30sec, at 30μA and secondly 40sec, 40μA with a 2MeV pulsed electron beam generator in KAERI. And the electron beam induced radiation damage of a homemade SSB detector and the commercially available PIN photodiode were investigated. An annealing effect of the damaged SSB and PIN diode detector were also investigated using a Rapid Thermal Annealing (RTA). This data may assist in designing the silicon based semiconductor radiation detector when it is operated in a high radiation field such as space or a nuclear power plant

  17. Energy response of neutron area monitor with silicon semiconductor detector

    International Nuclear Information System (INIS)

    Kitaguchi, Hiroshi; Izumi, Sigeru; Kobayashi, Kaoru; Kaihara, Akihisa; Nakamura, Takashi.

    1993-01-01

    A prototype neutron area monitor with a silicon semiconductor detector has been developed which has the energy response of 1 cm dose equivalent recommended by the ICRP-26. Boron and proton radiators are coated on the surface of the silicon semiconductor detector. The detector is set at the center of a cylindrical polyethylene moderator. This moderator is covered by a porous cadmium board which serves as the thermal neutron absorber. Neutrons are detected as α-particles generated by the nuclear reaction 10 B(n,α) 7 Li and as recoil protons generated by the interaction of fast neutrons with hydrogen. The neutron energy response of the monitor was measured using thermal neutrons and monoenergetic fast neutrons generated by an accelerator. The response was consistent with the 1 cm dose equivalent response required for the monitor within ±34% in the range of 0.025 - 15 Mev. (author)

  18. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  19. Current measurement method for characterization of fast switching power semiconductors with Silicon Steel Current Transformer

    DEFF Research Database (Denmark)

    Li, Helong; Beczkowski, Szymon; Munk-Nielsen, Stig

    2015-01-01

    This paper proposes a novel current measurement method with Silicon Steel Current Transformer (SSCT) for the characterization of fast switching power semiconductors. First, the existing current sensors for characterization of fast switching power semiconductors are experimentally evaluated...

  20. Silicon is in short supply for the growth in solar cell production

    International Nuclear Information System (INIS)

    Halvorsen, Finn

    2003-01-01

    Polycrystalline silicon will be in short supply by 2006. This is the conclusion of two independent studies, one done for the European Union and one for the Photovoltaic Industry Association. The most important reason is the rapid growth in the solar cell market, which is expected to be about 15 per cent per year until 2010. If so, the world's solar cell manufacturers will need 8,000 tonnes of pure silicon at that time. This growth presupposes that the price of silicon does not rise, but it readily might. Because the general situation for the semiconductor industry has been difficult, silicon has been readily available to the manufacturers of solar cells in recent years. This is true of discard, which has always been used for solar cells, but also of silicon that was intended to become microprocessors, storage chips and other advanced semiconductor devices. As the semiconductor market improves, the amount of silicon from this source will shrink. Manufacturers of solar cells cannot afford to pay as much as the semiconductor manufacturers, and some consider making solar cell grade silicon themselves

  1. Effect of barrier height on friction behavior of the semiconductors silicon and gallium arsenide in contact with pure metals

    Science.gov (United States)

    Mishina, H.; Buckley, D. H.

    1984-01-01

    Friction experiments were conducted for the semiconductors silicon and gallium arsenide in contact with pure metals. Polycrystalline titanium, tantalum, nickel, palladium, and platinum were made to contact a single crystal silicon (111) surface. Indium, nickel, copper, and silver were made to contact a single crystal gallium arsenide (100) surface. Sliding was conducted both in room air and in a vacuum of 10 to the minus 9th power torr. The friction of semiconductors in contact with metals depended on a Schottky barrier height formed at the metal semiconductor interface. Metals with a higher barrier height on semiconductors gave lower friction. The effect of the barrier height on friction behavior for argon sputtered cleaned surfaces in vacuum was more specific than that for the surfaces containing films in room air. With a silicon surface sliding on titanium, many silicon particles back transferred. In contrast, a large quantity of indium transferred to the gallium arsenide surface.

  2. A 75 GHz silicon metal-semiconductor-metal Schottky photodiode

    International Nuclear Information System (INIS)

    Alexandrou, S.; Wang, C.; Hsiang, T.Y.; Liu, M.Y.; Chou, S.Y.

    1993-01-01

    The ultrafast characteristics of crystalline-silicon metal-semiconductor-metal (MSM) photodiodes with 300 nm finger width and spacing were measured with a subpicosecond electro-optic sampling system. Electrical responses with full width at half maximum as short as 5.5 and 11 ps, at corresponding 3 dB bandwidths of 75 and 38 GHz, were generated by violet and red photons, respectively. The difference is attributed to the photon penetration depth which is much larger than the diode finger spacing at red, but smaller at violet. Light-intensity dependence was also examined at different wavelengths, indicating a linear relation and a higher sensitivity in the violet. These results not only demonstrated the fastest silicon photodetector reported to date, but also pinpointed the dominant speed-limiting factor of silicon MSM photodiodes. A configuration is suggested to improve the speed of these detectors at long wavelengths

  3. Progress in complementary metal–oxide–semiconductor silicon photonics and optoelectronic integrated circuits

    International Nuclear Information System (INIS)

    Chen Hongda; Zhang Zan; Huang Beiju; Mao Luhong; Zhang Zanyun

    2015-01-01

    Silicon photonics is an emerging competitive solution for next-generation scalable data communications in different application areas as high-speed data communication is constrained by electrical interconnects. Optical interconnects based on silicon photonics can be used in intra/inter-chip interconnects, board-to-board interconnects, short-reach communications in datacenters, supercomputers and long-haul optical transmissions. In this paper, we present an overview of recent progress in silicon optoelectronic devices and optoelectronic integrated circuits (OEICs) based on a complementary metal–oxide–semiconductor-compatible process, and focus on our research contributions. The silicon optoelectronic devices and OEICs show good characteristics, which are expected to benefit several application domains, including communication, sensing, computing and nonlinear systems. (review)

  4. Upgraded metallurgical-grade silicon solar cells with efficiency above 20%

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, P.; Rougieux, F. E.; Samundsett, C.; Yang, Xinbo; Wan, Yimao; Macdonald, D. [Research School of Engineering, College of Engineering and Computer Science, The Australian National University, Canberra, Australian Capital Terrritory 2601 (Australia); Degoulange, J.; Einhaus, R. [Apollon Solar, 66 Cours Charlemagne, Lyon 69002 (France); Rivat, P. [FerroPem, 517 Avenue de la Boisse, Chambery Cedex 73025 (France)

    2016-03-21

    We present solar cells fabricated with n-type Czochralski–silicon wafers grown with strongly compensated 100% upgraded metallurgical-grade feedstock, with efficiencies above 20%. The cells have a passivated boron-diffused front surface, and a rear locally phosphorus-diffused structure fabricated using an etch-back process. The local heavy phosphorus diffusion on the rear helps to maintain a high bulk lifetime in the substrates via phosphorus gettering, whilst also reducing recombination under the rear-side metal contacts. The independently measured results yield a peak efficiency of 20.9% for the best upgraded metallurgical-grade silicon cell and 21.9% for a control device made with electronic-grade float-zone silicon. The presence of boron-oxygen related defects in the cells is also investigated, and we confirm that these defects can be partially deactivated permanently by annealing under illumination.

  5. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  6. Dephosphorization of Levitated Silicon-Iron Droplets for Production of Solar-Grade Silicon

    Science.gov (United States)

    Le, Katherine; Yang, Yindong; Barati, Mansoor; McLean, Alexander

    2018-05-01

    The treatment of relatively inexpensive silicon-iron alloys is a potential refining route in order to generate solar-grade silicon. Phosphorus is one of the more difficult impurity elements to remove by conventional processing. In this study, electromagnetic levitation was used to investigate phosphorus behavior in silicon-iron alloy droplets exposed to H2-Ar gas mixtures under various experimental conditions including, refining time, temperature (1723 K to 1993 K), gas flow rate, iron content, and initial phosphorus concentration in the alloy. Thermodynamic modeling of the dephosphorization reaction permitted prediction of the various gaseous products and indicated that diatomic phosphorus is the dominant species formed.

  7. ATLAS silicon microstrip Semiconductor Tracker (SCT)

    International Nuclear Information System (INIS)

    Unno, Y.

    2000-01-01

    Silicon microstrip semiconductor tracking system (SCT) will be in operation in the ATLAS detector in the Large Hadron Collider (LHC) at CERN. Challenging issues in the SCT are the radiation tolerance to the fluence of 2x10 14 1-MeV-neutron-equivalent particles/cm 2 at the designed luminosity of 1x10 34 cm -2 /s of the proton-proton collisions and the speed of the electronics to identify the crossing bunches at 25 ns. The developments and the status of the SCT are presented from the point of view of these issues. Series production of the SCT will start in the year 2001 and the SCT will be installed into the ATLAS detector during 2003-2004

  8. Off-axis electron holography for the measurement of active dopants in silicon semiconductor devices

    International Nuclear Information System (INIS)

    Cooper, David

    2016-01-01

    There is a need in the semiconductor industry for a dopant profiling technique with nm-scale resolution. Here we demonstrate that off-axis electron holography can be used to provide maps of the electrostatic potential in semiconductor devices with nm-scale resolution. In this paper we will discuss issues regarding the spatial resolution and precision of the technique. Then we will discuss problems with specimen preparation and how this affects the accuracy of the measurements of the potentials. Finally we show results from experimental off-axis electron holography applied to nMOS and pMOS CMOS devices grown on bulk silicon and silicon- on-insulator type devices and present solutions to common problems that are encountered when examining these types of devices. (paper)

  9. An investigation into the use of large area silicon semiconductors in microwave systems

    International Nuclear Information System (INIS)

    Holliday, H.R.

    1999-09-01

    Semiconductor microwave devices are usually manufactured using micron or sub-micron geometries. The equipment needed for these techniques has a high capital cost and demands high overheads. The material traditionally processed for microwave applications is gallium arsenide but during the period of this investigation a move towards the use of silicon and silicon germanium has emerged. This study, which is essentially practical, covers a range of new ideas for components using large area silicon devices. In the course of the study considerable progress has also been made in the understanding of the behaviour of silicon at microwave frequencies, and some of the initial Concepts were shown to be invalid. An accurate determination of the dielectric constant of silicon has been made using quasi optical techniques at microwave frequencies. The fabrication techniques described originate from methods used at Q-par Angus to manufacture large area silicon nuclear radiation detectors. Developed at the University of Birmingham, these are 'wet chemistry' methods that preclude the need for diffusion or other conventional semiconductor processing techniques. Novel microwave components have been developed using these techniques. These include an optically controlled attenuator with multioctave bandwidth and good dynamic range; window devices to reduce the radar cross section of microwave antennas; and microwave cavity devices including a variable-Q cavity. Concepts for millimeter wave filters are discussed, as are areas for further research. During the attenuator study Wheeler's equations have been extended to cover truncated microstrip. It was observed at an early stage in the work that optical excitation was very effective as a method of controlling the devices. This fits well with current trends in electro-optical devices. The piezo resistance effect in silicon has been briefly investigated and a mechanical attenuator exploiting this effect has been developed. (author)

  10. Dissolution chemistry and biocompatibility of silicon- and germanium-based semiconductors for transient electronics.

    Science.gov (United States)

    Kang, Seung-Kyun; Park, Gayoung; Kim, Kyungmin; Hwang, Suk-Won; Cheng, Huanyu; Shin, Jiho; Chung, Sangjin; Kim, Minjin; Yin, Lan; Lee, Jeong Chul; Lee, Kyung-Mi; Rogers, John A

    2015-05-06

    Semiconducting materials are central to the development of high-performance electronics that are capable of dissolving completely when immersed in aqueous solutions, groundwater, or biofluids, for applications in temporary biomedical implants, environmentally degradable sensors, and other systems. The results reported here include comprehensive studies of the dissolution by hydrolysis of polycrystalline silicon, amorphous silicon, silicon-germanium, and germanium in aqueous solutions of various pH values and temperatures. In vitro cellular toxicity evaluations demonstrate the biocompatibility of the materials and end products of dissolution, thereby supporting their potential for use in biodegradable electronics. A fully dissolvable thin-film solar cell illustrates the ability to integrate these semiconductors into functional systems.

  11. Determination of phosphorus in semiconductor grade silicon by neutron activation analysis

    International Nuclear Information System (INIS)

    Jaskolska, H.; Rowinska, L.

    1975-01-01

    A method of determination of phosphorus in silicon has been elaborated. The separation of phosphorus is based on the extraction of phosphomolybdic complex in the presence of hold-back carriers of Ta and Au. Contamination factors for various impurities were determined. The lower limit of determination equals 3.10 -11 g P. Types of errors in the determination of concentration profiles are discussed. The method meets the following requirements: 1./ It ensures good separation of phosphorus from elements occuring in silicon plates (i.e. Ta, Au, Sn, Ge, Ce, Sb, As, Cu, and Na). 2./ It ensures high chemical yield of phosphorus separation. 3./ It ensures high efficiency of the measurement of 32 P β-activity. 4./ It is simple and rapid, since, for the determination of a implantation profile, it is necessary to analyse several tens of layers. (T.G.)

  12. Electrically active defects in solar grade multicrystalline silicon

    DEFF Research Database (Denmark)

    Dahl, Espen

    2013-01-01

    Shortage in high purity silicon feedstock, as a result of the formidable increased demand for solar cell devices during the last two decades, can be mitigated by the introduction of cheaper feedstock of solar grade (So-G) quality. Silicon produced through the metallurgical process route has shown...... the potential to be such a feedstock. However, this feedstock has only few years of active commercial history and the detailed understanding of the nature of structural defects in this material still has fundamental shortcomings. In this thesis the electrical activity of structural defects, commonly associated...

  13. Solar cell structure incorporating a novel single crystal silicon material

    Science.gov (United States)

    Pankove, Jacques I.; Wu, Chung P.

    1983-01-01

    A novel hydrogen rich single crystal silicon material having a band gap energy greater than 1.1 eV can be fabricated by forming an amorphous region of graded crystallinity in a body of single crystalline silicon and thereafter contacting the region with atomic hydrogen followed by pulsed laser annealing at a sufficient power and for a sufficient duration to recrystallize the region into single crystal silicon without out-gassing the hydrogen. The new material can be used to fabricate semiconductor devices such as single crystal silicon solar cells with surface window regions having a greater band gap energy than that of single crystal silicon without hydrogen.

  14. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  15. Development of low cost silicon solar cells by reusing the silicon saw dust collected during wafering process

    International Nuclear Information System (INIS)

    Zaidi, Z.I.; Raza, B.; Ahmed, M.; Sheikh, H.; Qazi, I.A.

    2002-01-01

    Silicon material due to its abundance in nature and maximum conversion efficiency has been successfully being used for the fabrication of electronic and photovoltaic devices such as ICs, diodes, transistors and solar cells. The 80% of the semiconductor industry is ruled by silicon material. Single crystal silicon solar cells are in use for both space and terrestrial application, due to the well developed technology and better efficiency than polycrystalline and amorphous silicon solar cells. The current research work is an attempt to reduce the cost of single crystal silicon solar cells by reusing the silicon saw dust obtained during the watering process. During the watering process about 45% Si material is wasted in the form of Si powder dust. Various waste powder silicon samples were analyzed using inductively Coupled Plasma (ICP) technique, for metallic impurities critical for solar grade silicon material. The results were evaluated from impurity and cost point of view. (author)

  16. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  17. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Gang [Institute for Advanced Materials, Devices and Nanotechnology, Rutgers University, Piscataway, New Jersey 08854 (United States); Tuttle, Blair R. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37235 (United States); Dhar, Sarit [Department of Physics, Auburn University, Auburn, Alabama 36849 (United States)

    2015-06-15

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO{sub 2}/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  18. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  19. On selecting a sensitive region thickness of a silicon semiconductor detector for operation under counting conditions

    International Nuclear Information System (INIS)

    Pronkin, N.S.; Khakhalin, V.V.

    1972-01-01

    The paper discusses the selection of a thickness of a sensitive area of a silicon semiconductor detector, used in the count regime based on the signal to noise ratio and β-radiation registration efficiency. (author)

  20. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  1. High performance high-κ/metal gate complementary metal oxide semiconductor circuit element on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2016-02-29

    Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.

  2. Energy efficiency enhancements for semiconductors, communications, sensors and software achieved in cool silicon cluster project

    Science.gov (United States)

    Ellinger, Frank; Mikolajick, Thomas; Fettweis, Gerhard; Hentschel, Dieter; Kolodinski, Sabine; Warnecke, Helmut; Reppe, Thomas; Tzschoppe, Christoph; Dohl, Jan; Carta, Corrado; Fritsche, David; Tretter, Gregor; Wiatr, Maciej; Detlef Kronholz, Stefan; Mikalo, Ricardo Pablo; Heinrich, Harald; Paulo, Robert; Wolf, Robert; Hübner, Johannes; Waltsgott, Johannes; Meißner, Klaus; Richter, Robert; Michler, Oliver; Bausinger, Markus; Mehlich, Heiko; Hahmann, Martin; Möller, Henning; Wiemer, Maik; Holland, Hans-Jürgen; Gärtner, Roberto; Schubert, Stefan; Richter, Alexander; Strobel, Axel; Fehske, Albrecht; Cech, Sebastian; Aßmann, Uwe; Pawlak, Andreas; Schröter, Michael; Finger, Wolfgang; Schumann, Stefan; Höppner, Sebastian; Walter, Dennis; Eisenreich, Holger; Schüffny, René

    2013-07-01

    An overview about the German cluster project Cool Silicon aiming at increasing the energy efficiency for semiconductors, communications, sensors and software is presented. Examples for achievements are: 1000 times reduced gate leakage in transistors using high-fc (HKMG) materials compared to conventional poly-gate (SiON) devices at the same technology node; 700 V transistors integrated in standard 0.35 μm CMOS; solar cell efficiencies above 19% at cars Contribution to the Topical Issue “International Semiconductor Conference Dresden-Grenoble - ISCDG 2012”, Edited by Gérard Ghibaudo, Francis Balestra and Simon Deleonibus.

  3. Bulk solar grade silicon: how chemistry and physics play to get a benevolent microstructured material

    Energy Technology Data Exchange (ETDEWEB)

    Pizzini, S. [University of Milano-Bicocca, Department of Materials Science, Milan (Italy); Nedsilicon SpA, Osimo, Ancona (Italy)

    2009-07-15

    The availability of low-cost alternatives to electronic grade silicon has been and still is the condition for the extensive use of photovoltaics as an efficient sun harvesting system. The first step towards this objective was positively carried out in the 1980s and resulted in the reduction in cost and energy of the growth process using as feedstock electronic grade scraps and a variety of solidification procedures, all of which deliver a multi-crystalline material of high photovoltaic quality. The second step was an intense R and D activity aiming at defining and developing at lab scale a new variety of silicon, called ''solar grade'' silicon, which should fulfil the requirement of both cost effectiveness and high conversion efficiency. The third step involved and still involves the development of cost-effective technologies for the manufacture of solar grade silicon, in alternative to the classical Siemens route, which relays, as is well-known, to the pyrolitic decomposition of high-purity trichlorosilane and which is, also in its more advanced versions, extremely energy intensive. Aim of this paper is to give the author's viewpoint about some open questions concerning bulk solar silicon for PV applications and about challenges and chances of novel feedstocks of direct metallurgical origin. (orig.)

  4. Initiation of explosive conversions in energy-saturated nanoporous silicon-based compounds with fast semiconductor switches and energy-releasing elements

    Science.gov (United States)

    Savenkov, G. G.; Kardo-Sysoev, A. F.; Zegrya, A. G.; Os'kin, I. A.; Bragin, V. A.; Zegrya, G. G.

    2017-10-01

    The first findings concerning the initiation of explosive conversions in energy-saturated nanoporous silicon-based compounds via the electrical explosion of a semiconductor bridge are presented. The obtained results indicate that the energy parameters of an explosive conversion depend on the mass of a combustible agent—namely, nanoporous silicon—and the silicon-doping type.

  5. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  6. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  7. Design and test of a prototype silicon detector module for ATLAS Semiconductor Tracker endcaps

    International Nuclear Information System (INIS)

    Clark, A.G.; Donega, M.; D'Onofrio, M.

    2005-01-01

    The ATLAS Semiconductor Tracker (SCT) will be a central part of the tracking system of the ATLAS experiment. The SCT consists of four concentric barrels of silicon detectors as well as two silicon endcap detectors formed by nine disks each. The layout of the forward silicon detector module presented in this paper is based on the approved layout of the silicon detectors of the SCT, their geometry and arrangement in disks, but uses otherwise components identical to the barrel modules of the SCT. The module layout is optimized for excellent thermal management and electrical performance, while keeping the assembly simple and adequate for a large scale module production. This paper summarizes the design and layout of the module and present results of a limited prototype production, which has been extensively tested in the laboratory and testbeam. The module design was not finally adopted for series production because a dedicated forward hybrid layout was pursued

  8. Contribution to the study of rectification at the metal-semiconductor contact: analysis of aging in silicon Schottky diodes

    International Nuclear Information System (INIS)

    Ponpon, J.-P.

    1979-01-01

    The formation of the barrier height and the aging of metal-semiconductor contacts during exposure to air have been studied. The evolution of the electrical characteristics, especially the barrier height, of silicon Schottky diodes results from the diffusion of oxygen through the electrode and its accumulation at the interface. The diffusion coefficient of oxygen has been deduced for each metal used. In a first step the oxygen neutralize a fixed positive charge which remains at the semiconductor surface after etching; then, as silicon is oxidized, a MIS device is formed. Similar results have been obtained in the case of germanium, while no aging appears with cadmium telluride. In this case the barrier height seems to be determined by chemical reactions at the interface [fr

  9. The silicon microstrip sensors of the ATLAS semiconductor tracker

    Energy Technology Data Exchange (ETDEWEB)

    ATLAS SCT Collaboration; Spieler, Helmuth G.

    2007-04-13

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the Semiconductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd. supplied 92.2percent of the 15,392 installed sensors, with the remainder supplied by CiS.

  10. The silicon microstrip sensors of the ATLAS semiconductor tracker

    International Nuclear Information System (INIS)

    ATLAS SCT Collaboration; Spieler, Helmuth G.

    2007-01-01

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the Semiconductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd. supplied 92.2percent of the 15,392 installed sensors, with the remainder supplied by CiS

  11. Spectrometer based on the silicon semiconductor detectors for a study of the two charged particles correlation

    International Nuclear Information System (INIS)

    Krumsztein, Z.W.; Siemiarczuk, T.; Szawlowski, M.

    1974-01-01

    The spectrometer based on the silicon semiconductor detectors for a study of the correlation between two charged particles is described. The results of the time resolution and particles identification measurements are presented. The tests were performed in the proton beam of the JINR synchrocyclotron. (author)

  12. Texture evolution of experimental silicon steel grades. Part I: Hot rolling

    Energy Technology Data Exchange (ETDEWEB)

    Sandoval Robles, J.A., E-mail: jsandoval.uanl@yahoo.com [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Mecánica y Eléctrica, Ave. Universidad S/N, Cd. Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66450 (Mexico); Salas Zamarripa, A.; Guerrero Mata, M.P. [Universidad Autónoma de Nuevo León, Facultad de Ingeniería Mecánica y Eléctrica, Ave. Universidad S/N, Cd. Universitaria, San Nicolás de los Garza, Nuevo León C.P. 66450 (Mexico); Cabrera, J. [Universitat Politècnica de Catalunya, Departament de Ciència dels Materials I Enginyeria Metal-lúrgica, Av. Diagonal 647, Barcelona 08028 (Spain)

    2017-05-01

    The metallurgical understanding of the deformation processes during the fabrication of non-oriented electrical steels plays a key role in improving their final properties. Texture control and optimization is critical in these steels for the enhancement of their magnetic properties. The aim of the present work is to study the texture evolution of six non-oriented experimental silicon steel grades during hot rolling. These steels were low carbon steel with a silicon content from 0.5 to 3.0 wt%. The first rolling schedule was performed in the austenitic (γ-Fe) region for the steel with a 0.5 wt% of silicon content, while the 1.0 wt% silicon steel was rolled in the two-phase (α+γ) region. Steels with higher silicon content were rolled in the ferritic (α-Fe) region. The second rolling schedule was performed in the α-Fe region. Samples of each stage were analyzed by means of Electron Backscatter Diffraction (EBSD). Findings showed that the texture was random and heterogeneous in all samples after 60% of rolling reduction, which is due to the low deformation applied during rolling. After the second rolling program, localized deformation and substructured grains near to surface were observed in all samples. The Goss {110}<001>texture-component was found in the 0.5 and 1.0 wt.-%silicon steels. This is due to the thermomechanical conditions and the corresponding hot band microstructure obtained after the first program. Moreover, the α<110>//RD and the γ <111>//ND fiber components of the texture presented a considerable increment as the silicon content increases. Future research to be published soon will be related to the texture evolution during the cold-work rolling process. - Highlights: • We analyze six silicon steel experimental grades alloys trough the rolling process. • Material was subjected to a hot deformation process in the α-γ region. • No recrystalization was observed during-after the rolling schedules. • Rise of the magnetic texture components

  13. Development of Solar Grade (SoG) Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Joyce, David B; Schmid, Frederick

    2008-01-18

    The rapid growth of the photovoltaics (PV) industry is threatened by the ongoing shortage of suitable solar grade (SoG) silicon. Until 2004, the PV industry relied on the off spec polysilicon from the electronics industry for feedstock. The rapid growth of PV meant that the demand for SoG silicon predictably surpassed this supply. The long-term prospects for PV are very bright as costs have come down, and efficiencies and economies of scale make PV generated electricity ever more competitive with grid electricity. However, the scalability of the current process for producing poly silicon again threatens the future. A less costly, higher volume production technique is needed to supply the long-term growth of the PV industry, and to reduce costs of PV even further. This long-term need was the motivation behind this SBIR proposal. Upgrading metallurgical grade (MG) silicon would fulfill the need for a low-cost, large-scale production. Past attempts to upgrade MG silicon have foundered/failed/had trouble reducing the low segregation coefficient elements, B, P, and Al. Most other elements in MG silicon can be purified very efficiently by directional solidification. Thus, in the Phase I program, Crystal Systems proposed a variety of techniques to reduce B, P, and Al in MG silicon to produce a low cost commercial technique for upgrading MG silicon. Of the variety of techniques tried, vacuum refining and some slagging and additions turned out to be the most promising. These were pursued in the Phase II study. By vacuum refining, the P was reduced from 14 to 0.22 ppmw and the Al was reduced from 370 ppmw to 0.065 ppmw. This process was scaled to 40 kg scale charges, and the results were expressed in terms of half-life, or time to reduce the impurity concentration in half. Best half-lives were 2 hours, typical were 4 hours. Scaling factors were developed to allow prediction of these results to larger scale melts. The vacuum refining required the development of new crucibles

  14. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  15. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  16. Towards solar grade silicon: Challenges and benefits for low cost photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Pizzini, Sergio [Ned Silicon Spa, Via Th. Edison 6, 60027 Osimo (Ancona) (Italy)

    2010-09-15

    It is well known that silicon in its various structural configurations (single crystal, multicrystalline, amorphous, micro-nanocrystalline) supplies almost 90% of the substrates used in the photovoltaic industry. It is also known, since years, that the photovoltaic (PV) industry shows a marked growth trend, which demanded and demands a continuous, huge increase of the bulk silicon supply in the order of 30%/yr. In order to fulfill their today- and future needs, many companies worldwide took the decision to start the installation of many thousand tons/year plants, most of them using the Siemens process, some of them using the MG route, to produce the so called solar grade (SG) silicon. The advantages of the Siemens process are well known, as it provides ultrapure silicon, directly usable for growing either single crystalline Czochralski ingots or multicrystalline ingots using the directional solidification (DS) technique. The disadvantages are its high energetic cost (a minimum of 120 kWH/kg) and the possible losses of chlorinated gases in the atmosphere, with possible severe environmental problems. The advantages of the MG route are still potential, as there is no commercially available production of solar silicon as yet, and rely on its reduced energetic costs (a maximum of 25-30 kWh/kg) for a feedstock directly usable for growing multicrystalline ingots using the DS technique. The drawbacks of silicon of MG origin are its larger concentration of metallic impurities, as compared with the Siemens one, the higher B and P content, and the potentially high carbon content. The aim of this paper is to deal with some of the problems encountered so far with the silicon of MG origin with respect to the metallic and non-metallic impurities content, as well as to propose technologically feasible solar grade feedstock specifications. (author)

  17. Slag Treatment Followed by Acid Leaching as a Route to Solar-Grade Silicon

    NARCIS (Netherlands)

    Meteleva-Fischer, Y.V.; Yang, Y.; Boom, R.; Kraaijveld, B.; Kuntzel, H.

    2012-01-01

    Refining of metallurgical-grade silicon was studied using a process sequence of slag treatment, controlled cooling, and acid leaching. A slag of the Na2O-CaO-SiO2 system was used. The microstructure of grain boundaries in the treated silicon showed enhanced segregation of impurities, and the

  18. Fiscal 2000 achievement report. Development of energy use rationalization-oriented silicon manufacturing process (Survey and study of analysis of commercialization of solar-grade silicon material manufacturing technology); 2000 nendo shin energy sangyo gijutsu sogo kaihatsu kiko kyodo kenkyu gyomu seika hokokusho. Energy shiyo gorika silicon seizo process kaihatsu (Taiyodenchiyou silicon genryo seizo gijutsu no jitsuyoka kaiseki ni kansuru chosa kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The trend of technology development, problems harbored therein, trend of the market, and the like were investigated for supporting the development of technologies for the mass production and commercialization of solar-grade silicon materials. Concerning the future of production enhancement and cost reduction in the manufacture of polycrystalline silicon solar cells, studies were made from the technological viewpoint. The results are shown below. It is estimated that approximately 4,500 tons of material silicon will be necessary in 2005 and 6,500-10,700 tons in 2010. Since the melting purification method of NEDO (New Energy and Industrial Technology Development Organization) now under development step by step toward commercialization as well as the conventional source will provide the necessary amount of material silicon, it is inferred that the development of solar cells will go on without any restraint originating in the semiconductor industry. With the commercialization of the technologies so far developed and the development/commercialization of the fast-acting high-performance solar cell technology, probabilities are high that the polycrystalline silicon solar cell manufacturing cost in 2010 will be as low as to be on the 100 yen/W (93-118 yen/W) level which is the level now held up as the goal. (NEDO)

  19. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  20. Method for making graded I-III-VI.sub.2 semiconductors and solar cell obtained thereby

    Science.gov (United States)

    Devaney, Walter E.

    1987-08-04

    Improved cell photovoltaic conversion efficiencies are obtained by the simultaneous elemental reactive evaporation process of Mickelsen and Chen for making semiconductors by closer control of the evaporation rates and substrate temperature during formation of the near contact, bulk, and near junction regions of a graded I-III-VI.sub.2, thin film, semiconductor, such as CuInSe.sub.2 /(Zn,Cd)S or another I-III-VI.sub.2 /II-VI heterojunction.

  1. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    Science.gov (United States)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  2. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  3. The Silicon Microstrip Sensors of the ATLAS SemiConductor Tracker

    CERN Document Server

    Ahmad, A; Allport, P P; Alonso, J; Andricek, L; Apsimon, R J; Barr, A J; Bates, R L; Beck, G A; Bell, P J; Belymam, A; Benes, J; Berg, C M; Bernabeu, J; Bethke, S; Bingefors, N; Bizzell, J P; Bohm, J; Brenner, R; Brodbeck, T J; Bruckman De Renstrom, P; Buttar, C M; Campbell, D; Carpentieri, C; Carter, A A; Carter, J R; Charlton, D G; Casse, G-L; Chilingarov, A; Cindro, V; Ciocio, A; Civera, J V; Clark, A G; Colijn, A-P; Costa, M J; Dabrowski, W; Danielsen, K M; Dawson, I; Demirkoz, B; Dervan, P; Dolezal, Z; Dorholt, O; Duerdoth, I P; Dwuznik, M; Eckert, S; Ekelöf, T; Eklund, L; Escobar, C; Fasching, D; Feld, L; Ferguson, D P S; Ferrere, D; Fortin, R; Foster, J M; Fox, H; French, R; Fromant, B P; Fujita, K; Fuster, J; Gadomski, S; Gallop, B J; Garcia, C; Garcia-Navarro, J E; Gibson, M D; Gonzalez, S; Gonzalez-Sevilla, S; Goodrick, M J; Gornicki, E; Green, C; Greenall, A; Grigson, C; Grillo, A A; Grosse-Knetter, J; Haber, C; Handa, T; Hara, K; Harper, R S; Hartjes, F G; Hashizaki, T; Hauff, D; Hessey, N P; Hill, J C; Hollins, T I; Holt, S; Horazdovsky, T; Hornung, M; Hovland, K M; Hughes, G; Huse, T; Ikegami, Y; Iwata, Y; Jackson, J N; Jakobs, K; Jared, R C; Johansen, L G; Jones, R W L; Jones, T J; de Jong, P; Joseph, J; Jovanovic, P; Kaplon, J; Kato, Y; Ketterer, C; Kindervaag, I M; Kodys, P; Koffeman, E; Kohriki, T; Kohout, Z; Kondo, T; Koperny, S; van der Kraaij, E; Kral, V; Kramberger, G; Kudlaty, J; Lacasta, C; Limper, M; Linhart, V; Llosa, G; Lozano, M; Ludwig, I; Ludwig, J; Lutz, G; Macpherson, A; McMahon, S J; Macina, D; Magrath, C A; Malecki, P; Mandic, I; Marti-Garcia, S; Matsuo, T; Meinhardt, J; Mellado, B; Mercer, I J; Mikestikova, M; Mikuz, M; Minano, M; Mistry, J; Mitsou, V; Modesto, P; Mohn, B; Molloy, S D; Moorhead, G; Moraes, A; Morgan, D; Morone, M C; Morris, J; Moser, H-G; Moszczynski, A; Muijs, A J M; Nagai, K; Nakamura, Y; Nakano, I; Nicholson, R; Niinikoski, T; Nisius, R; Ohsugi, T; O'Shea, V; Oye, O K; Parzefall, U; Pater, J R; Pernegger, H; Phillips, P W; Posisil, S; Ratoff, P N; Reznicek, P; Richardson, J D; Richter, R H; Robinson, D; Roe, S; Ruggiero, G; Runge, K; Sadrozinski, H F W; Sandaker, H; Schieck, J; Seiden, A; Shinma, S; Siegrist, J; Sloan, T; Smith, N A; Snow, S W; Solar, M; Solberg, A; Sopko, B; Sospedra, L; Spieler, H; Stanecka, E; Stapnes, S; Stastny, J; Stelzer, F; Stradling, A; Stugu, B; Takashima, R; Tanaka, R; Taylor, G; Terada, S; Thompson, R J; Titov, M; Tomeda, Y; Tovey, D R; Turala, M; Turner, P R; Tyndel, M; Ullan, M; Unno, Y; Vickey, T; Vos, M; Wallny, R; Weilhammer, P; Wells, P S; Wilson, J A; Wolter, M; Wormald, M; Wu, S L; Yamashita, T; Zontar, D; Zsenei, A

    2007-01-01

    This paper describes the AC-coupled, single-sided, p-in-n silicon microstrip sensors used in the SemiConductor Tracker (SCT) of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The sensor requirements, specifications and designs are discussed, together with the qualification and quality assurance procedures adopted for their production. The measured sensor performance is presented, both initially and after irradiation to the fluence anticipated after 10 years of LHC operation. The sensors are now successfully assembled within the detecting modules of the SCT, and the SCT tracker is completed and integrated within the ATLAS Inner Detector. Hamamatsu Photonics Ltd supplied 92.2% of the 15,392 installed sensors, with the remainder supplied by CiS.

  4. MEP parabolic hydrodynamical model for holes in silicon semiconductors

    International Nuclear Information System (INIS)

    Mascali, G.; Romano, V.; Sellier, J. M.

    2005-01-01

    Consistent hydrodynamical models for electron transport in semi-conductors, free of any fitting parameter, have been formulated on the basis of the maximum entropy principle in Continuum Mech. Thermodyn., 11 (1999) 307, 12 (2000) 31 for silicon and in Continuum Mech. Thermodyn., 14 (2002) 405 for GaAs. In this paper we use the same approach for studying the hole transport in Si, by considering a parabolic approximation for the valence energy band. Scattering of holes with non-polar optical phonons, acoustic phonons and impurities have been taken into account. On the basis of these results, a limiting energy-transport model and an explicit expression for the low field hole mobility have been obtained. The high field mobility is also analyzed by taking into account the influence of impurities

  5. Method of purifying metallurgical grade silicon employing reduced pressure atmospheric control

    Science.gov (United States)

    Ingle, W. M.; Thompson, S. W.; Chaney, R. E. (Inventor)

    1979-01-01

    A method in which a quartz tube is charged with chunks of metallurgical grade silicon and/or a mixture of such chunks and high purity quartz sand, and impurities from a class including aluminum, boron, as well as certain transition metals including nickel, iron, and manganese is described. The tube is then evacuated and heated to a temperature within a range of 800 C to 1400 C. A stream of gas comprising a reactant, such as silicon tetrafluoride, is continuously delivered at low pressures through the charge for causing a metathetical reaction of impurities of the silicon and the reactant to occur for forming a volatile halide and leaving a residue of silicon of an improved purity. The reactant which included carbon monoxide gas and impurities such as iron and nickel react to form volatile carbonyls.

  6. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  7. Determining the solubility parameter and the cross-link density of medical grade silicones: effect of increasing the range of swelling liquids.

    Science.gov (United States)

    Mahomed, Aziza; Kocharian, Areg

    2015-01-01

    Four samples of four medical grade silicones were swollen in six "good" liquids (i.e. those with a good swelling ability, in which silicones swell appreciably) at 25°C, until they reached constant mass (i.e. equilibrium). The volume fraction, ϕ, of the silicone in the swollen sample was calculated for each grade of silicone. Using a combination of the six ϕ values obtained in this study and four of those obtained in a previous study, for each silicone grade, ϕ was plotted against δl, the liquid solubility parameter for the ten liquids used. Using a curve fitting technique a second-order polynomial was plotted through the data points; the minimum in this polynomial provided a value for δp (the polymer solubility parameter). Furthermore, the results showed that the δp values obtained in this study (using ten liquids) were slightly but significantly greater (pliquids), for grade C6-165 only. Similarly, the χ and υ values obtained in the two studies were only significantly different (p<0.05) from each other, for grade C6-165.

  8. Material and Energy Flows Associated with Select Metals in GREET 2. Molybdenum, Platinum, Zinc, Nickel, Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Benavides, Pahola T. [Argonne National Lab. (ANL), Argonne, IL (United States); Dai, Qiang [Argonne National Lab. (ANL), Argonne, IL (United States); Sullivan, John L. [Argonne National Lab. (ANL), Argonne, IL (United States); Kelly, Jarod C. [Argonne National Lab. (ANL), Argonne, IL (United States); Dunn, Jennifer B. [Argonne National Lab. (ANL), Argonne, IL (United States)

    2015-09-01

    In this work, we analyzed the material and energy consumption from mining to production of molybdenum, platinum, zinc, and nickel. We also analyzed the production of solar- and semiconductor-grade silicon. We described new additions to and expansions of the data in GREET 2. In some cases, we used operating permits and sustainability reports to estimate the material and energy flows for molybdenum, platinum, and nickel, while for zinc and silicon we relied on information provided in the literature.

  9. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  10. Silicon avalanche photodiodes on the base of metal-resistor-semiconductor (MRS) structures

    CERN Document Server

    Saveliev, V

    2000-01-01

    The development of a high quantum efficiency, fast photodetector, with internal gain amplification for the wavelength range 450-600 nm is one of the critical issues for experimental physics - registration of low-intensity light photons flux. The new structure of Silicon Avalanche Detectors with high internal amplification (10 sup 5 -10 sup 6) has been designed, manufactured and tested for registration of visible light photons and charge particles. The main features of Metal-Resistor-Semiconductor (MRS) structures are the high charge multiplication in nonuniform electric field near the 'needle' pn-junction and negative feedback for stabilization of avalanche process due to resistive layer.

  11. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    Energy Technology Data Exchange (ETDEWEB)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel

    2017-07-01

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.

  12. Resistance transition assisted geometry enhanced magnetoresistance in semiconductors

    International Nuclear Information System (INIS)

    Luo, Zhaochu; Zhang, Xiaozhong

    2015-01-01

    Magnetoresistance (MR) reported in some non-magnetic semiconductors (particularly silicon) has triggered considerable interest owing to the large magnitude of the effect. Here, we showed that MR in lightly doped n-Si can be significantly enhanced by introducing two diodes and proper design of the carrier path [Wan, Nature 477, 304 (2011)]. We designed a geometrical enhanced magnetoresistance (GEMR) device whose room-temperature MR ratio reaching 30% at 0.065 T and 20 000% at 1.2 T, respectively, approaching the performance of commercial MR devices. The mechanism of this GEMR is: the diodes help to define a high resistive state (HRS) and a low resistive state (LRS) in device by their openness and closeness, respectively. The ratio of apparent resistance between HRS and LRS is determined by geometry of silicon wafer and electrodes. Magnetic field could induce a transition from LRS to HRS by reshaping potential and current distribution among silicon wafer, resulting in a giant enhancement of intrinsic MR. We expect that this GEMR could be also realized in other semiconductors. The combination of high sensitivity to low magnetic fields and large high-field response should make this device concept attractive to the magnetic field sensing industry. Moreover, because this MR device is based on a conventional silicon/semiconductor platform, it should be possible to integrate this MR device with existing silicon/semiconductor devices and so aid the development of silicon/semiconductor-based magnetoelectronics. Also combining MR devices and semiconducting devices in a single Si/semiconductor chip may lead to some novel devices with hybrid function, such as electric-magnetic-photonic properties. Our work demonstrates that the charge property of semiconductor can be used in the magnetic sensing industry, where the spin properties of magnetic materials play a role traditionally

  13. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  14. Miniature semiconductor detectors for in vivo dosimetry

    International Nuclear Information System (INIS)

    Rosenfeld, A. B.; Cutajar, D.; Lerch, M. L. F.; Takacs, G.; Cornelius, I. M.; Yudelev, M.; Zaider, M.

    2006-01-01

    Silicon mini-semiconductor detectors are found in wide applications for in vivo personal dosimetry and dosimetry and Micro-dosimetry of different radiation oncology modalities. These applications are based on integral and spectroscopy modes of metal oxide semiconductor field effect transistor and silicon p-n junction detectors. The advantages and limitations of each are discussed. (authors)

  15. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  16. Tunable electronic transport properties of silicon-fullerene-linked nanowires: Semiconductor, conducting wire, and tunnel diode

    OpenAIRE

    Nishio, Kengo; Ozaki, Taisuke; Morishita, Tetsuya; Mikami, Masuhiro

    2010-01-01

    We explore the possibility of controllable tuning of the electronic transport properties of silicon-fullerene-linked nanowires by encapsulating guest atoms into their cages. Our first-principles calculations demonstrate that the guest-free nanowires are semiconductors, and do not conduct electricity. The iodine or sodium doping improves the transport properties, and makes the nanowires metallic. In the junctions of I-doped and Na-doped NWs, the current travels through the boundary by quantum ...

  17. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  18. Performance Analysis of a Grid-Connected Upgraded Metallurgical Grade Silicon Photovoltaic System

    Directory of Open Access Journals (Sweden)

    Chao Huang

    2016-05-01

    Full Text Available Because of their low cost, photovoltaic (PV cells made from upgraded metallurgical grade silicon (UMG-Si are a promising alternative to conventional solar grade silicon-based PV cells. This study investigates the outdoor performance of a 1.26 kW grid-connected UMG-Si PV system over five years, reporting the energy yields and performance ratio and estimating the long-term performance degradation rate. To make this investigation more meaningful, the performance of a mono-Si PV system installed at the same place and studied during the same period of time is presented for reference. Furthermore, this study systematizes and rationalizes the necessity of a data selection and filtering process to improve the accuracy of degradation rate estimation. The impact of plane-of-array irradiation threshold for data filtering on performance ratio and degradation rate is also studied. The UMG-Si PV system’s monthly performance ratio after data filtering ranged from 84% to 93% over the observation period. The annual degradation rate was 0.44% derived from time series of monthly performance ratio using the classical decomposition method. A comparison of performance ratio and degradation rate to conventional crystalline silicon-based PV systems suggests that performance of the UMG-Si PV system is comparable to that of conventional systems.

  19. Silicon photonics fundamentals and devices

    CERN Document Server

    Deen, M Jamal

    2012-01-01

    The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...

  20. Review of wide band-gap semiconductors technology

    Directory of Open Access Journals (Sweden)

    Jin Haiwei

    2016-01-01

    Full Text Available Silicon carbide (SiC and gallium nitride (GaN are typical representative of the wide band-gap semiconductor material, which is also known as third-generation semiconductor materials. Compared with the conventional semiconductor silicon (Si or gallium arsenide (GaAs, wide band-gap semiconductor has the wide band gap, high saturated drift velocity, high critical breakdown field and other advantages; it is a highly desirable semiconductor material applied under the case of high-power, high-temperature, high-frequency, anti-radiation environment. These advantages of wide band-gap devices make them a hot spot of semiconductor technology research in various countries. This article describes the research agenda of United States and European in this area, focusing on the recent developments of the wide band-gap technology in the US and Europe, summed up the facing challenge of the wide band-gap technology.

  1. Semiconductor sensors

    International Nuclear Information System (INIS)

    Hartmann, Frank

    2011-01-01

    Semiconductor sensors have been around since the 1950s and today, every high energy physics experiment has one in its repertoire. In Lepton as well as Hadron colliders, silicon vertex and tracking detectors led to the most amazing physics and will continue doing so in the future. This contribution tries to depict the history of these devices exemplarily without being able to honor all important developments and installations. The current understanding of radiation damage mechanisms and recent R and D topics demonstrating the future challenges and possible technical solutions for the SLHC detectors are presented. Consequently semiconductor sensor candidates for an LHC upgrade and a future linear collider are also briefly introduced. The work presented here is a collage of the work of many individual silicon experts spread over several collaborations across the world.

  2. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  3. Development of processes for the production of solar grade silicon from halides and alkali metals

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.

    1980-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon in volume at low cost were studied. Experiments were performed to evaluate product separation and collection processes, measure heat release parameters for scaling purposes, determine the effects of reactants and/or products on materials of reactor construction, and make preliminary engineering and economic analyses of a scaled-up process.

  4. Development of large area silicon semiconductor detectors for use in the current mode

    CERN Document Server

    Ouyang Xia Opin; Li Zhen Fu; Zhang Guo Guang; Zhang Qi; Zhang Xia; Song Xian Cai; Jia Huan Yi; Lei Jian Hua; Sun Yuan Cheng

    2002-01-01

    Large area silicon semiconductor detectors for use in the current mode, with their dimensions of phi 40, phi 50 and phi 60 mm, their depletion thickness of 200-300 mu m, have been developed. Their performance measurements have been made, which indicate that the developed detectors can satisfactorily meet the needs in expectation. Compared with the detectors commercially available on the market, authors' large PIN detectors can serve both as reliable and efficient high-resolution devices for nuclear counting experiments, as well as monitors of high-intensity radiation fields in the current mode under a bias of 100-1000 V, while the detectors commercially available are only for the counting use

  5. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  6. Gettering improvements of minority-carrier lifetimesin solar grade silicon

    DEFF Research Database (Denmark)

    Osinniy, Viktor; Nylandsted Larsen, Arne; Dahl, Espen

    2012-01-01

    The minority-carrier lifetime in p-type solar-grade silicon (SoG-Si) produced by Elkem Solar was investigated after different types of heat treatment. Two groups of samples differing by the as-grown lifetimes were exposed to internal and phosphorus gettering using constant and variable temperature...... processes. Optimal heat-treatment parameters for each group of samples were then identified which improved the minority-carrier lifetimes to values higher than the minimum value needed for solar cells. Phosphorus gettering using a variable temperature process enhanced in particular the lifetime within each...

  7. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1990-01-01

    The state of the art in semiconductor detectors for elementary particle physics and X-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; i) classical semiconductor diode detectors and ii) semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. (orig.)

  8. State of the art in semiconductor detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1989-01-01

    The state of the art in semiconductor detectors for elementary particle physics and x-ray astronomy is briefly reviewed. Semiconductor detectors are divided into two groups; classical semiconductor diode detectors; and semiconductor memory detectors. Principles of signal formation for both groups of detectors are described and their performance is compared. New developments of silicon detectors are reported here. 13 refs., 8 figs

  9. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  10. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  11. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  12. INTRAVASAL INJECTION OF FORMED-IN-PLACE MEDICAL GRADE SILICONE-RUBBER FOR VAS OCCLUSION

    NARCIS (Netherlands)

    SOEBADI, DM; GARDJITO, W; MENSINK, HJA

    This paper describes two consecutive studies: a volume study and an efficacy study. The volume study determined the appropriate volume of Medical Grade Silicone Rubber (MSR) needed to achieve complete occlusion of the vas deferens. This was done by in-vitro testing of 130 human vas specimens

  13. Semiconductors and semimetals oxygen in silicon

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Shimura, Fumio

    1994-01-01

    This volume reviews the latest understanding of the behavior and roles of oxygen in silicon, which will carry the field into the ULSI era from the experimental and theoretical points of view. The fourteen chapters, written by recognized authorities representing industrial and academic institutions, cover thoroughly the oxygen related phenomena from the crystal growth to device fabrication processes, as well as indispensable diagnostic techniques for oxygen.Key Features* Comprehensive study of the behavior of oxygen in silicon* Discusses silicon crystals for VLSI and ULSI applications* Thorough coverage from crystal growth to device fabrication* Edited by technical experts in the field* Written by recognized authorities from industrial and academic institutions* Useful to graduate students, scientists in other disciplines, and active participants in the arena of silicon-based microelectronics research* 297 original line drawings

  14. Biggest semiconductor installed

    CERN Multimedia

    2008-01-01

    Scientists and technicians at the European Laboratory for Particle Physics, commonly known by its French acronym CERN (Centre Europen pour la Recherche Nuclaire), have completed the installation of the largest semiconductor silicon detector.

  15. Evaluation of selected chemical processes for production of low-cost silicon

    Science.gov (United States)

    Blocher, J. M., Jr.; Browning, M. F.; Wilson, W. J.; Carmichael, D. C.

    1976-01-01

    Plant construction costs and manufacturing costs were estimated for the production of solar-grade silicon by the reduction of silicon tetrachloride in a fluidized bed of seed particles, and several modifications of the iodide process using either thermal decomposition on heated filaments (rods) or hydrogen reduction in a fluidized bed of seed particles. Energy consumption data for the zinc reduction process and each of the iodide process options are given and all appear to be acceptable from the standpoint of energy pay back. Information is presented on the experimental zinc reduction of SiCl4 and electrolytic recovery of zinc from ZnCl2. All of the experimental work performed thus far has supported the initial assumption as to technical feasibility of producing semiconductor silicon by the zinc reduction or iodide processes proposed. The results of a more thorough thermodynamic evaluation of the iodination of silicon oxide/carbon mixtures are presented which explain apparent inconsistencies in an earlier cursory examination of the system.

  16. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M.J.; Wielunski, L.S.; Netterfield, R.P.; Martin, P.J.; Leistner, A. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1996-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  17. Evaluation of hydrogen and oxygen impurity levels on silicon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Kenny, M J; Wielunski, L S; Netterfield, R P; Martin, P J; Leistner, A [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics

    1997-12-31

    This paper reports on surface analytical techniques used to quantify surface concentrations of impurities such as oxygen and hydrogen. The following analytical techniques were used: Rutherford and Backscattering, elastic recoil detection, time-of-flight SIMS, spectroscopic ellipsometry, x-ray photoelectron spectroscopy. The results have shown a spread in thickness of oxide layer, ranging from unmeasurable to 1.6 nm. The data must be considered as preliminary at this stage, but give some insight into the suitability of the techniques and a general idea of the significance of impurities at the monolayer level. These measurements have been carried out on a small number of silicon surfaces both semiconductor grade <111> crystalline material and silicon which has been used in sphere fabrication. 5 refs., 1 fig.

  18. Suitability of integrated protection diodes from diverse semiconductor technologies

    NARCIS (Netherlands)

    van Wanum, Maurice; Lebouille, Tom; Visser, Guido; van Vliet, Frank Edward

    2009-01-01

    Abstract In this article diodes from three different semiconductor technologies are compared based on their suitability to protect a receiver. The semiconductor materials involved are silicon, gallium arsenide and gallium nitride. The diodes in the diverse semiconductor technologies themselves are

  19. Extraordinary Light-Trapping Enhancement in Silicon Solar Cell Patterned with Graded Photonic Super-Crystals

    Directory of Open Access Journals (Sweden)

    Safaa Hassan

    2017-12-01

    Full Text Available Light-trapping enhancement in newly discovered graded photonic super-crystals (GPSCs with dual periodicity and dual basis is herein explored for the first time. Broadband, wide-incident-angle, and polarization-independent light-trapping enhancement was achieved in silicon solar cells patterned with these GPSCs. These super-crystals were designed by multi-beam interference, rendering them flexible and efficient. The optical response of the patterned silicon solar cell retained Bloch-mode resonance; however, light absorption was greatly enhanced in broadband wavelengths due to the graded, complex unit super-cell nanostructures, leading to the overlap of Bloch-mode resonances. The broadband, wide-angle light coupling and trapping enhancement mechanism are understood to be due to the spatial variance of the index of refraction, and this spatial variance is due to the varying filling fraction, the dual basis, and the varying lattice constants in different directions.

  20. Low cost silicon solar array project: Feasibility of low-cost, high-volume production of silane and pyrolysis of silane to semiconductor-grade silicon

    Science.gov (United States)

    Breneman, W. C.

    1978-01-01

    Silicon epitaxy analysis of silane produced in the Process Development Unit operating in a completely integrated mode consuming only hydrogen and metallurgical silicon resulted in film resistivities of up to 120 ohms cm N type. Preliminary kinetic studies of dichlorosilane disproportionation in the liquid phase have shown that 11.59% SiH4 is formed at equilibrium after 12 minutes contact time at 56 C. The fluid-bed reactor was operated continuously for 48 hours with a mixture of one percent silane in helium as the fluidizing gas. A high silane pyrolysis efficiency was obtained without the generation of excessive fines. Gas flow conditions near the base of the reactor were unfavorable for maintaining a bubbling bed with good heat transfer characteristics. Consequently, a porous agglomerate formed in the lower portion of the reactor. Dense coherent plating was obtained on the silicon seed particles which had remained fluidizied throughout the experiment.

  1. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  2. Integrated porous-silicon light-emitting diodes: A fabrication process using graded doping profiles

    International Nuclear Information System (INIS)

    Barillaro, G.; Diligenti, A.; Pieri, F.; Fuso, F.; Allegrini, M.

    2001-01-01

    A fabrication process, compatible with an industrial bipolar+complementary metal - oxide - semiconductor (MOS)+diffusion MOS technology, has been developed for the fabrication of efficient porous-silicon-based light-emitting diodes. The electrical contact is fabricated with a double n + /p doping, achieving a high current injection efficiency and thus lower biasing voltages. The anodization is performed as the last step of the process, thus reducing potential incompatibilities with industrial processes. The fabricated devices show yellow-orange electroluminescence, visible with the naked eye in room lighting. A spectral characterization of light emission is presented and briefly discussed. [copyright] 2001 American Institute of Physics

  3. A Brief History of ... Semiconductors

    Science.gov (United States)

    Jenkins, Tudor

    2005-01-01

    The development of studies in semiconductor materials is traced from its beginnings with Michael Faraday in 1833 to the production of the first silicon transistor in 1954, which heralded the age of silicon electronics and microelectronics. Prior to the advent of band theory, work was patchy and driven by needs of technology. However, the arrival…

  4. Semiconductor detectors in the low countries

    CERN Document Server

    Heijne, Erik H M

    2003-01-01

    Several milestones in the development of semiconductor radiation imaging detectors are attributed to scientists from the Low Countries, the Netherlands and Belgium, and a few historical details will be highlighted. The very first usable semiconductor nuclear detector was made in Utrecht, around 1943, in the form of an AgCl crystal. The earliest large-scale application of monolithic, double- sided silicon strip detectors was in the BOL experiment around 1968 at IKO, now NIKHEF, in Amsterdam. The technology developed and patented by Philips and IKO was adapted by the author and coworkers in 1980 to produce the first silicon microstrip detector used for the reconstruction of events in a CERN fixed target experiment. An avalanche of developments then led to worldwide use of silicon microstrip detectors in elementary particle physics, motivated by the capability to reconstruct particles with lifetime similar to 10**- **1**2s, which decay on sub-millimeter scale. The intensive activity in silicon detector R&D c...

  5. Hole Injection at the Silicon/Aqueous Electrolyte Interface: A Possible Mechanism for Chemiluminescence from Porous Silicon

    NARCIS (Netherlands)

    Kooij, Ernst S.; Butter, K.; Kelly, J.J.

    1998-01-01

    The reduction mechanism of oxidizing agents at silicon and porous silicon electrodes has been investigated in relation to light emission from the porous semiconductor. Oxidizing agents with a positive redox potential are shown to inject holes into HF-pretreated silicon. However, as the degree of

  6. Positron annihilation spectroscopy in defects of semiconductors

    International Nuclear Information System (INIS)

    Fujinami, Masanori

    2002-01-01

    Interaction of positron and defects, application to research of defects of semiconductor and defects on the surface of semiconductor are explained. Cz (Czochralski)-Si single crystal with 10 18 cm -3 impurity oxygen was introduced defects by electron irradiation and the positron lifetime was measured at 90K after annealing. The defect size and recovery temperature were determined by the lifetime measurement. The distribution of defects in the depth direction is shown by S-E curve. The chemical state analysis is possible by CBS (Coincidence Doppler Broadening) spectra. The application to silicon-implanted (100 keV, 2x10 15 cm -2 ) silicon and oxygen-implanted (180 keV, 2x10 15 cm -2 ) silicon are stated. On the oxygen-implanted silicon, the main product was V2 after implantation, V 6 O 2 at 600degC and V 10 O 6 at 800degC. (S.Y.)

  7. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  8. Damage induced in semiconductors by swift heavy ion irradiation

    International Nuclear Information System (INIS)

    Levalois, M.; Marie, P.

    1999-01-01

    The behaviour of semiconductors under swift heavy ion irradiation is different from that of metals or insulators: no spectacular effect induced by the inelastic energy loss has been reported in these materials. We present here a review of irradiation effects in the usual semiconductors (silicon, germanium and gallium arsenide). The damage is investigated by means of electrical measurements. The usual mechanisms of point defect creation can account for the experimental results. Besides, some results obtained on the wide gap semiconductor silicon carbide are reported. Concerning the irradiation effects induced by heavy ions in particle detectors, based on silicon substrate, we show that the deterioration of the detector performances can be explained from the knowledge of the substrate properties which are strongly perturbed after high doses of irradiation. Finally, some future ways of investigation are proposed. The silicon substrate is a good example to compare the irradiation effects with different particles such as electrons, neutrons and heavy ions. It is then necessary to use parameters which account for the local energy deposition, in order to describe the damage in the material

  9. Thermometric characteristics of silicon semiconductor diodes

    International Nuclear Information System (INIS)

    Bezverkhnyaya, N.S.; Vasil'ev, L.M.; Dmitrevskij, Yu.P.; Mel'nik, Yu.M.

    1975-01-01

    To substantiate the feasibility of using silicon diodes made by the Soviet industry as detectors of temperature in the 15 - 300 K range, 25 different types of silicon diodes have been investigated. The results obtained for the thermometric characteristics of the diodes are presented in tabular form. It is shown that a stability of readings of up to 0.05 deg can be obtained [ru

  10. Silicon photonics WDM transmitter with single section semiconductor mode-locked laser

    Science.gov (United States)

    Müller, Juliana; Hauck, Johannes; Shen, Bin; Romero-García, Sebastian; Islamova, Elmira; Azadeh, Saeed Sharif; Joshi, Siddharth; Chimot, Nicolas; Moscoso-Mártir, Alvaro; Merget, Florian; Lelarge, François; Witzens, Jeremy

    2015-04-01

    We demonstrate a wavelength domain-multiplexed (WDM) optical link relying on a single section semiconductor mode-locked laser (SS-MLL) with quantum dash (Q-Dash) gain material to generate 25 optical carriers spaced by 60.8 GHz, as well as silicon photonics (SiP) resonant ring modulators (RRMs) to modulate individual optical channels. The link requires optical reamplification provided by an erbium-doped fiber amplifier (EDFA) in the system experiments reported here. Open eye diagrams with signal quality factors (Q-factors) above 7 are measured with a commercial receiver (Rx). For higher compactness and cost effectiveness, reamplification of the modulated channels with a semiconductor optical amplifier (SOA) operated in the linear regime is highly desirable. System and device characterization indicate compatibility with the latter. While we expect channel counts to be primarily limited by the saturation output power level of the SOA, we estimate a single SOA to support more than eight channels. Prior to describing the system experiments, component design and detailed characterization results are reported including design and characterization of RRMs, ring-based resonant optical add-drop multiplexers (RR-OADMs) and thermal tuners, S-parameters resulting from the interoperation of RRMs and RR-OADMs, and characterization of Q-Dash SS-MLLs reamplified with a commercial SOA. Particular emphasis is placed on peaking effects in the transfer functions of RRMs and RR-OADMs resulting from transient effects in the optical domain, as well as on the characterization of SS-MLLs in regard to relative intensity noise (RIN), stability of the modes of operation, and excess noise after reamplification.

  11. Plasma deposition of amorphous silicon-based materials

    CERN Document Server

    Bruno, Giovanni; Madan, Arun

    1995-01-01

    Semiconductors made from amorphous silicon have recently become important for their commercial applications in optical and electronic devices including FAX machines, solar cells, and liquid crystal displays. Plasma Deposition of Amorphous Silicon-Based Materials is a timely, comprehensive reference book written by leading authorities in the field. This volume links the fundamental growth kinetics involving complex plasma chemistry with the resulting semiconductor film properties and the subsequent effect on the performance of the electronic devices produced. Key Features * Focuses on the plasma chemistry of amorphous silicon-based materials * Links fundamental growth kinetics with the resulting semiconductor film properties and performance of electronic devices produced * Features an international group of contributors * Provides the first comprehensive coverage of the subject, from deposition technology to materials characterization to applications and implementation in state-of-the-art devices.

  12. Semiconductor neutron detectors based on new types of materials

    International Nuclear Information System (INIS)

    Pochet, T.; Foulon, F.

    1993-01-01

    Neutron detection in hostile environments such as nuclear reactors has been performed using a new kind of semiconductor detector. So far, crystalline semiconductor detectors are not used in nuclear reactor instrumentation because of their sensitivity to radiation damage. For doses in excess of a few tens of kilo rads, radiation induced lattice defects produce a strong loss in the standard semiconductor detector performances. In the last few years, new semiconductor materials having amorphous or polycrystalline structures such as silicon, silicon carbide or CVD diamond, became available. These semiconductors, produced by Chemical Vapor Deposition, come in the form of thin layers being typically a few tens of micron thick. Their crystalline structure is particularly resistant to radiation damage up to a few Mrads but prevent the material use in spectrometry measurements. Nevertheless, these detectors, working in a counting mode, are suitable for the detection of alpha particles produced by the neutron capture reaction with boron. Such thin film detectors have a very poor sensitivity to γ-ray background. Furthermore, they are easier and cheaper to implement than current neutron gas counters. Preliminary results obtained with diamond and amorphous silicon diodes exposed to α particles are presented. (authors). 7 figs., 3 tabs., 11 refs

  13. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  14. Semiconductor materials for solar photovoltaic cells

    CERN Document Server

    Wong-Ng, Winnie; Bhattacharya, Raghu

    2016-01-01

    This book reviews the current status of semiconductor materials for conversion of sunlight to electricity, and highlights advances in both basic science and manufacturing.  Photovoltaic (PV) solar electric technology will be a significant contributor to world energy supplies when reliable, efficient PV power products are manufactured in large volumes at low cost.  Expert chapters cover the full range of semiconductor materials for solar-to-electricity conversion, from crystalline silicon and amorphous silicon to cadmium telluride, copper indium gallium sulfide selenides, dye sensitized solar cells, organic solar cells, and environmentally friendly copper zinc tin sulfide selenides. The latest methods for synthesis and characterization of solar cell materials are described, together with techniques for measuring solar cell efficiency. Semiconductor Materials for Solar Photovoltaic Cells presents the current state of the art as well as key details about future strategies to increase the efficiency and reduce ...

  15. Resistivity measurements on the neutron irradiated detector grade silicon materials

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zheng

    1993-11-01

    Resistivity measurements under the condition of no or low electrical field (electrical neutral bulk or ENB condition) have been made on various device configurations on detector grade silicon materials after neutron irradiation. Results of the measurements have shown that the ENB resistivity increases with neutron fluence ({Phi}{sub n}) at low {phi}{sub n} (<10{sup 13} n/cm{sup 2}) and saturates at a value between 300 and 400 k{Omega}-cm at {phi}{sub n} {approximately}10{sup 13} n/cm{sup 2}. Meanwhile, the effective doping concentration N{sub eff} in the space charge region (SCR) obtained from the C-V measurements of fully depleted p{sup +}/n silicon junction detectors has been found to increase nearly linearly with {phi}{sub n} at high fluences ({phi}{sub n} > 10{sup 13} n/cm{sup 2}). The experimental results are explained by the deep levels crossing the Fermi level in the SCR and near perfect compensation in the ENB by all deep levels, resulting in N{sub eff} (SCR) {ne} n or p (free carrier concentrations in the ENB).

  16. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  17. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  18. Silicon Microspheres Photonics

    International Nuclear Information System (INIS)

    Serpenguzel, A.

    2008-01-01

    Electrophotonic integrated circuits (EPICs), or alternatively, optoelectronic integrated circuit (OEICs) are the natural evolution of the microelectronic integrated circuit (IC) with the addition of photonic capabilities. Traditionally, the IC industry has been based on group IV silicon, whereas the photonics industry on group III-V semiconductors. However, silicon based photonic microdevices have been making strands in siliconizing photonics. Silicon microspheres with their high quality factor whispering gallery modes (WGMs), are ideal candidates for wavelength division multiplexing (WDM) applications in the standard near-infrared communication bands. In this work, we will discuss the possibility of using silicon microspheres for photonics applications in the near-infrared

  19. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  20. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  1. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  2. Strong quantum-confined stark effect in germanium quantum-well structures on silicon

    International Nuclear Information System (INIS)

    Kuo, Y.; Lee, Y. K.; Gei, Y.; Ren, S; Roth, J. E.; Miller, D. A.; Harris, J. S.

    2006-01-01

    Silicon is the dominant semiconductor for electronics, but there is now a growing need to integrate such component with optoelectronics for telecommunications and computer interconnections. Silicon-based optical modulators have recently been successfully demonstrated but because the light modulation mechanisms in silicon are relatively weak, long (for example, several millimeters) devices or sophisticated high-quality-factor resonators have been necessary. Thin quantum-well structures made from III-V semiconductors such as GaAs, InP and their alloys exhibit the much stronger Quantum-Confined Stark Effect (QCSE) mechanism, which allows modulator structures with only micrometers of optical path length. Such III-V materials are unfortunately difficult to integrate with silicon electronic devices. Germanium is routinely integrated with silicon in electronics, but previous silicon-germanium structures have also not shown strong modulation effects. Here we report the discovery of the QCSE, at room temperature, in thin germanium quantum-well structures grown on silicon. The QCSE here has strengths comparable to that in III-V materials. Its clarity and strength are particularly surprising because germanium is an indirect gap semiconductor, such semiconductors often display much weak optical effects than direct gap materials (such as the III-V materials typically used for optoelectronics). This discovery is very promising for small, high-speed, low-power optical output devices fully compatible with silicon electronics manufacture. (author)

  3. Nonlinear dynamics of semiconductors in strong THz electric fields

    DEFF Research Database (Denmark)

    Tarekegne, Abebe Tilahun

    In this thesis, we investigate nonlinear interactions of an intense terahertz (THz) field with semiconductors, in particular the technologically relevant materials silicon and silicon carbide. We reveal the time-resolved dynamics of the nonlinear processes by pump-probe experiments that involve...

  4. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  5. Photon-phonon laser on crystalline silicon: a feasibility study

    International Nuclear Information System (INIS)

    Zadernovsky, A A

    2015-01-01

    We discuss a feasibility of photon-phonon laser action in bulk silicon with electron population inversion. It is well known, that only direct gap semiconductors are used as an active medium in optical lasers. In indirect gap semiconductors, such as crystalline silicon, the near-to-gap radiative electron transitions must be assisted by emission or absorption of phonons to conserve the momentum. The rate of such two-quantum transitions is much less than in direct gap semiconductors, where the similar radiative transitions are single-quantum. As a result, the quantum efficiency of luminescence in silicon is too small to get it as a laser material. Numerous proposals to overcome this problem are aimed at increasing the rate of radiative recombination. We suggest enhancing the quantum efficiency of luminescence in silicon by stimulating the photon part of the two-quantum transitions by light from an appropriate external laser source. This allows us to obtain initially an external-source-assisted lasing in silicon and then a true photon-phonon lasing without any external source of radiation. Performed analysis revealed a number of requirements to the silicon laser medium (temperature, purity and perfection of crystals) and to the intensity of stimulating radiation. We discuss different mechanisms that may hinder the implementation of photon-phonon lasing in silicon

  6. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  7. Single-electron regime and Pauli spin blockade in a silicon metal-oxide-semiconductor double quantum dot

    Science.gov (United States)

    Rochette, Sophie; Ten Eyck, Gregory A.; Pluym, Tammy; Lilly, Michael P.; Carroll, Malcolm S.; Pioro-Ladrière, Michel

    2015-03-01

    Silicon quantum dots are promising candidates for quantum information processing as spin qubits with long coherence time. We present electrical transport measurements on a silicon metal-oxide-semiconductor (MOS) double quantum dot (DQD). First, Coulomb diamonds measurements demonstrate the one-electron regime at a relatively high temperature of 1.5 K. Then, the 8 mK stability diagram shows Pauli spin blockade with a large singlet-triplet separation of approximatively 0.40 meV, pointing towards a strong lifting of the valley degeneracy. Finally, numerical simulations indicate that by integrating a micro-magnet to those devices, we could achieve fast spin rotations of the order of 30 ns. Those results are part of the recent body of work demonstrating the potential of Si MOS DQD as reliable and long-lived spin qubits that could be ultimately integrated into modern electronic facilities. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. DOE's National Nuclear Security Administration under Contract DE-AC04-94AL85000.

  8. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  9. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  10. Positron annihilation spectroscopy in defects of semiconductors

    CERN Document Server

    Fujinami, M

    2002-01-01

    Interaction of positron and defects, application to research of defects of semiconductor and defects on the surface of semiconductor are explained. Cz (Czochralski)-Si single crystal with 10 sup 1 sup 8 cm sup - sup 3 impurity oxygen was introduced defects by electron irradiation and the positron lifetime was measured at 90K after annealing. The defect size and recovery temperature were determined by the lifetime measurement. The distribution of defects in the depth direction is shown by S-E curve. The chemical state analysis is possible by CBS (Coincidence Doppler Broadening) spectra. The application to silicon-implanted (100 keV, 2x10 sup 1 sup 5 cm sup - sup 2) silicon and oxygen-implanted (180 keV, 2x10 sup 1 sup 5 cm sup - sup 2) silicon are stated. On the oxygen-implanted silicon, the main product was V2 after implantation, V sub 6 O sub 2 at 600degC and V sub 1 sub 0 O sub 6 at 800degC. (S.Y.)

  11. Phosphorus Diffusion Gettering Efficacy in Upgraded Metallurgical-Grade Solar Silicon

    Science.gov (United States)

    Jiménez, A.; del Cañizo, C.; Cid, C.; Peral, A.

    2018-05-01

    In the context of the continuous price reduction in photovoltaics (PV) in recent years, Si feedstock continues to be a relevant component in the cost breakdown of a PV module, highlighting the need for low-cost, low-capital expenditure (CAPEX) silicon technologies to further reduce this cost component. Upgraded metallurgical-grade silicon (UMG Si) has recently received much attention, improving its quality and even attaining, in some cases, solar cell efficiencies similar to those of conventional material. However, some technical challenges still have to be addressed when processing this material to compensate efficiently for the high content of impurities and contaminants. Adaptation of a conventional solar cell process to monocrystalline UMG Si wafers has been studied in this work. In particular, a tailored phosphorus diffusion gettering step followed by a low-temperature anneal at 700°C was implemented, resulting in enhanced bulk lifetime and emitter recombination properties. In spite of the need for further research and material optimization, UMG Si wafers were successfully processed, achieving efficiencies in the range of 15% for a standard laboratory solar cell process with aluminum back surface field.

  12. Development and application of nuclear radiation detector made from high resistivity silicon and compound semiconductor

    International Nuclear Information System (INIS)

    Ding Honglin; Zhang Xiufeng; Zhang Wanchang; Li Jiang

    1995-11-01

    The development of high resistivity silicon detectors and compound semiconductor detectors as well as their application in nuclear medicine are described. It emphasizes on several key techniques in fabricating detectors in order to meet their application in nuclear medicine. As for a high resistivity silicon detector, its counting rate to 125 I 28.5 keV X-ray has to be improved. So employing a conic mesa structure can increase the thickness of samples, and can raise the electric field of collecting charges under the same bias voltage. As for a GaAs detector, its performance of collecting charges has to be improved. So the thicknesses of GaAs samples are decreased and proper thermal treatment to make Ni-Ge-Au ohmic contacts are employed. Applying a suitable reverse bias voltage can obtain a fully depleted detector, and can obtain a lower forward turn-on voltage and a thinner weak electric field region. After resolving these key techniques, the performance of GaAs detectors has been distinctly improved. The count rate to 125 I X-ray has increased by three or five times under the same testing condition and background circumstance (2 refs., 8 figs., 3 tabs.)

  13. Acceptable contamination levels in solar grade silicon: From feedstock to solar cell

    International Nuclear Information System (INIS)

    Hofstetter, J.; Lelievre, J.F.; Canizo, C.; Luque, A. del

    2009-01-01

    Ultimately, alternative ways of silicon purification for photovoltaic applications are developed and applied. There is an ongoing debate about what are the acceptable contamination levels within the purified silicon feedstock to specify the material as solar grade silicon. Applying a simple model and making some additional assumptions, we calculate the acceptable contamination levels of different characteristic impurities for each fabrication step of a typical industrial mc-Si solar cell. The acceptable impurity concentrations within the finished solar cell are calculated for SRH recombination exclusively and under low injection conditions. It is assumed that during solar cell fabrication impurity concentrations are only altered by a gettering step. During the crystallization process, impurity segregation at the solid-liquid interface and at extended defects are taken into account. Finally, the initial contamination levels allowed within the feedstock are deduced. The acceptable concentration of iron in the finished solar cell is determined to be 9.7x10 -3 ppma whereas the concentration in the silicon feedstock can be as high as 12.5 ppma. In comparison, the titanium concentration admitted in the solar cell is calculated to be 2.7x10 -4 ppma and the allowed concentration of 2.2x10 -2 ppma in the feedstock is only two orders of magnitude higher. Finally, it is shown theoretically and experimentally that slow cooling rates can lead to a decrease of the interstitial Fe concentration and thus relax the purity requirements in the feedstock.

  14. Development of the external cooling device of increase the productivity of neutron-transmutation-doped silicon semiconductor (NTD-Si) (Joint research)

    International Nuclear Information System (INIS)

    Hirose, Akira; Wada, Shigeru; Sasajima, Fumio; Kusunoki, Tsuyoshi; Kameyama, Iwao; Aizawa, Ryouji; Kikuchi, Naoyuki

    2007-01-01

    Neutron-Transmutation-Doped Silicon Semiconductor (hereinafter referred as 'NTD-Si') is the best semiconductor for the power device. The needs of NTD-Si increase recently in proportion to the popularization of hybrid-cars. A fission research reactor, which is a steady state neutron source, is being expected as the best device to meet the needs. So far, we have reconsidered the existing approach which is employed for NTD-Si production works at the research reactors JRR-3, JRR-4 and JMTR of JAEA so as to meet the needs. As one of the effective measures, we found out that the productivity can be increased by incorporating a new device to cool down radioactivity of irradiated silicon ingots at the place outside the main stream from the loading of silicon ingots to the withdrawal of irradiated ingots to the existing JRR-3 Uniformity Irradiation System. Consequently, we developed and installed the device (hereinafter referred as 'external cooling device'). After an ingot was irradiated once, it is turned over manually and irradiated again in order to irradiate the ingot uniformly. With the conventional system, it was necessary to wait the radioactivity of ingot decrease less than the permissible level with holding the ingot in the irradiation equipment. It was effective to shorten the waiting period by using an external cooling device for production increase of NTD-Si. It is expected that the productivity of NTD-Si will be increased by using the external cooling device. This report mentions the design of the external cooling device and verification between its design specifications and the performance of the device completed. (author)

  15. The development of the market for neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Herzer, H.; Vieweg-Gutberlet, G.

    1984-01-01

    Neutron transmutation doped silicon was introduced to the electronic device market in the 1975-1976 time period. Today, neutron transmutation doping is definitely a mature technology applied mainly to semiconductor power devices. There is no doubt that the power device sector will remain the major consumer of NTD silicon in the near future. This paper examines the possible application of NTD silicon to other areas of the semiconductor market, and concludes that the need for NTD silicon will continue to grow and will expand into other applications. Consequently, unless new reactor capacities become available by the end of the decade, NTD silicon applications will probably be limited mainly to power and sensor devices

  16. Hydrogen interactions with silicon-on-insulator materials

    OpenAIRE

    Rivera de Mena, A.J.

    2003-01-01

    The booming of microelectronics in recent decades has been made possible by the excellent properties of the Si/SiO2 interface in oxide on silicon systems.. This semiconductor/insulator combination has proven to be of great value for the semiconductor industry. It has made it possible to continuously increase the number of transistors per chip until the physical limit of integration is now almost reached. Silicon-on-insulator (SOI) materials were early on seen as a step in the logical evolutio...

  17. Polycrystalline silicon semiconducting material by nuclear transmutation doping

    Science.gov (United States)

    Cleland, John W.; Westbrook, Russell D.; Wood, Richard F.; Young, Rosa T.

    1978-01-01

    A NTD semiconductor material comprising polycrystalline silicon having a mean grain size less than 1000 microns and containing phosphorus dispersed uniformly throughout the silicon rather than at the grain boundaries.

  18. High-Temperature Electronics: A Role for Wide Bandgap Semiconductors?

    Science.gov (United States)

    Neudeck, Philip G.; Okojie, Robert S.; Chen, Liang-Yu

    2002-01-01

    It is increasingly recognized that semiconductor based electronics that can function at ambient temperatures higher than 150 C without external cooling could greatly benefit a variety of important applications, especially-in the automotive, aerospace, and energy production industries. The fact that wide bandgap semiconductors are capable of electronic functionality at much higher temperatures than silicon has partially fueled their development, particularly in the case of SiC. It appears unlikely that wide bandgap semiconductor devices will find much use in low-power transistor applications until the ambient temperature exceeds approximately 300 C, as commercially available silicon and silicon-on-insulator technologies are already satisfying requirements for digital and analog very large scale integrated circuits in this temperature range. However, practical operation of silicon power devices at ambient temperatures above 200 C appears problematic, as self-heating at higher power levels results in high internal junction temperatures and leakages. Thus, most electronic subsystems that simultaneously require high-temperature and high-power operation will necessarily be realized using wide bandgap devices, once the technology for realizing these devices become sufficiently developed that they become widely available. Technological challenges impeding the realization of beneficial wide bandgap high ambient temperature electronics, including material growth, contacts, and packaging, are briefly discussed.

  19. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  20. Semiconductor X-ray detectors

    CERN Document Server

    Lowe, Barrie Glyn

    2014-01-01

    Identifying and measuring the elemental x-rays released when materials are examined with particles (electrons, protons, alpha particles, etc.) or photons (x-rays and gamma rays) is still considered to be the primary analytical technique for routine and non-destructive materials analysis. The Lithium Drifted Silicon (Si(Li)) X-Ray Detector, with its good resolution and peak to background, pioneered this type of analysis on electron microscopes, x-ray fluorescence instruments, and radioactive source- and accelerator-based excitation systems. Although rapid progress in Silicon Drift Detectors (SDDs), Charge Coupled Devices (CCDs), and Compound Semiconductor Detectors, including renewed interest in alternative materials such as CdZnTe and diamond, has made the Si(Li) X-Ray Detector nearly obsolete, the device serves as a useful benchmark and still is used in special instances where its large, sensitive depth is essential. Semiconductor X-Ray Detectors focuses on the history and development of Si(Li) X-Ray Detect...

  1. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  2. Energy Impacts of Wide Band Gap Semiconductors in U.S. Light-Duty Electric Vehicle Fleet.

    Science.gov (United States)

    Warren, Joshua A; Riddle, Matthew E; Graziano, Diane J; Das, Sujit; Upadhyayula, Venkata K K; Masanet, Eric; Cresko, Joe

    2015-09-01

    Silicon carbide and gallium nitride, two leading wide band gap semiconductors with significant potential in electric vehicle power electronics, are examined from a life cycle energy perspective and compared with incumbent silicon in U.S. light-duty electric vehicle fleet. Cradle-to-gate, silicon carbide is estimated to require more than twice the energy as silicon. However, the magnitude of vehicle use phase fuel savings potential is comparatively several orders of magnitude higher than the marginal increase in cradle-to-gate energy. Gallium nitride cradle-to-gate energy requirements are estimated to be similar to silicon, with use phase savings potential similar to or exceeding that of silicon carbide. Potential energy reductions in the United States vehicle fleet are examined through several scenarios that consider the market adoption potential of electric vehicles themselves, as well as the market adoption potential of wide band gap semiconductors in electric vehicles. For the 2015-2050 time frame, cumulative energy savings associated with the deployment of wide band gap semiconductors are estimated to range from 2-20 billion GJ depending on market adoption dynamics.

  3. Removal of inclusions from silicon

    Science.gov (United States)

    Ciftja, Arjan; Engh, Thorvald Abel; Tangstad, Merete; Kvithyld, Anne; Øvrelid, Eivind Johannes

    2009-11-01

    The removal of inclusions from molten silicon is necessary to satisfy the purity requirements for solar grade silicon. This paper summarizes two methods that are investigated: (i) settling of the inclusions followed by subsequent directional solidification and (infiltration by ceramic foam filters. Settling of inclusions followed by directional solidification is of industrial importance for production of low-cost solar grade silicon. Filtration is reported as the most efficient method for removal of inclusions from the top-cut silicon scrap.

  4. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  5. Semiconductor nanostructures on silicon. Carrier dynamics, optical amplification and lasing; Halbleiternanostrukturen auf Silizium. Ladungstraegerdynamik, optischer Verstaerker und Laser

    Energy Technology Data Exchange (ETDEWEB)

    Lange, Christoph

    2008-12-11

    Two material systems that can be grown epitaxially on a silicon substrate are experimentally investigated with respect to their optical properties. Quantum wells (qw) of Germanium were experimentally investigated by spectrally resolved white-light pump-probe-absorption spectroscopy at room temperature. A second material class is Ga(NAsP), which was grown as quantum wells on a silicon substrate matching the lattice constant of the substrate. The basic optical properties were determined using the variable stripe-length method. In order to relate the results to those of established materials, a selection of comparable III/V semiconductors were measured in the same setups. The pump-probe measurements on (GaIn)As quantum wells exhibited a much more rapid scattering. In these material systems, quite similar optical gain values of 10{sup -3}/QW were found with decay times of several 100 ps. For (GaIn)(NAs), slightly higher values were determined. Using the variable stripe-length method, GaSb quantum wells with dot-like morphology were investigated. (orig.)

  6. Lattice location of impurities in silicon Carbide

    CERN Document Server

    AUTHOR|(CDS)2085259; Correia Martins, João Guilherme

    The presence and behaviour of transition metals (TMs) in SiC has been a concern since the start of producing device-grade wafers of this wide band gap semiconductor. They are unintentionally introduced during silicon carbide (SiC) production, crystal growth and device manufacturing, which makes them difficult contaminants to avoid. Once in SiC they easily form deep levels, either when in the isolated form or when forming complexes with other defects. On the other hand, using intentional TM doping, it is possible to change the electrical, optical and magnetic properties of SiC. TMs such as chromium, manganese or iron have been considered as possible candidates for magnetic dopants in SiC, if located on silicon lattice sites. All these issues can be explored by investigating the lattice site of implanted TMs. This thesis addresses the lattice location and thermal stability of the implanted TM radioactive probes 56Mn, 59Fe, 65Ni and 111Ag in both cubic 3C- and hexagonal 6H SiC polytypes by means of emission cha...

  7. Semiconductor ionizino. radiation detectors

    International Nuclear Information System (INIS)

    1982-01-01

    Spectrometric semiconductor detectors of ionizing radiation with the electron-hole junction, based on silicon and germanium are presented. The following parameters are given for the individual types of germanium detectors: energy range of detected radiation, energy resolution given as full width at half maximum (FWHM) and full width at one tenth of maximum (FWTM) for 57 Co and 60 Co, detection sensitivity, optimal voltage, and electric capacitance at optimal voltage. For silicon detectors the value of FWHM for 239 Pu is given, the sensitive area and the depth of the sensitive area. (E.S.)

  8. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  9. Pr-O-Al-N dielectrics for metal insulator semiconductor stacks

    Energy Technology Data Exchange (ETDEWEB)

    Henkel, Karsten; Torche, Mohamed; Sohal, Rakesh; Karavaev, Konstantin; Burkov, Yevgen; Schwiertz, Carola; Schmeisser, Dieter [Brandenburg University of Technology, Chair of Applied Physics and Sensors, K.-Wachsmann-Allee 1, 03046 Cottbus (Germany)

    2011-02-15

    This work focuses on praseodymium oxide films as a high-k material on silicon and silicon carbide (SiC) in metal insulator semiconductor samples. The electrical results are correlated to spectroscopic findings on this material system. Strong interfacial reactions between the praseodymium oxide and the semiconductor as well as silicon inter-diffusion into the high-k material are observed. The importance of a buffer layer is discussed and its optimisation is addressed, too. In particular the improvement of the performance by the introduction of an aluminium oxynitride buffer layer, which acts as an inter-diffusion barrier and reduces the leakage current, the interface state density and the equivalent oxide thickness is demonstrated. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Silicon processing for photovoltaics II

    CERN Document Server

    Khattak, CP

    2012-01-01

    The processing of semiconductor silicon for manufacturing low cost photovoltaic products has been a field of increasing activity over the past decade and a number of papers have been published in the technical literature. This volume presents comprehensive, in-depth reviews on some of the key technologies developed for processing silicon for photovoltaic applications. It is complementary to Volume 5 in this series and together they provide the only collection of reviews in silicon photovoltaics available.The volume contains papers on: the effect of introducing grain boundaries in silicon; the

  11. Properties of SiC semiconductor detector of fast neutrons investigated using MCNPX code

    International Nuclear Information System (INIS)

    Sedlakova, K.; Sagatova, A.; Necas, V.; Zatko, B.

    2013-01-01

    The potential of silicon carbide (SiC) for use in semiconductor nuclear radiation detectors has been long recognized. The wide bandgap of SiC (3.25 eV for 4H-SiC polytype) compared to that for more conventionally used semiconductors, such as silicon (1.12 eV) and germanium (0.67 eV), makes SiC an attractive semiconductor for use in high dose rate and high ionization nuclear environments. The present work focused on the simulation of particle transport in SiC detectors of fast neutrons using statistical analysis of Monte Carlo radiation transport code MCNPX. Its possibilities in detector design and optimization are presented.(authors)

  12. Hydrogen interactions with silicon-on-insulator materials

    NARCIS (Netherlands)

    Rivera de Mena, A.J.

    2003-01-01

    The booming of microelectronics in recent decades has been made possible by the excellent properties of the Si/SiO2 interface in oxide on silicon systems.. This semiconductor/insulator combination has proven to be of great value for the semiconductor industry. It has made it possible to continuously

  13. Development of semiconductor electronics

    International Nuclear Information System (INIS)

    Bardeen, John.

    1977-01-01

    In 1931, Wilson applied Block's theory about the energy bands for the motion of electrons in a crystal lattice to semiconductors and showed that conduction can take place in two different ways, by electrons and by holes. Not long afterwards Frenkel showed that these carriers can flow by diffusion in a concentration gradient as well as under the influence of an electric field and wrote down equations for the current flow. The third major contribution, in the late 1930's was the explanation of rectification at a metalsemiconductor contact by Mott and more completely by Schottky. In late 1947 the first transistor of the point contact type was invented by Brattin, Shockley and Bardeen. Then after single crystals of Ge were grown, the junction transistor was developed by the same group. The first silicon transistors appeared in 1954. Then an important step was discovery of the planar transistor by Hoenri in 1960 which led to development of integrated circuits by 1962. Many transistors are produced by batch processing on a slice of silicon. Then in 1965 Mos (Metal-Oxide Semiconductor) transistor and in 1968 LSI (Large Scale Intergration circuits) were developed. Aside from electronic circuits, there are many other applications of semiconductors, including junction power rectifiers, junction luminescence (including lasers), solar batteries, radiation detectors, microwave oscillators and charged-coupled devices for computer memories and devices. One of the latest developments is a microprocessor with thousands of transistors and associated circuitry on a single small chip of silicon. It can be programmed to provide a variety of circuit functions, thus it is not necessary to go through the great expense of LSI's for each desired function, but to use standard microprocessors and program to do the job

  14. Development of processes for the production of solar grade silicon from halides and alkali metals, phase 1 and phase 2

    Science.gov (United States)

    Dickson, C. R.; Gould, R. K.; Felder, W.

    1981-01-01

    High temperature reactions of silicon halides with alkali metals for the production of solar grade silicon are described. Product separation and collection processes were evaluated, measure heat release parameters for scaling purposes and effects of reactants and/or products on materials of reactor construction were determined, and preliminary engineering and economic analysis of a scaled up process were made. The feasibility of the basic process to make and collect silicon was demonstrated. The jet impaction/separation process was demonstrated to be a purification process. The rate at which gas phase species from silicon particle precursors, the time required for silane decomposition to produce particles, and the competing rate of growth of silicon seed particles injected into a decomposing silane environment were determined. The extent of silane decomposition as a function of residence time, temperature, and pressure was measured by infrared absorption spectroscopy. A simplistic model is presented to explain the growth of silicon in a decomposing silane enviroment.

  15. Fabrication and optical characterization of light trapping silicon nanopore and nanoscrew devices

    International Nuclear Information System (INIS)

    Jin, Hyunjong; Logan Liu, G

    2012-01-01

    We have fabricated nanotextured Si substrates that exhibit controllable optical reflection intensities and colors. Si nanopore has a photon trapping nanostructure but has abrupt changes in the index of refraction displaying a darkened specular reflection. Nanoscrew Si shows graded refractive-index photon trapping structures that enable diffuse reflection to be as low as 2.2% over the visible wavelengths. By tuning the 3D nanoscale silicon structure, the optical reflection peak wavelength and intensity are changed in the wavelength range of 300–800 nm, making the surface have different reflectivity and apparent colors. The relation between the surface optical properties with the spatial features of the photon trapping nanostructures is examined. Integration of photon trapping structures with planar Si structure on the same substrate is also demonstrated. The tunable photon trapping silicon structures have potential applications in enhancing the performance of semiconductor photoelectric devices. (paper)

  16. Quantitative autoradiography of semiconductor base material

    International Nuclear Information System (INIS)

    Treutler, H.C.; Freyer, K.

    1983-01-01

    Autoradiographic methods for the quantitative determination of elements interesting in semiconductor technology and their distribution in silicon are described. Whereas the local concentration and distribution of phosphorus has been determined with the aid of silver halide films the neutron-induced autoradiography has been applied in the case of boron. Silicon disks containing diffused phosphorus or implanted or diffused boron have been used as standard samples. Different possibilities of the quantitative evaluation of autoradiograms are considered and compared

  17. Hybrid Integrated Platforms for Silicon Photonics

    Science.gov (United States)

    Liang, Di; Roelkens, Gunther; Baets, Roel; Bowers, John E.

    2010-01-01

    A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  18. The effects of intense gamma-irradiation on the alpha-particle response of silicon carbide semiconductor radiation detectors

    International Nuclear Information System (INIS)

    Ruddy, Frank H.; Seidel, John G.

    2007-01-01

    Silicon Carbide (SiC) semiconductor radiation detectors are being developed for alpha-particle, X-ray and Gamma-ray, and fast-neutron energy spectrometry. SiC detectors have been operated at temperatures up to 306 deg. C and have also been found to be highly resistant to the radiation effects of fast-neutron and charged-particle bombardments. In the present work, the alpha-particle response of a SiC detector based on a Schottky diode design has been carefully monitored as a function of 137 Cs gamma-ray exposure. The changes in response have been found to be negligible for gamma exposures up to and including 5.4 MGy, and irradiations to higher doses are in progress

  19. A local environment approach for deep-level defects in semiconductors: Application to the vacancy in silicon

    International Nuclear Information System (INIS)

    Wang Yongliang; Lindefelt, U.

    1987-04-01

    A local environment approach for calculation of the electronic structure of localized defects in semiconductors is described. The method starts out from a description of localized orbitals or tight-binding model for semiconductors and is based on the recursion method of Haydock. A repeated symmetrical supercell containing 686 atoms plus defects is formed, both the translational and point-group symmetry of the crystal are fully exploited. In this paper, we report an application of this approach to an undistorted isolated vacancy by using a self-consistent Hamiltonian. A bound state of T 2 symmetry at 0.87 eV above the valence-band edge and a number of band resonances within the valence-band were extracted using Lanczos algorithm and a continued-fraction representation of the local density of states. It was found that the T 2 symmetry gap state is mainly p-like and most of the wavefunction for one of the A 1 symmetry resonances is concentrated on the vacant site and another concentrated on the first neighbors of the vacancy. From the small shifts of the band edges of silicon with a vacancy, we can conclude that the supercell is big enough and the interaction between the defects of different supercells is negligible. (author). 37 refs, 12 figs

  20. Solar Grade Silicon from Agricultural By-products

    Energy Technology Data Exchange (ETDEWEB)

    Laine, Richard M

    2012-08-20

    In this project, Mayaterials developed a low cost, low energy and low temperature method of purifying rice hull ash to high purity (5-6Ns) and converting it by carbothermal reduction to solar grade quality silicon (Sipv) using a self-designed and built electric arc furnace (EAF). Outside evaluation of our process by an independent engineering firm confirms that our technology greatly lowers estimated operating expenses (OPEX) to $5/kg and capital expenses (CAPEX) to $24/kg for Sipv production, which is well below best-in-class plants using a Siemens process approach (OPEX of 14/kg and CAPEX of $87/kg, respectively). The primary limiting factor in the widespread use of photovoltaic (PV) cells is the high cost of manufacturing, compared to more traditional sources to reach 6 g Sipv/watt (with averages closer to 8+g/watt). In 2008, the spot price of Sipv rose to $450/kg. While prices have since dropped to a more reasonable $25/kg; this low price level is not sustainable, meaning the longer-term price will likely return to $35/kg. The 6-8 g Si/watt implies that the Sipv used in a module will cost $0.21-0.28/watt for the best producers (45% of the cost of a traditional solar panel), a major improvement from the cost/wafer driven by the $50/kg Si costs of early 2011, but still a major hindrance in fulfilling DOE goal of lowering the cost of solar energy below $1/watt. The solar cell industry has grown by 40% yearly for the past eight years, increasing the demand for Sipv. As such, future solar silicon price spikes are expected in the next few years. Although industry has invested billions of dollars to meet this ever-increasing demand, the technology to produce Sipv remains largely unchanged requiring the energy intensive, and chlorine dependent Siemens process or variations thereof. While huge improvements have been made, current state-of-the-art industrial plant still use 65 kWh/kg of silicon purified. Our technology offers a key distinction to other technologies as it

  1. Relaxation of nuclear spin on holes in semiconductors

    International Nuclear Information System (INIS)

    Gr'ncharova, E.I.; Perel', V.I.

    1977-01-01

    The longitudienal relaxation time T 1 of nuclear spins due to dipole-dipole interaction with holes in semiconductors is calculated. Expressions for T 1 in cubic and uniaxial semiconductors are obtained for non-degenerate and degenerate cases. On the basis of comparison with available experimental data for silicon the agreement with the theoretical results is obtained. It is demonstrated that in uniaxial semiconductors the time of relaxation on holes for a nuclear spin directed along the c axis is considerably greater than that for a spin in the normal direction

  2. Development of a miniaturized watch-type dosimeter using a silicon printed-circuit board

    International Nuclear Information System (INIS)

    Ishikura, Takeshi; Sakamaki, Tsuyoshi; Matsumoto, Iwao; Aoyama, Kei; Nakamura, Takashi

    2008-01-01

    The electrical personal dosimeter using a silicon semiconductor sensor has the advantage of real time response and alarm function, which can prevent unexpected over-exposure. We tried to develop a miniaturized watch-type dosimeter by incorporating the silicon semiconductor sensor on a silicon printed-circuit board. Thin film resistors, capacitors and wiring patterns are formed on a downsized printed-circuit board. Electronic parts including transistors are mounted by soldering on the silicon printed-circuit board. The dosimeter is further miniaturized by downsizing the amplifier circuit, the semiconductor radiation sensor, the power supply circuit, setting parts and alarm part. The performance of the developed dosimeter was evaluated with respect to the gamma-ray spectra, angular dependence and linearity to dose equivalent rate, and it was confirmed that this dosimeter has the performance equivalent to a commercially available electrical personal dosimeter. (author)

  3. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    Science.gov (United States)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  4. Semiconductor integrated circuits

    International Nuclear Information System (INIS)

    Michel, A.E.; Schwenker, R.O.; Ziegler, J.F.

    1979-01-01

    An improved method involving ion implantation to form non-epitaxial semiconductor integrated circuits. These are made by forming a silicon substrate of one conductivity type with a recessed silicon dioxide region extending into the substrate and enclosing a portion of the silicon substrate. A beam of ions of opposite conductivity type impurity is directed at the substrate at an energy and dosage level sufficient to form a first region of opposite conductivity within the silicon dioxide region. This impurity having a concentration peak below the surface of the substrate forms a region of the one conductivity type which extends from the substrate surface into the first opposite type region to a depth between the concentration peak and the surface and forms a second region of opposite conductivity type. The method, materials and ion beam conditions are detailed. Vertical bipolar integrated circuits can be made this way when the first opposite type conductivity region will function as a collector. Also circuits with inverted bipolar devices when this first region functions as a 'buried'' emitter region. (U.K.)

  5. Semiconductor detectors in the low countries

    International Nuclear Information System (INIS)

    Heijne, Erik H.M.

    2003-01-01

    Several milestones in the development of semiconductor radiation imaging detectors are attributed to scientists from the Low Countries, the Netherlands and Belgium, and a few historical details will be highlighted. The very first usable semiconductor nuclear detector was made in Utrecht, around 1943, in the form of an AgCl crystal. The earliest large-scale application of monolithic, double-sided silicon strip detectors was in the BOL experiment around 1968 at IKO, now NIKHEF, in Amsterdam. The technology developed and patented by Philips and IKO was adapted by the author and coworkers in 1980 to produce the first silicon microstrip detector used for the reconstruction of events in a CERN fixed target experiment. An avalanche of developments then led to worldwide use of silicon microstrip detectors in elementary particle physics, motivated by the capability to reconstruct particles with lifetime ∼10 -12 s, which decay on sub-millimeter scale. The intensive activity in silicon detector R and D culminated in 1991 in the construction of fine-grained 2D monolithic and hybrid pixel detectors that incorporate sophisticated electronic functions in each microscopic detection element, with typical dimensions of 25-100 μm. Besides being a powerful high intensity tracker for particle physics, this device can also be designed as a new X-ray imager, which allows selective counting of individual photons in each pixel at MHz frequency

  6. About possible mechanisms of current transfer in the bio-polymer - semiconductor heterostructure

    International Nuclear Information System (INIS)

    Pavlov, A.A.; Dosmailov, M.A.; Karibaeva, M.K.; Kenshinbaev, N.K.; Kokanbaev, M.; Uristembekov, B.B.; Tynyshtykbaev, K.B.

    2003-01-01

    Earlier by the bio-polymer films deposition on silicon the bio-polymer - semiconductor heterostructures were created. The influence of silicon surface atoms on self-organization processes in these bio-molecules were studied. Particularly the silicon - bio-cholesterol aqueous solution and the silicon - bio-chlorophyll aqueous solution spectral photo-sensitivity were considered. In this case the of photo-response broadening in the spectral photo-sensitivity short-wave part of these systems have been observed. The similar broadening is explained by both the passivation of surface recombination centers by OH-groups and the anti-reflecting properties of aqueous solutions. Besides it is possible the additional charge carriers generation caused by quasi-inter-zone transfers in the bio-polymers depending on electron-conformation properties of macromolecules. In the paper the possible mechanisms of current transfer in the bio-polymer - semiconductor heterostructure are discussed

  7. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  8. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  9. Spatial fluctuations in barrier height at the graphene-silicon carbide Schottky junction.

    Science.gov (United States)

    Rajput, S; Chen, M X; Liu, Y; Li, Y Y; Weinert, M; Li, L

    2013-01-01

    When graphene is interfaced with a semiconductor, a Schottky contact forms with rectifying properties. Graphene, however, is also susceptible to the formation of ripples upon making contact with another material. Here we report intrinsic ripple- and electric field-induced effects at the graphene semiconductor Schottky junction, by comparing chemical vapour-deposited graphene transferred on semiconductor surfaces of opposite polarization-the hydrogen-terminated silicon and carbon faces of hexagonal silicon carbide. Using scanning tunnelling microscopy/spectroscopy and first-principles calculations, we show the formation of a narrow Schottky dipole barrier approximately 10 Å wide, which facilitates the observed effective electric field control of the Schottky barrier height. We further find atomic-scale spatial fluctuations in the Schottky barrier that directly follow the undulation of ripples on both graphene-silicon carbide junctions. These findings reveal fundamental properties of the graphene/semiconductor Schottky junction-a key component of vertical graphene devices that offer functionalities unattainable in planar device architecture.

  10. Electronic properties of semiconductor surfaces and metal/semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, M.

    2005-05-15

    This thesis reports investigations of the electronic properties of a semiconductor surface (silicon carbide), a reactive metal/semiconductor interface (manganese/silicon) and a non-reactive metal/semiconductor interface (aluminum-magnesium alloy/silicon). The (2 x 1) reconstruction of the 6H-SiC(0001) surface has been obtained by cleaving the sample along the (0001) direction. This reconstruction has not been observed up to now for this compound, and has been compared with those of similar elemental semiconductors of the fourth group of the periodic table. This comparison has been carried out by making use of photoemission spectroscopy, analyzing the core level shifts of both Si 2p and C 1s core levels in terms of charge transfer between atoms of both elements and in different chemical environments. From this comparison, a difference between the reconstruction on the Si-terminated and the C-terminated surface was established, due to the ionic nature of the Si-C bond. The growth of manganese films on Si(111) in the 1-5 ML thickness range has been studied by means of LEED, STM and photoemission spectroscopy. By the complementary use of these surface science techniques, two different phases have been observed for two thickness regimes (<1 ML and >1 ML), which exhibit a different electronic character. The two reconstructions, the (1 x 1)-phase and the ({radical}3 x {radical}3)R30 -phase, are due to silicide formation, as observed in core level spectroscopy. The growth proceeds via island formation in the monolayer regime, while the thicker films show flat layers interrupted by deep holes. On the basis of STM investigations, this growth mode has been attributed to strain due to lattice mismatch between the substrate and the silicide. Co-deposition of Al and Mg onto a Si(111) substrate at low temperature (100K) resulted in the formation of thin alloy films. By varying the relative content of both elements, the thin films exhibited different electronic properties

  11. Tin - an unlikely ally for silicon field effect transistors?

    KAUST Repository

    Hussain, Aftab M.; Fahad, Hossain M.; Singh, Nirpendra; Sevilla, Galo T.; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2014-01-01

    We explore the effectiveness of tin (Sn), by alloying it with silicon, to use SiSn as a channel material to extend the performance of silicon based complementary metal oxide semiconductors. Our density functional theory based simulation shows

  12. Tunneling emission of electrons from semiconductors' valence bands in high electric fields

    International Nuclear Information System (INIS)

    Kalganov, V. D.; Mileshkina, N. V.; Ostroumova, E. V.

    2006-01-01

    Tunneling emission currents of electrons from semiconductors to vacuum (needle-shaped GaAs photodetectors) and to a metal (silicon metal-insulator-semiconductor diodes with a tunneling-transparent insulator layer) are studied in high and ultrahigh electric fields. It is shown that, in semiconductors with the n-type conductivity, the major contribution to the emission current is made by the tunneling emission of electrons from the valence band of the semiconductor, rather than from the conduction band

  13. Long-wavelength III-V/silicon photonic integrated circuits

    NARCIS (Netherlands)

    Roelkens, G.C.; Kuyken, B.; Leo, F.; Hattasan, N.; Ryckeboer, E.M.P.; Muneeb, M.; Hu, C.L.; Malik, A.; Hens, Z.; Baets, R.G.F.; Shimura, Y.; Gencarelli, F.; Vincent, B.; Loo, van de R.; Verheyen, P.A.; Lepage, G.; Campenhout, van J.; Cerutti, L.; Rodriquez, J.B.; Tournie, E.; Chen, X; Nedeljkovic, G.; Mashanovich, G.; Liu, X.; Green, W.S.

    2013-01-01

    We review our work in the field of short-wave infrared and mid-infrared photonic integrated circuits for applications in spectroscopic sensing systems. Passive silicon waveguide circuits, GeSn photodetectors, the integration of III-V and IV-VI semiconductors on these circuits, and silicon nonlinear

  14. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  15. X-ray image intensifier camera tubes and semiconductor targets

    International Nuclear Information System (INIS)

    1979-01-01

    A semiconductor target for use in an image intensifier camera tube and a camera using the target are described. The semiconductor wafer for converting an electron image onto electrical signal consists mainly of a collector region, preferably n-type silicon. It has one side for receiving the electron image and an opposite side for storing charge carriers generated in the collector region by high energy electrons forming a charge image. The first side comprises a highly doped surface layer covered with a metal buffer layer permeable to the incident electrons and thick enough to dissipate some of the incident electron energy thereby improving the signal-to-noise ratio. This layer comprises beryllium on niobium on the highly doped silicon surface zone. Low energy Kα X-ray radiation is generated in the first layer, the radiation generated in the second layer (mainly Lα radiation) is strongly absorbed in the silicon layer. A camera tube using such a target with a photocathode for converting an X-ray image into an electron image, means to project this image onto the first side of the semiconductor wafer and means to read out the charge pattern on the second side are also described. (U.K.)

  16. Hybrid Integrated Platforms for Silicon Photonics

    Directory of Open Access Journals (Sweden)

    John E. Bowers

    2010-03-01

    Full Text Available A review of recent progress in hybrid integrated platforms for silicon photonics is presented. Integration of III-V semiconductors onto silicon-on-insulator substrates based on two different bonding techniques is compared, one comprising only inorganic materials, the other technique using an organic bonding agent. Issues such as bonding process and mechanism, bonding strength, uniformity, wafer surface requirement, and stress distribution are studied in detail. The application in silicon photonics to realize high-performance active and passive photonic devices on low-cost silicon wafers is discussed. Hybrid integration is believed to be a promising technology in a variety of applications of silicon photonics.

  17. Organic semiconductors for organic field-effect transistors

    International Nuclear Information System (INIS)

    Yamashita, Yoshiro

    2009-01-01

    The advantages of organic field-effect transistors (OFETs), such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed. (topical review)

  18. Organic semiconductors for organic field-effect transistors

    Directory of Open Access Journals (Sweden)

    Yoshiro Yamashita

    2009-01-01

    Full Text Available The advantages of organic field-effect transistors (OFETs, such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed.

  19. Using of the Modern Semiconductor Devices Based on the SiC

    Directory of Open Access Journals (Sweden)

    Pavel Drabek

    2008-01-01

    Full Text Available This paper deals with possibility of application of the semiconductor devices based on the SiC (Silicon Carbide inthe power electronics. Basic synopsis of SiC based materials problems are presented, appreciation of their properties incomparison with current using power semiconductor devices ((IGBT, MOSFET, CoolFET transistors.

  20. Silicon Carbide Junction Field Effect Transistor Digital Logic Gates Demonstrated at 600 deg. C

    Science.gov (United States)

    Neudeck, Philip G.

    1998-01-01

    The High Temperature Integrated Electronics and Sensors (HTIES) Program at the NASA Lewis Research Center is currently developing silicon carbide (SiC) for use in harsh conditions where silicon, the semiconductor used in nearly all of today's electronics, cannot function. The HTIES team recently fabricated and demonstrated the first semiconductor digital logic gates ever to function at 600 C.

  1. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  2. Defect Characterization in Semiconductors with Positron Annihilation Spectroscopy

    Science.gov (United States)

    Tuomisto, Filip

    Positron annihilation spectroscopy is an experimental technique that allows the selective detection of vacancy defects in semiconductors, providing a means to both identify and quantify them. This chapter gives an introduction to the principles of the positron annihilation techniques and then discusses the physics of some interesting observations on vacancy defects related to growth and doping of semiconductors. Illustrative examples are selected from studies performed in silicon, III-nitrides, and ZnO.

  3. Application of positron annihilation techniques for semiconductor studies

    International Nuclear Information System (INIS)

    Karwasz, G.P.; Zecca, A.; Brusa, R.S.; Pliszka, D.

    2004-01-01

    Positron annihilation techniques, being non-destructive, allowing depth profiling down to a few micrometers and detecting open-volume defects (vacancies, dislocations etc.) at single ppm concentrations constitute a valuable and complementary method, compared to other solid-state-physics studies. We give examples of investigation in the field of semiconductors with different techniques, both with and without use of positron low-energy beams. The Doppler broadening of the 511 keV annihilation line method and the slow positron beam were used to study helium-implanted silicon and the surface reduction processes in semiconducting glasses. The positron lifetime technique and coincidence spectra of the Doppler broadening were used for systematic studies of metals and semiconductors. Doppler-coincidence method was then used to identify the kinetics of oxygen precipitates in Czochralski-grown silicon

  4. Measurements of Thermophysical Properties of Molten Silicon and Geranium

    Science.gov (United States)

    Rhim, Won-Kyu

    2001-01-01

    The objective of this ground base program is to measure thermophysical properties of molten/ undercooled silicon, germanium, and Si-Ge alloys using a high temperature electrostatic levitator and in clearly assessing the need of the microgravity environment to achieve the objective with higher degrees of accuracy. Silicon and germanium are two of the most important semiconductors for industrial applications: silicon is unsurpassed as a microelectronics material, occupying more than 95% of the electronics market. Si-Ge alloy is attracting keen interest for advanced electronic and optoelectronic applications in view of its variable band gap and lattice parameter depending upon its composition. Accurate thermophysical properties of these materials are very much needed in the semiconductor industry for the growth of large high quality crystals.

  5. Production of Solar Grade (SoG) Silicon by Refining Liquid Metallurgical Grade (MG) Silicon: Final Report, 19 April 2001; FINAL

    International Nuclear Information System (INIS)

    Khattack, C. P.; Joyce, D. B.; Schmid, F.

    2001-01-01

    This report summarizes the results of the developed technology for producing SoG silicon by upgrading MG silicon with a cost goal of$20/kg in large-scale production. A Heat Exchanger Method (HEM) furnace originally designed to produce multicrystalline ingots was modified to refine molten MG silicon feedstock prior to directional solidification. Based on theoretical calculations, simple processing techniques, such as gas blowing through the melt, reaction with moisture, and slagging have been used to remove B from molten MG silicon. The charge size was scaled up from 1 kg to 300 kg in incremental steps and effective refining was achieved. After the refining parameters were established, improvements to increase the impurity reduction rates were emphasized. With this approach, 50 kg of commercially available as-received MG silicon was processed for a refining time of about 13 hours. A half life of and lt;2 hours was achieved, and the B concentration was reduced to 0.3 ppma and P concentration to 10 ppma from the original values of 20 to 60 ppma, and all other impurities to and lt;0.1 ppma. Achieving and lt;1 ppma B by this simple refining technique is a breakthrough towards the goal of achieving low-cost SoG silicon for PV applications. While the P reduction process was being optimized, the successful B reduction process was applied to a category of electronics industry silicon scrap previously unacceptable for PV feedstock use because of its high B content (50-400 ppma). This material after refining showed that its B content was reduced by several orders of magnitude, to(approx)1 ppma (0.4 ohm-cm, or about 5x1016 cm-3). NREL's Silicon Materials Research team grew and wafered small and lt;100 and gt; dislocation-free Czochralski (Cz) crystals from the new feedstock material for diagnostic tests of electrical properties, C and O impurity levels, and PV performance relative to similar crystals grown from EG feedstock and commercial Cz wafers. The PV conversion

  6. Si-semiconductor device failure mechanisms

    International Nuclear Information System (INIS)

    Clauss, H.

    1976-12-01

    This report presents investigations on failure mechanisms that may cause defects during production and operation of silicon semiconductor devices. The failure analysis of aluminium metallization defects covers topics such as step coverage, dissolution pits and electromigration. Furthermore, the generation of process induced lattice defects was investigated. Improved processes avoiding those defects were developed. (orig.) [de

  7. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  8. Integrated silicon optoelectronics

    CERN Document Server

    Zimmermann, Horst

    2000-01-01

    'Integrated Silicon Optoelectronics'assembles optoelectronics and microelectronics The book concentrates on silicon as the major basis of modern semiconductor devices and circuits Starting from the basics of optical emission and absorption and from the device physics of photodetectors, the aspects of the integration of photodetectors in modern bipolar, CMOS, and BiCMOS technologies are discussed Detailed descriptions of fabrication technologies and applications of optoelectronic integrated circuits are included The book, furthermore, contains a review of the state of research on eagerly expected silicon light emitters In order to cover the topic of the book comprehensively, integrated waveguides, gratings, and optoelectronic power devices are included in addition Numerous elaborate illustrations promote an easy comprehension 'Integrated Silicon Optoelectronics'will be of value to engineers, physicists, and scientists in industry and at universities The book is also recommendable for graduate students speciali...

  9. Silicon nanowire hot carrier electroluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Plessis, M. du, E-mail: monuko@up.ac.za; Joubert, T.-H.

    2016-08-31

    Avalanche electroluminescence from silicon pn junctions has been known for many years. However, the internal quantum efficiencies of these devices are quite low due to the indirect band gap nature of the semiconductor material. In this study we have used reach-through biasing and SOI (silicon-on-insulator) thin film structures to improve the internal power efficiency and the external light extraction efficiency. Both continuous silicon thin film pn junctions and parallel nanowire pn junctions were manufactured using a custom SOI technology. The pn junctions are operated in the reach-through mode of operation, thus increasing the average electric field within the fully depleted region. Experimental results of the emission spectrum indicate that the most dominant photon generating mechanism is due to intraband hot carrier relaxation processes. It was found that the SOI nanowire light source external power efficiency is at least an order of magnitude better than the comparable bulk CMOS (Complementary Metal Oxide Semiconductor) light source. - Highlights: • We investigate effect of electric field on silicon avalanche electroluminescence. • With reach-through pn junctions the current and carrier densities are kept constant. • Higher electric fields increase short wavelength radiation. • Higher electric fields decrease long wavelength radiation. • The effect of the electric field indicates intraband transitions as main mechanism.

  10. Understanding the microwave annealing of silicon

    Directory of Open Access Journals (Sweden)

    Chaochao Fu

    2017-03-01

    Full Text Available Though microwave annealing appears to be very appealing due to its unique features, lacking an in-depth understanding and accurate model hinder its application in semiconductor processing. In this paper, the physics-based model and accurate calculation for the microwave annealing of silicon are presented. Both thermal effects, including ohmic conduction loss and dielectric polarization loss, and non-thermal effects are thoroughly analyzed. We designed unique experiments to verify the mechanism and extract relevant parameters. We also explicitly illustrate the dynamic interaction processes of the microwave annealing of silicon. This work provides an in-depth understanding that can expedite the application of microwave annealing in semiconductor processing and open the door to implementing microwave annealing for future research and applications.

  11. Hydrogen in semiconductors II

    CERN Document Server

    Nickel, Norbert H; Weber, Eicke R; Nickel, Norbert H

    1999-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  12. Nuclear radiation detectors using high resistivity neutron transmutation doped silicon

    International Nuclear Information System (INIS)

    Gessner, T.; Irmer, K.

    1983-01-01

    A method for the production of semiconductor detectors based on high resistivity n-type silicon is described. The n-type silicon is produced by neutron irradiation of p-type silicon. The detectors are produced by planar technique. They are suitable for the spectrometry of alpha particles and for the pulse count measurement of beta particles at room temperature. (author)

  13. Superconductivity in heavily boron-doped silicon carbide

    Directory of Open Access Journals (Sweden)

    Markus Kriener, Takahiro Muranaka, Junya Kato, Zhi-An Ren, Jun Akimitsu and Yoshiteru Maeno

    2008-01-01

    Full Text Available The discoveries of superconductivity in heavily boron-doped diamond in 2004 and silicon in 2006 have renewed the interest in the superconducting state of semiconductors. Charge-carrier doping of wide-gap semiconductors leads to a metallic phase from which upon further doping superconductivity can emerge. Recently, we discovered superconductivity in a closely related system: heavily boron-doped silicon carbide. The sample used for that study consisted of cubic and hexagonal SiC phase fractions and hence this led to the question which of them participated in the superconductivity. Here we studied a hexagonal SiC sample, free from cubic SiC phase by means of x-ray diffraction, resistivity, and ac susceptibility.

  14. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    Science.gov (United States)

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  15. Achievement Report for fiscal 1997 on developing a silicon manufacturing process with reduced energy consumption. Development of silicon mass-production manufacturing technology for solar cells; 1997 nendo energy shiyo gorika silicon seizo process kaihatsu. Taiyo denchiyo silicon ryosanka seizo gijutsu no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    In order to manufacture silicon for solar cells, development is intended on a technology to manufacture silicon (SOG-Si) for solar cells by means of metallurgical methods using metallic silicon with purity generally available as an interim starting material. The silicon is required of p-type electric conductivity characteristics with specific resistance of 0.5 to 1.5 ohm per cm, to be sufficient even with 6-7N as compared to silicon for semiconductors (11-N), and to be low in cost. While the NEDO fluid bed process and the metallurgical NEDO direct reduction process have been developed based on the technology to manufacture silicon for semiconductors, the basic policy was established to develop a new manufacturing method using commercially available high-purity metallic silicon as an interim starting material, with an objective to achieve cost as low as capable of responding to small-quantity phase production for proliferation purpose. Removal of boron and phosphor has been the main issue in the development, whereas SOG-Si was manufactured in a laboratory scale by combining with the conventional component technologies in fiscal 1991 and 1992. The scale was expanded to 20 kg since fiscal 1993, and a five year plan starting fiscal 1996 was decided to develop the technology for industrial scale. Fiscal 1997 has promoted the development by using the 20-kg scale device, and introduced facilities to develop technology for mass-production scale. (NEDO)

  16. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  17. Development of radiation tolerant semiconductor detectors for the Super-LHC

    CERN Document Server

    Moll, M; Al-Ajili, A A; Alfieri, G; Allport, P P; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Barcz, A; Bates, R; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Bruzzi, M; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Charron, S; Chilingarov, A; Chren, D; Cindro, V; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, W; Betta, G F D; Dawson, I; de Boer, Wim; De Palma, M; Demina, R; Dervan, P; Dittongo, S; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; Fretwurst, E; García, C; García-Navarro, J E; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; Sevilla, S G; Gorelov, I; Goss, J; Bates, A G; Grégoire, G; Gregori, P; Grigoriev, E; Grillo, A A; Groza, A; Guskov, J; Haddad, L; Härkönen, J; Hauler, F; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, Roland Paul; Horn, M; Houdayer, A; Hourahine, B; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Johansen, K M H; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V; Kierstead, J A; Klaiber Lodewigs, J; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Koski, M; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Lazanu, S; Lazanu, I; Lebedev, A; Lebel, C; Leinonen, K; Leroy, C; Li Z; Lindström, G; Linhart, V; Litovchenko, A P; Litovchenko, P G; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, P; Macchiolo, A; Makarenko, L F; Mandic, I; Manfredotti, C; Manna, N; Garcia, S Mi; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Messineo, A; Metcalfe, J; Miglio, S; Mikuz, M; Miyamoto, J; Monakhov, E; Moscatelli, F; Naoumov, D; Nossarzhevska, E; Nysten, J; Olivero, P; OShea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A; Popule, J; Pospísil, S; Pozza, A; Radicci, V; Rafí, J M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Ruzin, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidel, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Sopko, V; Spencer, N; Stahl, J; Stolze, D; Stone, R; Storasta, J; Strokan, N; Sudzius, M; Surma, B; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Velthuis, J; Verbitskaya, E; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Yiuri, Y; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N

    2005-01-01

    The envisaged upgrade of the Large Hadron Collider (LHC) at CERN towards the Super-LHC (SLHC) with a 10 times increased luminosity of 10challenges for the tracking detectors of the SLHC experiments. Unprecedented high radiation levels and track densities and a reduced bunch crossing time in the order of 10ns as well as the need for cost effective detectors have called for an intensive R&D program. The CERN RD50 collaboration "Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders" is working on the development of semiconductor sensors matching the requirements of the SLHC. Sensors based on defect engineered silicon like Czochralski, epitaxial and oxygen enriched silicon have been developed. With 3D, Semi-3D and thin detectors new detector concepts have been evaluated and a study on the use of standard and oxygen enriched p-type silicon detectors revealed a promising approach for radiation tolerant cost effective devices. These and other most recent advancements of the RD50 ...

  18. Two gamma dose evaluation methods for silicon semiconductor detector

    International Nuclear Information System (INIS)

    Chen Faguo; Jin Gen; Yang Yapeng; Xu Yuan

    2011-01-01

    Silicon PIN diodes have been widely used as personal and areal dosimeters because of their small volume, simplicity and real-time operation. However, because silicon is neither a tissue-equivalent nor an air-equivalent material, an intrinsic disadvantage for silicon dosimeters is that a significant over-response occurs at low-energy region, especially below 200 keV. Using a energy compensation filter to flatten the energy response is one method overcoming this disadvantage. But for dose compensation method, the estimated dose depends only on the number of the detector pulses. So a weight function method was introduced to evaluate gamma dose, which depends on pulse number as well as its amplitude. (authors)

  19. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  20. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.A.

    1991-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high- efficiency gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, we have modeled parts of the detector and have nearly completed a prototype device. 2 refs

  1. Retrograde Melting and Internal Liquid Gettering in Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hudelson, Steve; Newman, Bonna K.; Bernardis, Sarah; Fenning, David P.; Bertoni, Mariana I.; Marcus, Matthew A.; Fakra, Sirine C.; Lai, Barry; Buonassisi, Tonio

    2011-07-01

    Retrograde melting (melting upon cooling) is observed in silicon doped with 3d transition metals, via synchrotron-based temperature-dependent X-ray microprobe measurements. Liquid metal-silicon droplets formed via retrograde melting act as efficient sinks for metal impurities dissolved within the silicon matrix. Cooling results in decomposition of the homogeneous liquid phase into solid multiple-metal alloy precipitates. These phenomena represent a novel pathway for engineering impurities in semiconductor-based systems.

  2. Nondestructive ultrasonic characterization of armor grade silicon carbide

    Science.gov (United States)

    Portune, Andrew Richard

    Ceramic materials have traditionally been chosen for armor applications for their superior mechanical properties and low densities. At high strain rates seen during ballistic events, the behavior of these materials relies upon the total volumetric flaw concentration more so than any single anomalous flaw. In this context flaws can be defined as any microstructural feature which detriments the performance of the material, potentially including secondary phases, pores, or unreacted sintering additives. Predicting the performance of armor grade ceramic materials depends on knowledge of the absolute and relative concentration and size distribution of bulk heterogeneities. Ultrasound was chosen as a nondestructive technique for characterizing the microstructure of dense silicon carbide ceramics. Acoustic waves interact elastically with grains and inclusions in large sample volumes, and were well suited to determine concentration and size distribution variations for solid inclusions. Methodology was developed for rapid acquisition and analysis of attenuation coefficient spectra. Measurements were conducted at individual points and over large sample areas using a novel technique entitled scanning acoustic spectroscopy. Loss spectra were split into absorption and scattering dominant frequency regimes to simplify analysis. The primary absorption mechanism in polycrystalline silicon carbide was identified as thermoelastic in nature. Correlations between microstructural conditions and parameters within the absorption equation were established through study of commercial and custom engineered SiC materials. Nonlinear least squares regression analysis was used to estimate the size distributions of boron carbide and carbon inclusions within commercial SiC materials. This technique was shown to additionally be capable of approximating grain size distributions in engineered SiC materials which did not contain solid inclusions. Comparisons to results from electron microscopy

  3. Deep-level defects in semiconductors: studies by magnetic resonance

    International Nuclear Information System (INIS)

    Ammerlaan, C.A.J.

    1983-01-01

    This work is divided into two parts. In the first one, the following topics are discussed: paramagnetic centers in semiconductors, principles of magnetic resonance, spin-Hamiltonian, g-tensor, hyperfine interaction, magnetic resonance spectrometer. In the second part it is dicussed defects studied by magnetic resonance including vacancy and divacancy in silicon, iron in silicon, nitrogen in diamond and antisite defects in III-V compounds. (A.C.A.S.) [pt

  4. Synthesis of Novel Reactive Disperse Silicon-Containing Dyes and Their Coloring Properties on Silicone Rubbers

    Directory of Open Access Journals (Sweden)

    Ning Yu

    2018-01-01

    Full Text Available Novel red and purple reactive disperse silicon-containing dyes were designed and synthesized using p-nitroaniline and 6-bromo-2,4-dinitro-aniline as diazonium components, the first condensation product of cyanuric chloride and 3-(N,N-diethylamino-aniline as coupling component, and 3-aminopropylmethoxydimethylsilane, 3-aminopropylmethyldimethoxysilane, and 3-aminopropyltrimethoxysilane as silicone reactive agents. These dyes were characterized by UV-Vis, 1H-NMR, FT-IR, and MS. The obtained reactive disperse silicon-containing dyes were used to color silicone rubbers and the color fastness of the dyes were evaluated. The dry/wet rubbing and washing fastnesses of these dyes all reached 4–5 grade and the sublimation fastness was also above 4 grade, indicating outstanding performance in terms of color fastness. Such colored silicone rubbers showed bright and rich colors without affecting its static mechanical properties.

  5. Energy Levels of Defects Created in Silicon Supersaturated with Transition Metals

    Science.gov (United States)

    García, H.; Castán, H.; Dueñas, S.; García-Hemme, E.; García-Hernansaz, R.; Montero, D.; González-Díaz, G.

    2018-03-01

    Intermediate-band semiconductors have attracted much attention for use in silicon-based solar cells and infrared detectors. In this work, n-Si substrates have been implanted with very high doses (1013 cm-2 and 1014 cm-2) of vanadium, which gives rise to a supersaturated layer inside the semiconductor. However, the Mott limit was not exceeded. The energy levels created in the supersaturated silicon were studied in detail by means of thermal admittance spectroscopy. We found a single deep center at energy near E C - 200 meV. This value agrees with one of the levels found for vanadium in silicon. The capture cross-section values of the deep levels were also calculated, and we found a relationship between the capture cross-section and the energy position of the deep levels which follows the Meyer-Neldel rule. This process usually appears in processes involving multiple excitations. The Meyer-Neldel energy values agree with those previously obtained for silicon supersaturated with titanium and for silicon contaminated with iron.

  6. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    Science.gov (United States)

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Radiation damage in semiconductor detectors

    International Nuclear Information System (INIS)

    Kraner, H.W.

    1981-12-01

    A survey is presented of the important damage-producing interactions in semiconductor detectors and estimates of defect numbers are made for MeV protons, neutrons and electrons. Damage effects of fast neutrons in germanium gamma ray spectrometers are given in some detail. General effects in silicon detectors are discussed and damage constants and their relationship to leakage current is introduced

  8. A review of recent progress in heterogeneous silicon tandem solar cells

    Science.gov (United States)

    Yamaguchi, Masafumi; Lee, Kan-Hua; Araki, Kenji; Kojima, Nobuaki

    2018-04-01

    Silicon solar cells are the most established solar cell technology and are expected to dominate the market in the near future. As state-of-the-art silicon solar cells are approaching the Shockley-Queisser limit, stacking silicon solar cells with other photovoltaic materials to form multi-junction devices is an obvious pathway to further raise the efficiency. However, many challenges stand in the way of fully realizing the potential of silicon tandem solar cells because heterogeneously integrating silicon with other materials often degrades their qualities. Recently, above or near 30% silicon tandem solar cell has been demonstrated, showing the promise of achieving high-efficiency and low-cost solar cells via silicon tandem. This paper reviews the recent progress of integrating solar cell with other mainstream solar cell materials. The first part of this review focuses on the integration of silicon with III-V semiconductor solar cells, which is a long-researched topic since the emergence of III-V semiconductors. We will describe the main approaches—heteroepitaxy, wafer bonding and mechanical stacking—as well as other novel approaches. The second part introduces the integration of silicon with polycrystalline thin-film solar cells, mainly perovskites on silicon solar cells because of its rapid progress recently. We will also use an analytical model to compare the material qualities of different types of silicon tandem solar cells and project their practical efficiency limits.

  9. NMR investigation of boron impurities in refined metallurgical grade silicon

    Energy Technology Data Exchange (ETDEWEB)

    Grafe, Hans-Joachim; Loeser, Wolfgang; Schmitz, Steffen; Sakaliyska, Miroslava [Leibniz Institute for Solid State and Materials Research (IFW), Dresden (Germany); Wurmehl, Sabine [Leibniz Institute for Solid State and Materials Research (IFW), Dresden (Germany); Institute for Solid State Physics, Technische Universitaet Dresden (Germany); Eisert, Stefan; Reichenbach, Birk; Mueller, Tim [Adensis GmbH, Dresden (Germany); Acker, Joerg; Rietig, Anja; Ducke, Jana [Department of Chemistry, Faculty for Natural Sciences, Brandenburg Technical University Cottbus-Senftenberg, Senftenberg (Germany)

    2015-09-15

    The nuclear magnetic resonance (NMR) method was applied for tracking boron impurities in the refining process of metallurgical grade (MG) silicon. From the NMR signal of the {sup 11}B isotope at an operating temperature 4.2 K, the boron concentration can be estimated down to the order of 1-10 wppm B. After melting and resolidification of MG-Si alloyed with Ca and Ti, a major fraction of B impurities remains in the Si solid solution as inferred from the characteristic NMR frequency. The alloying element Ti does not form substantial fractions of TiB{sub 2}. Acid leaching of crushed powders of MG-Si alloyed with Ca and Ti can diminish the initial impurity content of B suggesting its accumulation in the grain boundary phases. NMR signals of TiB{sub 2} at 4.2 K and room temperature (RT), and of poly-Si with different B doping at 4.2 K. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Purification of melt-spun metallurgical grade silicon micro-flakes through a multi-step segregation procedure

    Science.gov (United States)

    Martinsen, F. A.; Nordstrand, E. F.; Gibson, U. J.

    2013-01-01

    Melt-spun metallurgical grade (MG) micron dimension silicon flakes have been purified into near solar grade (SG) quality through a multi-step melting and re-solidification procedure. A wet oxidation-applied thermal oxide maintained the sample morphology during annealing while the interiors were melted and re-solidified. The small thickness of the flakes allowed for near elimination of in-plane grain boundaries, with segregation enhanced accumulation of impurities at the object surface and in the few remaining grain boundaries. A subsequent etch in 48% hydrofluoric acid (HF) removed the impure oxide layer, and part of the contamination at the oxide-silicon interface, as shown by electron dispersive spectroscopy (EDS) and backscattered electron imaging (BEI). The sample grains were investigated by electron back-scattered diffraction (EBSD) after varying numbers of oxidation-annealing-etch cycles, and were observed to grow from ˜5 μm to ˜200 μm. The concentration of iron, titanium, copper and aluminium were shown by secondary ion mass spectroscopy (SIMS) and inductively coupled plasma mass spectroscopy (ICPMS) to drop between five and six orders of magnitude. The concentration of boron was observed to drop approximately one order of magnitude. A good correlation was observed between impurity removal rates and segregation models, indicating that the purification effect is mainly caused by segregation. Deviations from these models could be explained by the formation of oxides and hydroxides later removed through etching.

  11. Tin (Sn) for enhancing performance in silicon CMOS

    KAUST Repository

    Hussain, Aftab M.; Fahad, Hossain M.; Singh, Nirpendra; Sevilla, Galo T.; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2013-01-01

    We study a group IV element: tin (Sn) by integrating it into silicon lattice, to enhance the performance of silicon CMOS. We have evaluated the electrical properties of the SiSn lattice by performing simulations using First-principle studies, followed by experimental device fabrication and characterization. We fabricated high-κ/metal gate based Metal-Oxide-Semiconductor capacitors (MOSCAPs) using SiSn as channel material to study the impact of Sn integration into silicon. © 2013 IEEE.

  12. Tin (Sn) for enhancing performance in silicon CMOS

    KAUST Repository

    Hussain, Aftab M.

    2013-10-01

    We study a group IV element: tin (Sn) by integrating it into silicon lattice, to enhance the performance of silicon CMOS. We have evaluated the electrical properties of the SiSn lattice by performing simulations using First-principle studies, followed by experimental device fabrication and characterization. We fabricated high-κ/metal gate based Metal-Oxide-Semiconductor capacitors (MOSCAPs) using SiSn as channel material to study the impact of Sn integration into silicon. © 2013 IEEE.

  13. Floating Silicon Method

    Energy Technology Data Exchange (ETDEWEB)

    Kellerman, Peter

    2013-12-21

    The Floating Silicon Method (FSM) project at Applied Materials (formerly Varian Semiconductor Equipment Associates), has been funded, in part, by the DOE under a “Photovoltaic Supply Chain and Cross Cutting Technologies” grant (number DE-EE0000595) for the past four years. The original intent of the project was to develop the FSM process from concept to a commercially viable tool. This new manufacturing equipment would support the photovoltaic industry in following ways: eliminate kerf losses and the consumable costs associated with wafer sawing, allow optimal photovoltaic efficiency by producing high-quality silicon sheets, reduce the cost of assembling photovoltaic modules by creating large-area silicon cells which are free of micro-cracks, and would be a drop-in replacement in existing high efficiency cell production process thereby allowing rapid fan-out into the industry.

  14. Exploring graphene field effect transistor devices to improve spectral resolution of semiconductor radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, Richard Karl [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Howell, Stephen Wayne [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Martin, Jeffrey B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hamilton, Allister B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2013-12-01

    Graphene, a planar, atomically thin form of carbon, has unique electrical and material properties that could enable new high performance semiconductor devices. Graphene could be of specific interest in the development of room-temperature, high-resolution semiconductor radiation spectrometers. Incorporating graphene into a field-effect transistor architecture could provide an extremely high sensitivity readout mechanism for sensing charge carriers in a semiconductor detector, thus enabling the fabrication of a sensitive radiation sensor. In addition, the field effect transistor architecture allows us to sense only a single charge carrier type, such as electrons. This is an advantage for room-temperature semiconductor radiation detectors, which often suffer from significant hole trapping. Here we report on initial efforts towards device fabrication and proof-of-concept testing. This work investigates the use of graphene transferred onto silicon and silicon carbide, and the response of these fabricated graphene field effect transistor devices to stimuli such as light and alpha radiation.

  15. Quasimetallic silicon micromachined photonic crystals

    International Nuclear Information System (INIS)

    Temelkuran, B.; Bayindir, Mehmet; Ozbay, E.; Kavanaugh, J. P.; Sigalas, M. M.; Tuttle, G.

    2001-01-01

    We report on fabrication of a layer-by-layer photonic crystal using highly doped silicon wafers processed by semiconductor micromachining techniques. The crystals, built using (100) silicon wafers, resulted in an upper stop band edge at 100 GHz. The transmission and defect characteristics of these structures were found to be analogous to metallic photonic crystals. We also investigated the effect of doping concentration on the defect characteristics. The experimental results agree well with predictions of the transfer matrix method simulations

  16. Laser tests of silicon detectors

    International Nuclear Information System (INIS)

    Dolezal, Zdenek; Escobar, Carlos; Gadomski, Szymon; Garcia, Carmen; Gonzalez, Sergio; Kodys, Peter; Kubik, Petr; Lacasta, Carlos; Marti, Salvador; Mitsou, Vasiliki A.; Moorhead, Gareth F.; Phillips, Peter W.; Reznicek, Pavel; Slavik, Radan

    2007-01-01

    This paper collects experiences from the development of a silicon sensor laser testing setup and from tests of silicon strip modules (ATLAS End-cap SCT), pixel modules (DEPFET) and large-area diodes using semiconductor lasers. Lasers of 1060 and 680 nm wavelengths were used. A sophisticated method of focusing the laser was developed. Timing and interstrip properties of modules were measured. Analysis of optical effects involved and detailed discussion about the usability of laser testing for particle detectors are presented

  17. The silicon vertex tracker for star and future applications of silicon drift detectors

    International Nuclear Information System (INIS)

    Bellwied, Rene

    2001-01-01

    The Silicon Vertex Tracker (SVT) for the STAR experiment at the Relativistic Heavy Ion Collider at Brookhaven National Laboratory has recently been completed and installed. First data were taken in July 2001. The SVT is based on a novel semi-conductor technology called Silicon Drift Detectors. 216 large area (6 by 6 cm) Silicon wafers were employed to build a three barrel device capable of vertexing and tracking in a high occupancy environment. Its intrinsic radiation hardness, its operation at room temperature and its excellent position resolution (better than 20 micron) in two dimensions with a one dimensional detector readout, make this technology very robust and inexpensive and thus a viable alternative to CCD, Silicon pixel and Silicon strip detectors in a variety of applications from fundamental research in high-energy and nuclear physics to astrophysics to medical imaging. I will describe the development that led to the STAR-SVT, its performance and possible applications for the near future

  18. On the Integration of Wide Band-gap Semiconductors in Single Phase Boost PFC Converters

    DEFF Research Database (Denmark)

    Hernandez Botella, Juan Carlos

    Power semiconductor technology has dominated the evolution of switched mode power supplies (SMPS). Advances in silicon (Si) technology, as the introduction of metal oxide field effect transistor (MOSFET), isolated gate bipolar transistors (IGBT), superjunction vertical structures and Schottky...... diodes, or the introduction of silicon carbide (SiC) diodes, provided large steps in miniaturization and efficiency improvement of switched mode power converters. Gallium nitride (GaN) and SiC semiconductor devices have already been around for some years. The first one proliferated due to the necessity...... of high frequency operation in optoelectronics applications. On the other hand, Schottky SiC power diodes were introduced in 2001 as an alternative to eliminate reverse recovery issues in Si rectifiers. Wide band-gap semiconductors offer an increased electrical field strength and electron mobility...

  19. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  20. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  1. 6th Trieste IUPAP-ICTP Semiconductor Symposium : Festschrift Harbeke (Günther)

    CERN Document Server

    Stutzmann, M

    1991-01-01

    Hydrogen on semiconductor surfaces has been an area of considerable activity over the last two decades. Structural, thermal, and dynamical properties of hydrogen chemisorbed on crystalline silicon and other semiconductors have been studied in great detail. These properties serve as a reference for related, but more complex systems such as hydrogen at multiple vacancies in crystalline semiconductors or at microvoids in amorphous samples. Interesting from a surface physics point of view is the fact that hydrogen as a monovalent element is an ideal terminator for unsaturated bonds on surfaces

  2. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  3. Soft X-ray spectromicroscopy and application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.; Warwick, A.; Padmore, H.A.; Triplett, B.B.

    1997-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved by conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at thr Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An Advanced Light Source μ-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers. (author)

  4. Irradiation effects of swift heavy ions on gallium arsenide, silicon and silicon diodes

    International Nuclear Information System (INIS)

    Bhoraskar, V.N.

    2001-01-01

    The irradiation effects of high energy lithium, boron, oxygen and silicon ions on crystalline silicon, gallium arsenide, porous silicon and silicon diodes were investigated. The ion energy and fluence were varied over the ranges 30 to 100 MeV and 10 11 to 10 14 ions/cm 2 respectively. Semiconductor samples were characterized with the x-ray fluorescence, photoluminescence, thermally stimulated exo-electron emission and optical reflectivity techniques. The life-time of minority carriers in crystalline silicon was measured with a pulsed electron beam and the lithium depth distribution in GaAs was measured with the neutron depth profiling technique. The diodes were characterized through electrical measurements. The results of optical reflectivity, life-time of minority carriers and photoluminescence show that swift heavy ions induce defects in the surface region of crystalline silicon. In the ion-irradiated GaAs, migration of silicon, oxygen and lithium atoms from the buried region towards the surface was observed, with orders of magnitude enhancement in the diffusion coefficients. Enhancement in the photoluminescence intensity was observed in the GaAs and porous silicon samples that, were irradiated with silicon ions. The trade-off between the turn-off time and the voltage, drop in diodes irradiated with different swift heavy ions was also studied. (author)

  5. High pressure semiconductor physics I

    CERN Document Server

    Willardson, R K; Paul, William; Suski, Tadeusz

    1998-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise indeed that this tra...

  6. Identification of defects in semiconductors

    CERN Document Server

    Stavola, Michael; Weber, Eicke R; Stavola, Michael

    1998-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors.The"Willardson and Beer"Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices,Oxygen in Silicon, and others promise indeed that this traditi...

  7. The fabrication of quantum wires in silicon utilising the characteristics of solid phase epitaxial regrowth of crystalline silicon

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    1998-01-01

    The process of solid phase epitaxy (SPE) in semiconductor materials is one which has been intensively researched due to possible applications in the semiconductor industry. SPE is a solid phase transformation, in which an amorphous layer can be recrystallized either through heating or a combination of heating and ion bombardment. The transformation is believed to occur exclusively at the interface between the amorphous and crystalline layers, with individual atoms from the amorphous phase being incorporated into the crystalline phase by some point defect mechanism. The process has been observed to follow an Arrhenius temperature dependence. A wafer silicon was subjected to a multi-energy silicon implant through a fine nickel grid to amorphise region to a depth of 5μm creating an array of amorphous wells. Metal impurity atoms were then implanted in this region at energy of 500 keV. Samples were examined using an optical microscope and the Alphastep profiler at RMIT. It was confirmed that burgeoning wells were about 2 μm wide and rose about 0.01 μm above the silicon substrate

  8. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  9. Silicon hybrid integration

    International Nuclear Information System (INIS)

    Li Xianyao; Yuan Taonu; Shao Shiqian; Shi Zujun; Wang Yi; Yu Yude; Yu Jinzhong

    2011-01-01

    Recently,much attention has concentrated on silicon based photonic integrated circuits (PICs), which provide a cost-effective solution for high speed, wide bandwidth optical interconnection and optical communication.To integrate III-V compounds and germanium semiconductors on silicon substrates,at present there are two kinds of manufacturing methods, i.e., heteroepitaxy and bonding. Low-temperature wafer bonding which can overcome the high growth temperature, lattice mismatch,and incompatibility of thermal expansion coefficients during heteroepitaxy, has offered the possibility for large-scale heterogeneous integration. In this paper, several commonly used bonding methods are reviewed, and the future trends of low temperature wafer bonding envisaged. (authors)

  10. EPR of defects in semiconductors: past, present, future

    International Nuclear Information System (INIS)

    Watkins, G.D.

    1999-01-01

    Important physical concepts learned from early EPR studies of defects in silicon are reviewed. Highlighted are the studies of shallow effective-mass-liked donors and acceptors of deep transition element impurities, and of vacancies and interstitials. It is shown that the concepts learned in silicon translate remarkable well to the corresponding defects in the other elemental and compound semiconductors. The introduction of sensitive optical and electrical detection methods and the recent progress in single defects detection insure the continued vital role of EPR in the future

  11. Infrared characterization of some oxygen-related defects in Czochralski silicon

    International Nuclear Information System (INIS)

    Hallberg, T.

    1993-01-01

    This thesis is based on the work made at Linkoeping University at the Department of Physics and Measurement Technology. It is divided into two parts. The first part is a short introduction to defects in silicon, Fourier transform infrared spectroscopy as well as some physics involved in semiconductor crystals. The second part consists of two papers: Enhanced oxygen precipitation in electron irradiated silicon. Annealing of electron irradiated antimony-doped Czochralski silicon

  12. Silicon Qubits

    Energy Technology Data Exchange (ETDEWEB)

    Ladd, Thaddeus D. [HRL Laboratories, LLC, Malibu, CA (United States); Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2018-02-28

    Silicon is a promising material candidate for qubits due to the combination of worldwide infrastructure in silicon microelectronics fabrication and the capability to drastically reduce decohering noise channels via chemical purification and isotopic enhancement. However, a variety of challenges in fabrication, control, and measurement leaves unclear the best strategy for fully realizing this material’s future potential. In this article, we survey three basic qubit types: those based on substitutional donors, on metal-oxide-semiconductor (MOS) structures, and on Si/SiGe heterostructures. We also discuss the multiple schema used to define and control Si qubits, which may exploit the manipulation and detection of a single electron charge, the state of a single electron spin, or the collective states of multiple spins. Far from being comprehensive, this article provides a brief orientation to the rapidly evolving field of silicon qubit technology and is intended as an approachable entry point for a researcher new to this field.

  13. Muonium states in semiconductors

    International Nuclear Information System (INIS)

    Patterson, B.D.

    1987-01-01

    There is a brief summary of what is known about the muonium states isotropic, anisotropic and diamagnetic in diamond and zincblende semiconductors. The report deals with muonium spectroscopy, including the formation probabilities, hyperfine parameters and electronic g-factors of the states. The dynamics of the states is treated including a discussion of the transition from isotropic Mu to anisotropic Mu in diamond, temperature-dependent linewidthes in silicon and germanium and effects of daping and radiation damage

  14. Process for making silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1987-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  15. Study on Characteristic of CdZnTe Semiconductor Detectors for Alpha Particle Measurement

    International Nuclear Information System (INIS)

    Kang, Sang Mook; Ha, Jang Ho; Kim, Yong Kyun; Park, Se Hwan; Kim, Han Soo; Chung, Chong Eun

    2005-01-01

    The last 2-3 years have seen continued effort in the development of a wide band gap room-temperature compound semiconductor devices aimed principally at photon imaging covering hard X-rays, synchrotrons, and low to medium energy gamma rays. Especially, among the semiconductor materials of a wide band gap, CdZnTe(CZT) has commonly used X-ray and gammaray detection applications because of the opportunity to achieve and excellent spectral and spatial resolution. It has recently been demonstrated that CZT can be used as an ancillary detector with the ability to detect both alpha particles and X-ray at room temperature. CZT detectors are relatively inexpensive compared with some silicon detectors, and are priced about the same as amorphous silicon and photodiodes which are routinely used for charged particle detection. In this paper, we investigated the use of the CZT semiconductor material as an alpha particles detector

  16. Dark Current And Voltage Measurements Of Metal-Organic-Semiconductor (M-Or-S) Diode

    International Nuclear Information System (INIS)

    Adianto

    1996-01-01

    . Some Metal-Organic-Semiconductor (M-Or-S) thin film diodes, constructed with an organic polymer (polymerized toluene) as an active component has been successfully fabricated. The thin film M-Or-S diodes were fabricated on an n-type silicon with resistivity of 250-500 Ocm and p type silicon with resistivity of 10-20 Ocm as a substrate with polymerized toluene used as insulator. When deposited on silicon wafers with electrode of evaporated Ni on the n-type silicon and evaporated Au as the electrode on the polymerized toluene film, the electronic devices of Metal-Organic- Semiconductor (M-Or-S) type can be produced with one of its characteristics is that their light sensitivity. A plasma ion deposition system was constructed and used to deposit organic monomeric substance (toluene) that functioned as an isolator between semiconductor and the evaporated metal electrodes. The current-voltage measurements for different configurations of M-Or-S devices were carried out to determine the current-voltage (1-V) characteristics for M-Or-S devices with different materials and thicknesses. In addition to the 1-V measurement mentioned before, 1-V measurements of the devices were also carried out by using a curve tracer oscilloscope, and the picture of the effective parameters of each of the device could be taken by using a polaroid camera. Since the devices are very sensitive to light, the devices were all tested in a black-box which was covered by a black cloth to make sure that there was no light coming through. The experimental results for p- and n-type silicon substrates showed that an M-Or-S diode with n-type gave a higher breakdown voltage than that p- type silicon. In addition, the reverse bias breakdown voltage increased as the thickness of the thin film increased in the range of 50 -2500 V/μm

  17. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  18. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  19. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  20. Effects of radiation on MOS structures and silicon devices

    International Nuclear Information System (INIS)

    Braeunig, D.; Fahrner, W.

    1983-02-01

    A comprehensive view of radiation effects on MOS structures and silicon devices is given. In the introduction, the interaction of radiation with semiconductor material is presented. In the next section, the electrical degradation of semiconductor devices due to this interaction is discussed. The commonly used hardening techniques are shown. The last section deals with testing of radiation hardness of devices. (orig.) [de

  1. Simulations of defect spin qubits in piezoelectric semiconductors

    Science.gov (United States)

    Seo, Hosung

    In recent years, remarkable advances have been reported in the development of defect spin qubits in semiconductors for solid-state quantum information science and quantum metrology. Promising spin qubits include the nitrogen-vacancy center in diamond, dopants in silicon, and the silicon vacancy and divacancy spins in silicon carbide. In this talk, I will highlight some of our recent efforts devoted to defect spin qubits in piezoelectric wide-gap semiconductors for potential applications in mechanical hybrid quantum systems. In particular, I will describe our recent combined theoretical and experimental study on remarkably robust quantum coherence found in the divancancy qubits in silicon carbide. We used a quantum bath model combined with a cluster expansion method to identify the microscopic mechanisms behind the unusually long coherence times of the divacancy spins in SiC. Our study indicates that developing spin qubits in complex crystals with multiple types of atom is a promising route to realize strongly coherent hybrid quantum systems. I will also discuss progress and challenges in computational design of new spin defects for use as qubits in piezoelectric crystals such as AlN and SiC, including a new defect design concept using large metal ion - vacancy complexes. Our first principles calculations include DFT computations using recently developed self-consistent hybrid density functional theory and large-scale many-body GW theory. This work was supported by the National Science Foundation (NSF) through the University of Chicago MRSEC under Award Number DMR-1420709.

  2. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  3. Diffuse scattering from hemispherical nanoparticles at the air–silicon interface

    International Nuclear Information System (INIS)

    Centeno, Anthony; Ahmed, Badar; Reehal, Haricharan; Xie, Fang

    2013-01-01

    There has been much recent interest in the application of plasmonics to improve the efficiency of silicon solar cells. In this paper we use finite difference time domain calculations to investigate the placement of hemispherical gold nanoparticles on the rear surface of a silicon solar cell. The results indicate that nanoparticles protruding into the silicon, rather than into air, have a larger scattering efficiency and diffuse scattering into the semiconductor. This finding could lead to improved light trapping within a thin silicon solar cell device. (paper)

  4. Semiconductor-metal phase transition of vanadium dioxide nanostructures on silicon substrate: Applications for thermal control of spacecraft

    International Nuclear Information System (INIS)

    Leahu, G. L.; Li Voti, R.; Larciprete, M. C.; Belardini, A.; Mura, F.; Sibilia, C.; Bertolotti, M.; Fratoddi, I.

    2013-01-01

    We present a detailed infrared study of the semiconductor-to-metal transition (SMT) in a vanadium dioxide (VO2) film deposited on silicon wafer. The VO2 phase transition is studied in the mid-infrared (MIR) region by analyzing the transmittance and the reflectance measurements, and the calculated emissivity. The temperature behaviour of the emissivity during the SMT put into evidence the phenomenon of the anomalous absorption in VO2 which has been explained by applying the Maxwell Garnett effective medium approximation theory, together with a strong hysteresis phenomenon, both useful to design tunable thermal devices to be applied for the thermal control of spacecraft. We have also applied the photothermal radiometry in order to study the changes in the modulated emissivity induced by laser. Experimental results show how the use of these techniques represent a good tool for a quantitative measurement of the optothermal properties of vanadium dioxide based structures

  5. Analysis of the Vignale-Kohn current functional in the calculation of the optical spectra of semiconductors

    NARCIS (Netherlands)

    Berger, J. A.; de Boeij, P. L.; van Leeuwen, R.

    In this work, we investigate the Vignale-Kohn current functional when applied to the calculation of optical spectra of semiconductors. We discuss our results for silicon. We found qualitatively similar results for other semiconductors. These results show that there are serious limitations to the

  6. Detector materials: germanium and silicon

    International Nuclear Information System (INIS)

    Haller, E.E.

    1981-11-01

    This article is a summary of a short course lecture given in conjunction with the 1981 Nuclear Science Symposium. The basic physical properties of elemental semiconductors are reviewed. The interaction of energetic radiation with matter is discussed in order to develop a feeling for the appropriate semiconductor detector dimensions. The extremely low net dopant concentrations which are required are derived directly from the detector dimensions. A survey of the more recent techniques which have been developed for the analysis of detector grade semiconductor single crystals is presented

  7. ATLAS Silicon Microstrip Tracker

    CERN Document Server

    Haefner, Petra; The ATLAS collaboration

    2010-01-01

    The SemiConductor Tracker (SCT), made up from silicon micro-strip detectors is the key precision tracking device in ATLAS, one of the experiments at CERN LHC. The completed SCT is in very good shape: 99.3% of the SCT strips are operational, noise occupancy and hit efficiency exceed the design specifications. In the talk the current status of the SCT will be reviewed. We will report on the operation of the detector and observed problems, with stress on the sensor and electronics performance. TWEPP Summary In December 2009 the ATLAS experiment at the CERN Large Hadron Collider (LHC) recorded the first proton- proton collisions at a centre-of-mass energy of 900 GeV and this was followed by the unprecedented energy of 7 TeV in March 2010. The SemiConductor Tracker (SCT) is the key precision tracking device in ATLAS, made up from silicon micro-strip detectors processed in the planar p-in-n technology. The signal from the strips is processed in the front-end ASICS ABCD3TA, working in the binary readout mode. Data i...

  8. Effects of 400 keV electrons flux on two space grade silicone rubbers

    Energy Technology Data Exchange (ETDEWEB)

    Jochem, H. [ONERA – The French Aerospace Lab, F-31055 Toulouse (France); Université de Toulouse, UPS, and CNRS, LHFA, UMR 5069, 118 route de Narbonne, F-31062 Toulouse Cedex 9 (France); CNES – French Aerospace Agency, 18 Avenue Edouard Belin, F-31401 Toulouse Cedex 9 (France); Rejsek-Riba, V. [ONERA – The French Aerospace Lab, F-31055 Toulouse (France); Maerten, E., E-mail: maerten@chimie.ups-tlse.fr [Université de Toulouse, UPS, and CNRS, LHFA, UMR 5069, 118 route de Narbonne, F-31062 Toulouse Cedex 9 (France); Remaury, S. [CNES – French Aerospace Agency, 18 Avenue Edouard Belin, F-31401 Toulouse Cedex 9 (France); Solé, S.; Sierra, G. [MAP Coatings – ZI, 2 Rue Clément Ader, 09100 Pamiers (France); Baceiredo, A. [Université de Toulouse, UPS, and CNRS, LHFA, UMR 5069, 118 route de Narbonne, F-31062 Toulouse Cedex 9 (France); Guillaumon, O. [MAP Coatings – ZI, 2 Rue Clément Ader, 09100 Pamiers (France)

    2013-08-15

    Two different space grade silicone rubbers were irradiated by an electron flux of 400 keV. The irradiation impact strongly depends on the chemical structure of rubbers (one reinforced with MQ resins, and the other one functionalized with phenyl groups at the silicon atoms and reinforced with silica). The irradiated rubbers were studied by means of solvent swelling, solid-state {sup 29}Si NMR, and ATR–FTIR spectroscopy. Physical properties were evaluated by thermal (differential scanning calorimetry), mechanical (dynamic mechanical analysis), and thermo-optical (ultraviolet–visible–near infrared spectroscopy) analyses. The formation of silicium T units and Si–CH{sub 2}–Si networks were evidenced by {sup 29}Si NMR, and the increase of the glass transition temperature and of modulus reflect the substantial increase in the macromolecular chain rigidity of the irradiated material. Dramatic damages of mechanical properties were observed, depending on the reinforced materials used. Slight changes of thermo-optical properties were highlighted independently to the initial chemical structure. - Highlights: • Electron flux radiations generate chains cross-linking. • Cross-linking occurs by T and Si–CH{sub 2}–Si units formation. • The cross-linking rate is slightly faster for 2D rubber compared to 3D rubber. • Modulus increases much more significantly for 3D rubber.

  9. Test and evaluation of semiconductor components in mixed field radiation monitoring

    International Nuclear Information System (INIS)

    Cardenas, Jose Patricio N.; Madi Filho, Tufic; Rodrigues, Leticia L.C.

    2009-01-01

    Silicon components have found extensive use in nuclear spectroscopy and counting, as described in many articles in the last three decades. These devices have found utility in radiation dosimetry because a diode, for instance, produces a current approximately 18000 times higher than any ionization chamber of equal sensitive volume. This reduces stringent requirements from the electronics used to amplify or integrate the current and / or allows approaching the ideal detector point for the mapping of radiation fields. For better performance, in the case of diodes, they are normally used with high inverse polarity to obtain a deeper barrier, less noise and shorter transit time. The aim of this work was the evaluation of these semiconductor components for application in ionizing radiation fields monitoring, in nuclear research reactors and radiotherapy facilities, for radiation protection and health physics purposes. Experimental configurations to analyze the performance of commercial semiconductors, such as silicon PIN Photodiodes and Silicon Surface Barrier Detectors, were developed and the performance of three different configurations of charge preamplifier with silicon components was also studied. Components were evaluated for application as neutron detectors, using some types of radiators (converters). The radiation response of these silicon components to neutron fields from nuclear research reactors IEA-R1 and IPEN-MB1 (thermal, epithermal and fast neutrons), from beam holes, experimental halls and AmBe neutron sources in laboratory was investigated. (author)

  10. Radiation effects in technologies of semiconductor materials and devises

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Bogatyrev, Yu.V.; Lastovskij, S.B.; Marchenko, I.G.; Zhdanovich, N.E.

    2003-01-01

    In the paper were considered the physical basics and practical results of using of penetrating radiations in technologies of nuclear transmutation of semiconductor materials (Si, GaAs) as well as in production of semiconductor devices including high-power silicon diodes, thyristors and transistors. It is shown the high efficiency of radiation technology for increasing of electronic device speed, exclusion of technological operations such as gold or platinum diffusions, increase of quality, decrease of prime cost and increase of good-to-bad device ratio yield

  11. Lithium ion batteries based on nanoporous silicon

    Science.gov (United States)

    Tolbert, Sarah H.; Nemanick, Eric J.; Kang, Chris Byung-Hwa

    2015-09-22

    A lithium ion battery that incorporates an anode formed from a Group IV semiconductor material such as porous silicon is disclosed. The battery includes a cathode, and an anode comprising porous silicon. In some embodiments, the anode is present in the form of a nanowire, a film, or a powder, the porous silicon having a pore diameters within the range between 2 nm and 100 nm and an average wall thickness of within the range between 1 nm and 100 nm. The lithium ion battery further includes, in some embodiments, a non-aqueous lithium containing electrolyte. Lithium ion batteries incorporating a porous silicon anode demonstrate have high, stable lithium alloying capacity over many cycles.

  12. Soft X-ray spectromicroscopy and its application to semiconductor microstructure characterization

    International Nuclear Information System (INIS)

    Gozzo, F.; Franck, K.; Howells, M.R.; Hussain, Z.

    1996-01-01

    The universal trend towards device miniaturization has driven the semiconductor industry to develop sophisticated and complex instrumentation for the characterization of microstructures. Many significant problems of relevance to the semiconductor industry cannot be solved with conventional analysis techniques, but can be addressed with soft x-ray spectromicroscopy. An active spectromicroscopy program is being developed at the Advanced Light Source, attracting both the semiconductor industry and the materials science academic community. Examples of spectromicroscopy techniques are presented. An ALS(mu)-XPS spectromicroscopy project is discussed, involving the first microscope completely dedicated and designed for microstructure analysis on patterned silicon wafers

  13. Thermal resistor on the base of silicon and some polymer semiconductors

    International Nuclear Information System (INIS)

    Marupov, R.; Kasimov, Sh.T.; Achilov, T.Kh.; Karimov, Kh.S.; Akhmedov, Kh.M.

    1995-01-01

    The purpose of present work is investigation electrical properties ofthermal resistors which was made from second cast poly-crystal silicon,poly-carbazole, and compositions of poly-crystal silicon and poly-carbazole

  14. GaN-on-Silicon - Present capabilities and future directions

    Science.gov (United States)

    Boles, Timothy

    2018-02-01

    Gallium Nitride, in the form of epitaxial HEMT transistors on various substrate materials, is the newest and most promising semiconductor technology for high performance devices in the RF, microwave, and mmW arenas. This is particularly true for GaN-on-Silicon based devices and MMIC's which enable both state-of-the-art high frequency functionality and the ability to scale production into large wafer diameter CMOS foundries. The design and development of GaN-on-Silicon structures and devices will be presented beginning with the basic material parameters, growth of the required epitaxial construction, and leading to the fundamental operational theory of high frequency, high power HEMTs. In this discussion comparisons will be made with alternative substrate materials with emphasis on contrasting the inherent advantages of a silicon based system. Theory of operation of microwave and mmW high power HEMT devices will be presented with special emphasis on fundamental limitations of device performance including inherent frequency limiting transit time analysis, required impedance transformations, internal and external parasitic reactance, thermal impedance optimization, and challenges improved by full integration into monolithic MMICs. Lastly, future directions for implementing GaN-on-Silicon into mainstream CMOS silicon semiconductor technologies will be discussed.

  15. Porous silicon localization for implementation in matrix biosensors

    International Nuclear Information System (INIS)

    Benilov, A.; Cabrera, M.; Skryshevsky, V.; Martin, J.-R.

    2007-01-01

    The search of appropriate substrates and methods of surface DNA functionalisation is one of the important tasks of semiconductor biosensors. In this work we develop a method of light-assisted porous silicon etching in order to localize porous silicon spots on silicon substrate for matrix fluorophore-labeled DNA sensors implementation. The principal difference of porous spots localization proposed is considered for n- and p-type Si substrates under the condition of supplementary illumination. The tuning of the porous profile via applying of lateral electric field is proposed and experimentally proved

  16. Porous silicon gettering

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.

  17. Effect of neutron irradiation on p-type silicon

    International Nuclear Information System (INIS)

    Sopko, B.

    1973-01-01

    The possibilities are discussed of silicon isotope reactions with neutrons of all energies. In the reactions, 30 Si is converted to a stable phosphorus isotope forming n-type impurities in silicon. The above reactions proceed as a result of thermal neutron irradiation. An experiment is reported involving irradiation of two p-type silicon single crystals having a specific resistance of 2000 ohm.cm and 5000 to 20 000 ohm.cm, respectively, which changed as a result of irradiation into n-type silicon with a given specific resistance. The specific resistance may be pre-calculated from the concentration of impurities and the time of irradiation. The effects of irradiation on other silicon parameters and thus on the suitability of silicon for the manufacture of semiconductor elements are discussed. (J.K.)

  18. Basic opto-electronics on silicon for sensor applications

    NARCIS (Netherlands)

    Joppe, J.L.; Bekman, H.H.P.Th.; de Krijger, A.J.T.; Albers, H.; Chalmers, J.; Chalmers, J.D.; Holleman, J.; Ikkink, T.J.; Ikkink, T.; van Kranenburg, H.; Zhou, M.-J.; Zhou, Ming-Jiang; Lambeck, Paul

    1994-01-01

    A general platform for integrated opto-electronic sensor systems on silicon is proposed. The system is based on a hybridly integrated semiconductor laser, ZnO optical waveguides and monolithic photodiodes and electronic circuiry.

  19. Recent advancements in the development of radiation hard semiconductor detectors for S-LHC

    CERN Document Server

    Fretwurst, E; Al-Ajili, A A; Alfieri, G; Allport, P P; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Barcz, A; Bates, R; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Bruzzi, M; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Charron, S; Chilingarov, A G; Chren, D; Cindro, V; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, L; Dalla Betta, G F; Dawson, I; de Boer, Wim; De Palma, M; Demina, R; Dervan, P; Dittongo, S; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Ferbel, T; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; García, C; García-Navarro, J E; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; González-Sevilla, S; Gorelov,I; Goss, J; Gouldwell-Bates, A; Grégoire, G; Gregori, P; Grigoriev, E; Grillo, A A; Groza, A; Guskov, J; Haddad, L; Härkönen, J; Hauler, F; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, R P; Horn, M; Houdayer, A; Hourahine, B; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Johansen, K M H; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V P; Kierstead, J A; Klaiber Lodewigs, J M; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Koski, M; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Lazanu, I; Lazanu, S; Lebedev, A; Lebel, C; Leinonen, K; Leroy, C; Li, Z; Lindström, G; Linhart, V; Litovchenko, P G; Litovchenko, A P; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, Panja; Macchiolo, A; Makarenko, L F; Mandic, I; Manfredotti, C; Manna, N; Martí i García, S; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Messineo, A; Metcalfe, J; Miglio, S; Mikuz, M; Miyamoto, J; Moll, M; Monakhov, E; Moscatelli, F; Naoumov, D; Nossarzhevska, E; Nysten, J; Olivero, P; O'Shea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A; Populea, J; Pospísil, S; Pozza, A; Radicci, V; Rafí, J M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Ruzin, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidela, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Sopko, V; Spencer, N; Stahl, J; Stolze, D; Stone, R; Storasta, J; Strokan, N; Sudzius, M; Surma, B; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Velthuis, J; Verbitskaya, E; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Yiuri, Y; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N

    2005-01-01

    The proposed luminosity upgrade of the Large Hadron Collider (S-LHC) at CERN will demand the innermost layers of the vertex detectors to sustain fluences of about 1016 hadrons/cm2. Due to the high multiplicity of tracks, the required spatial resolution and the extremely harsh radiation field new detector concepts and semiconductor materials have to be explored for a possible solution of this challenge. The CERN RD50 collaboration “Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders” has started in 2002 an R&D program for the development of detector technologies that will fulfill the requirements of the S-LHC. Different strategies are followed by RD50 to improve the radiation tolerance. These include the development of defect engineered silicon like Czochralski, epitaxial and oxygen-enriched silicon and of other semiconductor materials like SiC and GaN as well as extensive studies of the microscopic defects responsible for the degradation of irradiated sensors. Furthe...

  20. Multilayer Semiconductor Charged-Particle Spectrometers for Accelerator Experiments

    Science.gov (United States)

    Gurov, Yu. B.; Lapushkin, S. V.; Sandukovsky, V. G.; Chernyshev, B. A.

    2018-03-01

    The current state of studies in the field of development of multilayer semiconductor systems (semiconductor detector (SCD) telescopes), which allow the energy to be precisely measured within a large dynamic range (from a few to a few hundred MeV) and the particles to be identified in a wide mass range (from pions to multiply charged nuclear fragments), is presented. The techniques for manufacturing the SCD telescopes from silicon and high-purity germanium are described. The issues of measuring characteristics of the constructed detectors and their impact on the energy resolution of the SCD telescopes and on the quality of the experimental data are considered. Much attention is given to the use of the constructed semiconductor devices in experimental studies at accelerators of PNPI (Gatchina), LANL (Los Alamos) and CELSIUS (Uppsala).

  1. TEM investigation of aluminium containing precipitates in high aluminium doped silicon carbide

    International Nuclear Information System (INIS)

    Wong-Leung, J.; FitzGerald, J.D.

    2002-01-01

    Full text: Silicon carbide is a promising semiconductor material for applications in high temperature and high power devices. The successful growth of good quality epilayers in this material has enhanced its potential for device applications. As a novel semiconductor material, there is a need for studying its basic physical properties and the role of dopants in this material. In this study, silicon carbide epilayers were grown on 4H-SiC wafers of (0001) orientation with a miscut angle of 8 deg at a temperature of 1550 deg C. The epilayers contained regions of high aluminium doping well above the solubility of aluminium in silicon carbide. High temperature annealing of this material resulted in the precipitation of aluminium in the wafers. The samples were analysed by secondary ion mass spectrometry and transmission electron microscopy. Selected area diffraction studies show the presence of aluminium carbide and aluminium silicon carbide phases. Copyright (2002) Australian Society for Electron Microscopy Inc

  2. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  3. Laser photo-reflectance characterization of resonant nonlinear electro-refraction in thin semiconductor films

    International Nuclear Information System (INIS)

    Chism, Will; Cartwright, Jason

    2012-01-01

    Photo-reflectance (PR) measurements provide a non-contact means for the precise characterization of semiconductor electronic properties. In this paper, we investigate the use of a laser beam as the probe beam in the PR setup. In this case it is seen that the nonlinear refraction is responsible for the amplitude change of the reflected probe field, whereas the phase change is due to nonlinear absorption. The open aperture condition may then be used to eliminate the spatial phase at the detector, thereby isolating the electro-refractive contribution to the PR signal. This greatly simplifies the PR analysis and allows absolute measurements of electro-refraction in thin semiconductor films. We report the application of the laser PR technique to characterize physical strain in thin silicon on silicon-germanium films. - Highlights: ► We describe the theory of laser photoreflectance. ► Laser photoreflectance is used to independently characterize nonlinear refraction. ► We report the characterization of strain in thin strained silicon films.

  4. Second International Conference on Neutron Transmutation Doping in Semiconductors

    CERN Document Server

    Neutron Transmutation Doping in Semiconductors

    1979-01-01

    This volume contains the invited and contributed papers presented at the Second International Conference on Neutron Transmutation Doping in Semiconductors held April 23-26, 1978 at the University of Missouri-Columbia. The first "testing of the waters" symposium on this subject was organized by John Cleland and Dick Wood of the Solid-State Division of Oak Ridge National Laboratory in April of 1976, just one year after NTD-silicon appeared on the marketplace. Since this first meeting, NTD-silicon has become established as the starting material for the power device industry and reactor irradiations are now measured in tens of tons of material per annum making NTD processing the largest radiation effects technology in the semiconductor industry. Since the first conference at Oak Ridge, new applications and irradiation techniques have developed. Interest in a second con­ ference and in publishing the proceedings has been extremely high. The second conference at the University of Missouri was attended by 114 perso...

  5. Investigation of optimized experimental parameters including laser wavelength for boron measurement in photovoltaic grade silicon using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Darwiche, S.; Benmansour, M.; Eliezer, N.; Morvan, D.

    2010-01-01

    The quantification of boron and other impurities in photovoltaic grade silicon was investigated using the LIBS technique with attention to the laser wavelength employed, temporal parameters, and the nature of the ambient gas. The laser wavelength was found to have a moderate effect on the performance of the process, while the type of purge gas and temporal parameters had a strong effect on the signal-to-background ratio (SBR) of the boron spectral emission, which was used to determine the boron concentration in silicon. The three parameters are not independent, meaning that for each different purge gas, different optimal temporal parameters are observed. Electron density was also calculated from Stark broadening of the 390.5 nm silicon emission line in order to better understand the different performances observed when using different gases and gating parameters. Calibration curves were made for boron measurement in silicon using certified standards with different purge gases while using the temporal parameters which had been optimized for that gas. By comparing the calibration curves, it was determined that argon is superior to helium or air for use as the analysis chamber purge gas with an UV laser.

  6. Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.

    Science.gov (United States)

    Xue, Mianqiang; Yan, Guoqing; Li, Jia; Xu, Zhenming

    2012-10-02

    Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e-waste. However, the components of e-waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper), semiconductors (extrinsic silicon), and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88%, respectively. This study contributes to the efficient recycling of valuable resources from e-waste.

  7. High-End Silicon PDICs

    Directory of Open Access Journals (Sweden)

    H. Zimmermann

    2008-05-01

    Full Text Available An overview on integrated silicon photodiodes and photodiode integrated circuits (PDICs or optoelectronic integrated circuits (OEICs for optical storage systems (OSS and fiber receivers is given. It is demonstrated, that by using low-cost silicon technologies high-performance OEICs being true competitors for some III/V-semiconductor OEICs can be realized. OSS-OEICs with bandwidths of up to 380 MHz and fiber receivers with maximum data rates of up to 11 Gbps are described. Low-cost data comm receivers for plastic optical fibers (POF as well as new circuit concepts for OEICs and highly parallel optical receivers are described also in the following.

  8. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  9. Determination of surface recombination velocity and bulk lifetime in detector grade silicon and germanium crystals

    International Nuclear Information System (INIS)

    Derhacobian, N.; Fine, P.; Walton, J.T.; Wong, Y.K.; Rossington, C.S.; Luke, P.N.

    1993-10-01

    Utility of a noncontact photoconductive decay (PCD) technique is demonstrated in measuring bulk lifetime, τ B , and surface recombination velocity, S, in detector grade silicon and germanium crystals. We show that the simple analytical equations which relate the observed effective lifetimes in PCD transients to τ B and S have a limited range of applicability. The noncontact PCD technique is used to determine the effect of several surface treatments on the observed effective lifetimes in Si and Ge. A degradation of the effective lifetime in Si is reported as result of the growth of a thin layer of native oxide at room temperature under atmospheric conditions

  10. Graded index and randomly oriented core-shell silicon nanowires for broadband and wide angle antireflection

    Directory of Open Access Journals (Sweden)

    P. Pignalosa

    2011-09-01

    Full Text Available Antireflection with broadband and wide angle properties is important for a wide range of applications on photovoltaic cells and display. The SiOx shell layer provides a natural antireflection from air to the Si core absorption layer. In this work, we have demonstrated the random core-shell silicon nanowires with both broadband (from 400nm to 900nm and wide angle (from normal incidence to 60º antireflection characteristics within AM1.5 solar spectrum. The graded index structure from the randomly oriented core-shell (Air/SiOx/Si nanowires may provide a potential avenue to realize a broadband and wide angle antireflection layer.

  11. Isotopically controlled semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Haller, Eugene E.

    2006-06-19

    The following article is an edited transcript based on the Turnbull Lecture given by Eugene E. Haller at the 2005 Materials Research Society Fall Meeting in Boston on November 29, 2005. The David Turnbull Lectureship is awarded to recognize the career of a scientist who has made outstanding contributions to understanding materials phenomena and properties through research, writing, and lecturing, as exemplified by the life work of David Turnbull. Haller was named the 2005 David Turnbull Lecturer for his 'pioneering achievements and leadership in establishing the field of isotopically engineered semiconductors; for outstanding contributions to materials growth, doping and diffusion; and for excellence in lecturing, writing, and fostering international collaborations'. The scientific interest, increased availability, and technological promise of highly enriched isotopes have led to a sharp rise in the number of experimental and theoretical studies with isotopically controlled semiconductor crystals. This article reviews results obtained with isotopically controlled semiconductor bulk and thin-film heterostructures. Isotopic composition affects several properties such as phonon energies, band structure, and lattice constant in subtle, but, for their physical understanding, significant ways. Large isotope-related effects are observed for thermal conductivity in local vibrational modes of impurities and after neutron transmutation doping. Spectacularly sharp photoluminescence lines have been observed in ultrapure, isotopically enriched silicon crystals. Isotope multilayer structures are especially well suited for simultaneous self- and dopant-diffusion studies. The absence of any chemical, mechanical, or electrical driving forces makes possible the study of an ideal random-walk problem. Isotopically controlled semiconductors may find applications in quantum computing, nanoscience, and spintronics.

  12. Pseudo-direct bandgap transitions in silicon nanocrystals: effects on optoelectronics and thermoelectrics

    Science.gov (United States)

    Singh, Vivek; Yu, Yixuan; Sun, Qi-C.; Korgel, Brian; Nagpal, Prashant

    2014-11-01

    While silicon nanostructures are extensively used in electronics, the indirect bandgap of silicon poses challenges for optoelectronic applications like photovoltaics and light emitting diodes (LEDs). Here, we show that size-dependent pseudo-direct bandgap transitions in silicon nanocrystals dominate the interactions between (photoexcited) charge carriers and phonons, and hence the optoelectronic properties of silicon nanocrystals. Direct measurements of the electronic density of states (DOS) for different sized silicon nanocrystals reveal that these pseudo-direct transitions, likely arising from the nanocrystal surface, can couple with the quantum-confined silicon states. Moreover, we demonstrate that since these transitions determine the interactions of charge carriers with phonons, they change the light emission, absorption, charge carrier diffusion and phonon drag (Seebeck coefficient) in nanoscaled silicon semiconductors. Therefore, these results can have important implications for the design of optoelectronics and thermoelectric devices based on nanostructured silicon.While silicon nanostructures are extensively used in electronics, the indirect bandgap of silicon poses challenges for optoelectronic applications like photovoltaics and light emitting diodes (LEDs). Here, we show that size-dependent pseudo-direct bandgap transitions in silicon nanocrystals dominate the interactions between (photoexcited) charge carriers and phonons, and hence the optoelectronic properties of silicon nanocrystals. Direct measurements of the electronic density of states (DOS) for different sized silicon nanocrystals reveal that these pseudo-direct transitions, likely arising from the nanocrystal surface, can couple with the quantum-confined silicon states. Moreover, we demonstrate that since these transitions determine the interactions of charge carriers with phonons, they change the light emission, absorption, charge carrier diffusion and phonon drag (Seebeck coefficient) in

  13. Formation of strain-induced quantum dots in gated semiconductor nanostructures

    Directory of Open Access Journals (Sweden)

    Ted Thorbeck

    2015-08-01

    Full Text Available A long-standing mystery in the field of semiconductor quantum dots (QDs is: Why are there so many unintentional dots (also known as disorder dots which are neither expected nor controllable. It is typically assumed that these unintentional dots are due to charged defects, however the frequency and predictability of the location of the unintentional QDs suggests there might be additional mechanisms causing the unintentional QDs besides charged defects. We show that the typical strains in a semiconductor nanostructure from metal gates are large enough to create strain-induced quantum dots. We simulate a commonly used QD device architecture, metal gates on bulk silicon, and show the formation of strain-induced QDs. The strain-induced QD can be eliminated by replacing the metal gates with poly-silicon gates. Thus strain can be as important as electrostatics to QD device operation operation.

  14. Apparatus for making molten silicon

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  15. Positron annihilation and Wheeler complexes in semiconductors

    International Nuclear Information System (INIS)

    Prokop'ev, E.P.

    1995-01-01

    Properties of Ps-Ex (positron-exciton) complex nature Wheeler complexes that may be formed at irradiation of semiconductors and ion crystals by positrons at low temperature under conditions of optical excitation by excitons are studied. Binding energy of similar and more complex systems regarding decomposition in Ps and Ex and/or Ex ± exceeds, at least, 0.1 eV, while lifetime regarding biquantum-self-annihilation constitutes τ 2γ ∼5.02x10 - 10 κ c 3 c (κ c -phenomenological parameter of the effective mass method). The lifetime estimations enabled to conclude that Ps-Ex complexes may be detected in some oxide semiconductors, in zinc sulfide, as well as, in alkaline-haloid crystals. At the same time, in silicon, gallium arsenide and in other semiconductors of A 3 B 5 and A 2 B 6 it is highly improbable to observe these complexes. 27 refs

  16. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  17. Wave-function reconstruction in a graded semiconductor superlattice

    DEFF Research Database (Denmark)

    Lyssenko, V. G.; Hvam, Jørn Märcher; Meinhold, D.

    2004-01-01

    We reconstruct a test wave function in a strongly coupled, graded well-width superlattice by resolving the spatial extension of the interband polarisation and deducing the wave function employing non-linear optical spectroscopy. The graded gap superlattice allows us to precisely control the dista...

  18. Muon flux measurement with silicon detectors in the CERN neutrino beams

    International Nuclear Information System (INIS)

    Heijne, E.H.M.

    1983-01-01

    The neutrino beam installations at the CERN SPS accelerator are described, with emphasis on the beam monitoring systems. Especially the muon flux measurement system is considered in detail, and the calibration procedure and systematic aspects of the measurements are discussed. An introduction is given to the use of silicon semiconductor detectors and their related electronics. Other special chapters concern non-linear phenomena in the silicon detectors, radiation damage in silicon detectors, energy loss and energy deposition in silicon and a review of energy loss phenomena for high energy muons in matter. (orig.)

  19. Safety procedures used during the manufacturing of amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dickson, C R

    1987-01-01

    The Solarex Thin Film Division is a leader in the manufacturing of amorphous-silicon products for sale in domestic and foreign markets. Similarly, Solarex assumes a leadership role in recognizing the importance of safety in a manufacturing environment. Although many of the safety issues are similar to those in the semiconductor industry, this paper presents topics specific to amorphous silicon technology and the manufacturing ,f amorphous-silicon products. These topics are deposition of conducting transparent oxides (CTOs), amorphous silicon deposition, laser scribing, processing chemicals, fire prevention and administrative responsibilities.

  20. Silicon carbide devices: more reliability for transmission and distribution systems; Dispositivos de SiC: mais confiabilidade para sistemas de transmissao e distribuicao

    Energy Technology Data Exchange (ETDEWEB)

    Basset, Roger; Ballad, John [Areva T and D Tecnology Centre (United Kingdom)

    2006-05-15

    The silicon carbide power semiconductors will represent an essential role in relation to electrical nets in the future. Counting with higher voltage levels, more rapid commutations and allowing higher temperatures then the current silicon semiconductors, they will result in power electronic equipment with lower dissipation and smaller amount of components, becoming more compacts and reliable.

  1. Nanolayer surface passivation schemes for silicon solar cells

    NARCIS (Netherlands)

    Dingemans, G.

    2011-01-01

    This thesis is concerned with nanolayer surface passivation schemes and corresponding deposition processes, for envisaged applications in crystalline silicon solar cells. Surface passivation, i.e. the reduction of electronic recombination processes at semiconductor surfaces, is essential for

  2. Nanostructured Semiconductor Materials for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Carmen Cavallo

    2017-01-01

    Full Text Available Since O’Regan and Grätzel’s first report in 1991, dye-sensitized solar cells (DSSCs appeared immediately as a promising low-cost photovoltaic technology. In fact, though being far less efficient than conventional silicon-based photovoltaics (being the maximum, lab scale prototype reported efficiency around 13%, the simple design of the device and the absence of the strict and expensive manufacturing processes needed for conventional photovoltaics make them attractive in small-power applications especially in low-light conditions, where they outperform their silicon counterparts. Nanomaterials are at the very heart of DSSC, as the success of its design is due to the use of nanostructures at both the anode and the cathode. In this review, we present the state of the art for both n-type and p-type semiconductors used in the photoelectrodes of DSSCs, showing the evolution of the materials during the 25 years of history of this kind of devices. In the case of p-type semiconductors, also some other energy conversion applications are touched upon.

  3. Silicon integrated circuits part A : supplement 2

    CERN Document Server

    Kahng, Dawon

    1981-01-01

    Applied Solid State Science, Supplement 2: Silicon Integrated Circuits, Part A focuses on MOS device physics. This book is divided into three chapters-physics of the MOS transistor; nonvolatile memories; and properties of silicon-on-sapphire substrates devices, and integrated circuits. The topics covered include the short channel effects, MOSFET structures, floating gate devices, technology for nonvolatile semiconductor memories, sapphire substrates, and SOS integrated circuits and systems. The MOS capacitor, MIOS devices, and SOS process and device technology are also deliberated. This public

  4. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  5. Determination of the transport levels in thin films of organic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Krause, Stefan

    2009-07-27

    The approach of using the combination of Ultraviolet (UPS) and Inverse Photoemission (IPS) to determine the transport levels in thin films of organic semiconductors is the scope of this work. For this matter all influences on the peak position and width in Photoelectron Spectroscopy are discussed with a special focus on organic semiconductors. Many of these influences are shown with experimental results of the investigation of diindenoperylene on Ag(111). These findings are applied to inorganic semiconductors silicon in order to establish the use of UPS and IPS on a well-understood system. Finally, the method is used to determine the transport level of several organic semiconductors (PTCDA, Alq3, CuPc, DIP, PBI-H4) and the corresponding exciton binding energies are calculated by comparison to optical absorption data. (orig.)

  6. Determination of Fano's factor in semiconductors

    International Nuclear Information System (INIS)

    Gomes, P.R.S.

    1975-07-01

    A study was made of three different semiconductor detectors: intrinsic germanium, germanium drifted with lithium, and silicon drifted with lithium. In the efficiency measurements for these detectors, special attention was paid to the escape of the germanium X-rays produced within the detector. The principal part of this work is the determination of the Fano factor for germanium and silicon. A great deal of attention was given to the analysis of experimental results. Different criteria for eliminating the linewidth contribution due to charge collection fluctuations lead to widely different values and have caused great controversy over the value of the Fano factor. Measurements were made for the three detectors using energies of 14.4 kev, 35.4 kev, 46.5 kev, 59.5 kev, 81 kev, 88 kev, 122 kev and 136.5 kev and the results indicate a Fano factor of 0.105 +- 0.004 for germanium and 0.130 +- 0.120 for silicon. (author) [pt

  7. High power semiconductor switching in the nanosecond regime

    International Nuclear Information System (INIS)

    Zucker, O.S.; Long, J.R.; Smith, V.L.; Page, D.J.; Roberts, J.S.

    1975-12-01

    Light activated multilayered silicon semiconductor devices have been used to switch at megawatt power levels with nanosecond turnon time. Current rate of rise of 700 kA/μs at 10 kA, with 1 kV across the load have been achieved. Recovery time of 1 millisec has been obtained. Applicability to fusion research needs is discussed

  8. Operation and performance of the ATLAS Semiconductor Tracker

    CERN Document Server

    Barlow, N; The ATLAS collaboration

    2013-01-01

    The Semiconductor Tracker (SCT) is a crucial component of the ATLAS detector at the Large Hadron Collider at CERN. It is a silicon microstrip device, design to measure the trajectories of charged particles produced in pp collisions. In this talk I will briefly describe the design of the SCT, and various aspects of its performance during LHC Run 1.

  9. Electrical characterization of high-pressure reactive sputtered ScOx films on silicon

    International Nuclear Information System (INIS)

    Castan, H.; Duenas, S.; Gomez, A.; Garcia, H.; Bailon, L.; Feijoo, P.C.; Toledano-Luque, M.; Prado, A. del; San Andres, E.; Lucia, M.L.

    2011-01-01

    Al/ScO x /SiN x /n-Si and Al/ScO x /SiO x /n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.

  10. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2013-03-05

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  11. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    International Nuclear Information System (INIS)

    Naderi, N.; Hashim, M.R.

    2013-01-01

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  12. Noise and degradation of amorphous silicon devices

    NARCIS (Netherlands)

    Bakker, J.P.R.

    2003-01-01

    Electrical noise measurements are reported on two devices of the disordered semiconductor hydrogenated amorphous silicon (a-Si:H). The material is applied in sandwich structures and in thin-film transistors (TFTs). In a sandwich configuration of an intrinsic layer and two thin doped layers, the

  13. Study of radiation defects in semiconductors by means of positron annihilation

    International Nuclear Information System (INIS)

    Krause-Rehberg, R.; Bondarenko, V.; Redmann, F.

    2003-01-01

    In a nuclear environment, a strong degradation of important properties is observed for many materials which are otherwise very reliable. This is especially valid for silicon, the most important semiconductor. In the presented paper, two examples for the study of lattice defects in silicon by means of positron annihilation will be given. Firstly, the degradation of silicon detectors used for the particle detection in high-luminosity collider experiments starts to limit the lifetime of the whole experiment. An annealing experiment on n-irradiated Si will be presented. Beside the destructive effect of high-radiation conditions, such radiation-induced defects can have a beneficial result. This will be demonstrated for the creation of new gettering zones by high-energy self-implantation of silicon. (author)

  14. Electron beam silicon purification

    Energy Technology Data Exchange (ETDEWEB)

    Kravtsov, Anatoly [SIA ' ' KEPP EU' ' , Riga (Latvia); Kravtsov, Alexey [' ' KEPP-service' ' Ltd., Moscow (Russian Federation)

    2014-11-15

    Purification of heavily doped electronic grade silicon by evaporation of N-type impurities with electron beam heating was investigated in process with a batch weight up to 50 kilos. Effective temperature of the melt, an indicative parameter suitable for purification process characterization was calculated and appeared to be stable for different load weight processes. Purified material was successfully approbated in standard CZ processes of three different companies. Each company used its standard process and obtained CZ monocrystals applicable for photovoltaic application. These facts enable process to be successfully scaled up to commercial volumes (150-300 kg) and yield solar grade silicon. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Exploring SiSn as a performance enhancing semiconductor: A theoretical and experimental approach

    KAUST Repository

    Hussain, Aftab M.; Singh, Nirpendra; Fahad, Hossain M.; Rader, Kelly; Schwingenschlö gl, Udo; Hussain, Muhammad Mustafa

    2014-01-01

    We present a novel semiconducting alloy, silicon-tin (SiSn), as channel material for complementary metal oxide semiconductor (CMOS) circuit applications. The material has been studied theoretically using first principles analysis as well

  16. Amorphous semiconductors for particle detection: Physical and technical limits and possibilities

    International Nuclear Information System (INIS)

    Equer, B.; Karar, A.

    1989-01-01

    Amorphous silicon is used, at an industrial level, in at least three different fields of application: photovoltaic cells, flat TV screens and line scanners for image processing. In the last two cases, thin film transistors (TFT) are produced with the same technology. Particle detection with amorphous silicon has been demonstrated, but present performances are limited to ionizing particles. In this paper, we discuss the physical basis of amorphous semiconductors and the possible future development that can be expected on the basis of the existing technology. It is concluded that substitution of amorphous for crystalline silicon brings no clear advantage, if possible at all. Positive assets are to be found in using specific properties of thin layers: large area structures like arrays of photodiodes with associated readout are in the state of the art; vertical structures alternating layers of differently doped materials and/or of different semiconductors can be produced by the same technique. The development of large area pixel detectors is technically feasible but requires a very large effort. A joint development effort with industries involved in X-ray detection and 2D photodetectors might be the most appropriate solution. (orig.)

  17. Positron annihilation and Wheeler complexes in semiconductors

    International Nuclear Information System (INIS)

    Prokob'ev, E.P.

    1995-01-01

    The Wheeler complexes Ps-Ex (positronium-exciton) were studied. These complexes are formed during irradiation of semiconductors and ionic crystals with positrons at low temperatures under optical excitation by excitons. The binding energy of these and more complex entities preventing dissociation into Ps and Ex and/or Ex ± is at least 0.1 eV, and the lifetime for the two-photon self-annihilation is τ 2γ ∼ 5.02 x 10 -10 x c 3 s (x c is the phenomenological parameter of the effective-mass method). The estimation of lifetimes τ 2γ and τ 2γ t (the total lifetime of Ps-Ex complexes with account for positron annihilation on valence electrons) led to the conclusion that Ps-Ex complexes can be observed in a number of oxide semiconductors, in zinc sulfide, and in alkali halide crystals; however, it was difficult to observe such complexes in silicon, gallium arsenide, and other A 3 B 5 and A 2 B 6 semiconductors

  18. Silicon-Based Integration of Groups III, IV, V Chemical Vapor Depositions in High-Quality Photodiodes

    NARCIS (Netherlands)

    Sammak, A.

    2012-01-01

    Heterogeneous integration of III-V semiconductors with silicon (Si) technology is an interesting approach to utilize the advantages of both high-speed photonic and electronic properties. The work presented in this thesis is initiated by this major goal of merging III-V semiconductor technology with

  19. Magnetotransport investigations of the two-dimensional metallic state in silicon metal-oxid-semiconductor structures

    International Nuclear Information System (INIS)

    Prinz, A.

    2002-03-01

    For more than two decades it was the predominant view among the physical community that the every two-dimensional (2D) disordered electron system becomes insulating as the temperature approaches the absolute zero temperature (0 Kelvin or -273.15 o C). Two-dimensional means that the movement of the charge carriers is confined in one direction by a potential so that the carriers can move freely only perpendicular to the confinement. The most famous physical realization of a 2D system is the silicon metal-oxide-semiconductor field effect transistor (Si-MOSFET). It is one of the basic elements of most electronic devices in our daily life. The working principle is very simple. Charges are attracted to the semiconductor-oxide interface by an electric field applied between the metallic gate and the semiconductor, so that a 2D conductive channel is formed. The charge density can be adjusted by the voltage from zero up to 10 13 cm -2 . In 1994 Kravchenko and coworkers made a very important discovery. They studied high mobility Si-MOSFETs and found that for densities below a certain critical value, nc, the resistivity increases as the temperature is decreased below 2 K, whereas for densities above $n c $ the resistivity decreases unexpectedly. The transition from insulating to metallic behavior, known as metal-insulator transition (MIT), was obviously a contradiction to the commonly accepted theories which predict insulating behavior for any density. The insulating behavior is a consequence of the wave properties of electrons which leads to interference in disordered media and thus to enhanced backscattering. In the subsequent years, experimental studies were performed on a variety of 2D systems, which qualitatively showed a similar behavior. All the investigated samples had one thing in common. The interaction energy between the carriers was considerable higher than their mean kinetic energy due to their movement in the 2D plane. Since the electron-electron interaction was

  20. Characterization of a dose verification system dedicated to radiotherapy treatments based on a silicon detector multi-strips

    International Nuclear Information System (INIS)

    Bocca, A.; Cortes Giraldo, M. A.; Gallardo, M. I.; Espino, J. M.; Aranas, R.; Abou Haidar, Z.; Alvarez, M. A. G.; Quesada, J. M.; Vega-Leal, A. P.; Perez Neto, F. J.

    2011-01-01

    In this paper, we present the characterization of a silicon detector multi-strips (SSSSD: Single Sided Silicon Strip Detector), developed by the company Micron Semiconductors Ltd. for use as a verification system for radiotherapy treatments.

  1. Proceedings of wide band gap semiconductors

    International Nuclear Information System (INIS)

    Moustakas, T.D.; Pankove, J.I.; Hamakawa, Y.

    1992-01-01

    This book contains the proceedings of wide band gap semiconductors. Wide band gap semiconductors are under intense study because of their potential applications in photonic devices in the visible and ultraviolet part of the electromagnetic spectrum, and devices for high temperature, high frequency and high power electronics. Additionally, due to their unique mechanical, thermal, optical, chemical, and electronic properties many wide band gap semiconductors are anticipated to find applications in thermoelectric, electrooptic, piezoelectric and acoustooptic devices as well as protective coatings, hard coatings and heat sinks. Material systems covered in this symposium include diamond, II-VI compounds, III-V nitrides, silicon carbide, boron compounds, amorphous and microcrystalline semiconductors, chalcopyrites, oxides and halides. The various papers addressed recent experimental and theoretical developments. They covered issues related to crystal growth (bulk and thin films), structure and microstructure, defects, doping, optoelectronic properties and device applications. A theoretical session was dedicated to identifying common themes in the heteroepitaxy and the role of defects in doping, compensation and phase stability of this unique class of materials. Important experimental milestones included the demonstrations of bright blue injection luminescence at room temperatures from junctions based on III-V nitrides and a similar result from multiple quantum wells in a ZnSe double heterojunction at liquid nitrogen temperatures

  2. Band engineering of amorphous silicon ruthenium thin film and its near-infrared absorption enhancement combined with nano-holes pattern on back surface of silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Anran; Zhong, Hao [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Wei, E-mail: wli@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gu, Deen; Jiang, Xiangdong [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2016-10-30

    Highlights: • The increase of Ru concentration leads to a narrower bandgap of a-Si{sub 1-x}Ru{sub x} thin film. • The absorption coefficient of a-Si{sub 1-x}Ru{sub x} is higher than that of SiGe. • A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} film and Si nano-holes layer is achieved. - Abstract: Silicon is widely used in semiconductor industry but has poor performance in near-infrared photoelectronic devices because of its bandgap limit. In this study, a narrow bandgap silicon rich semiconductor is achieved by introducing ruthenium (Ru) into amorphous silicon (a-Si) to form amorphous silicon ruthenium (a-Si{sub 1-x}Ru{sub x}) thin films through co-sputtering. The increase of Ru concentration leads to an enhancement of light absorption and a narrower bandgap. Meanwhile, a specific light trapping technique is employed to realize high absorption of a-Si{sub 1-x}Ru{sub x} thin film in a finite thickness to avoid unnecessary carrier recombination. A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} thin film and silicon random nano-holes layer is formed on the back surface of silicon substrates, and significantly improves near-infrared absorption while the leaky light intensity is less than 5%. This novel absorber, combining narrow bandgap thin film with light trapping structure, may have a potential application in near-infrared photoelectronic devices.

  3. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  4. Electrowetting on semiconductors

    Science.gov (United States)

    Palma, Cesar; Deegan, Robert

    2015-01-01

    Applying a voltage difference between a conductor and a sessile droplet sitting on a thin dielectric film separating it from the conductor will cause the drop to spread. When the conductor is a good metal, the change of the drop's contact angle due to the voltage is given by the Young-Lippmann (YL) equation. Here, we report experiments with lightly doped, single crystal silicon as the conductive electrode. We derive a modified YL equation that includes effects due to the semiconductor and contact line pinning. We show that light induces a non-reversible wetting transition, and that our model agrees well with our experimental results.

  5. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  6. Application of neutron activation analysis for the determination of implantation profiles of phosphorus in semiconductor grade silicon

    International Nuclear Information System (INIS)

    Jaskolska, H.; Rowinska, L.; Walis, L.

    1977-01-01

    A method for the determination of concentration profiles of phosphorus in silicon by neutron activation has been elaborated. It is based on the previously described extraction methods, in which phosphorus is extracted as phosphomolybdic complex with isoamyl alcohol. It was suitably modified and Au and Ta holdback carriers were used in order to diminish the extraction of these elements together with phosphorus. The method permits to achieve decontamination factors of 10 2 -10 3 for the elements found in the Si plates examined. The yield of phosphorus separation is nearly constant and amounts to 84%. Layers are removed from the annealed plate by anodic oxidation and by dissolving the oxide formed on the surface in diluted hydrofluoric acid. The thickness of the removed layers is determined from previously prepared calibration curves. The lower limit of determination is of the order 10 -11 g P. Two groups of errors have been discussed. The suitability of autoradiography to the determination of concentration profiles has been demonstrated. Some applications of the method are suggested. (T.G.)

  7. An all-silicon passive optical diode.

    Science.gov (United States)

    Fan, Li; Wang, Jian; Varghese, Leo T; Shen, Hao; Niu, Ben; Xuan, Yi; Weiner, Andrew M; Qi, Minghao

    2012-01-27

    A passive optical diode effect would be useful for on-chip optical information processing but has been difficult to achieve. Using a method based on optical nonlinearity, we demonstrate a forward-backward transmission ratio of up to 28 decibels within telecommunication wavelengths. Our device, which uses two silicon rings 5 micrometers in radius, is passive yet maintains optical nonreciprocity for a broad range of input power levels, and it performs equally well even if the backward input power is higher than the forward input. The silicon optical diode is ultracompact and is compatible with current complementary metal-oxide semiconductor processing.

  8. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  9. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  10. Large magnetoresistance effect in nitrogen-doped silicon

    Directory of Open Access Journals (Sweden)

    Tao Wang

    2017-05-01

    Full Text Available In this work, we reported a large magnetoresistance effect in silicon by ion implantation of nitrogen atoms. At room temperature, the magnetoresistance of silicon reaches 125 % under magnetic field 1.7 T and voltage bias -80 V. By applying an alternating magnetic field with a frequency (f of 0.008 Hz, we find that the magnetoresistance of silicon is divided into f and 2f two signal components, which represent the linear and quadratic magnetoresistance effects, respectively. The analysis based on tuning the magnetic field and the voltage bias reveals that electric-field-induced space-charge effect plays an important role to enhance both the linear and quadratic magnetoresistance effects. Observation as well as a comprehensive explanation of large MR in silicon, especially based on semiconductor CMOS implantation technology, will be an important progress towards magnetoelectronic applications.

  11. Spiral silicon drift detectors

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.; Longoni, A.; Sampietro, M.; Holl, P.; Lutz, G.; Kemmer, J.; Prechtel, U.; Ziemann, T.

    1988-01-01

    An advanced large area silicon photodiode (and x-ray detector), called Spiral Drift Detector, was designed, produced and tested. The Spiral Detector belongs to the family of silicon drift detectors and is an improvement of the well known Cylindrical Drift Detector. In both detectors, signal electrons created in silicon by fast charged particles or photons are drifting toward a practically point-like collection anode. The capacitance of the anode is therefore kept at the minimum (0.1pF). The concentric rings of the cylindrical detector are replaced by a continuous spiral in the new detector. The spiral geometry detector design leads to a decrease of the detector leakage current. In the spiral detector all electrons generated at the silicon-silicon oxide interface are collected on a guard sink rather than contributing to the detector leakage current. The decrease of the leakage current reduces the parallel noise of the detector. This decrease of the leakage current and the very small capacities of the detector anode with a capacitively matched preamplifier may improve the energy resolution of Spiral Drift Detectors operating at room temperature down to about 50 electrons rms. This resolution is in the range attainable at present only by cooled semiconductor detectors. 5 refs., 10 figs

  12. Simulation of a silicon neutron detector coated with TiB2 absorber

    International Nuclear Information System (INIS)

    Krapohl, D; Nilsson, H-E; Petersson, S; Slavicek, T; Thungström, G; Pospisil, S

    2012-01-01

    Neutron radiation cannot be directly detected in semiconductor detectors and therefore needs converter layers. Planar clean-room processing can be used in the manufacturing process of semiconductor detectors with metal layers to produce a cost-effective device. We used the Geant4 Monte-Carlo toolkit to simulate the performance of a semiconductor neutron detector. A silicon photo-diode was coated with vapour deposited titanium, aluminium thin films and a titaniumdiboride (TiB 2 ) neutron absorber layer. The neutron capture reaction 10B(n, alpha)7Li is taken advantage of to create charged particles that can be counted. Boron-10 has a natural abundance of about SI 19.8%. The emitted alpha particles are absorbed in the underlying silicon detector. We varied the thickness of the converter layer and ran the simulation with a thermal neutron source in order to find the best efficiency of the TiB 2 converter layer and optimize the clean room process.

  13. Hybrid III-V/silicon lasers

    Science.gov (United States)

    Kaspar, P.; Jany, C.; Le Liepvre, A.; Accard, A.; Lamponi, M.; Make, D.; Levaufre, G.; Girard, N.; Lelarge, F.; Shen, A.; Charbonnier, P.; Mallecot, F.; Duan, G.-H.; Gentner, J.-.; Fedeli, J.-M.; Olivier, S.; Descos, A.; Ben Bakir, B.; Messaoudene, S.; Bordel, D.; Malhouitre, S.; Kopp, C.; Menezo, S.

    2014-05-01

    The lack of potent integrated light emitters is one of the bottlenecks that have so far hindered the silicon photonics platform from revolutionizing the communication market. Photonic circuits with integrated light sources have the potential to address a wide range of applications from short-distance data communication to long-haul optical transmission. Notably, the integration of lasers would allow saving large assembly costs and reduce the footprint of optoelectronic products by combining photonic and microelectronic functionalities on a single chip. Since silicon and germanium-based sources are still in their infancy, hybrid approaches using III-V semiconductor materials are currently pursued by several research laboratories in academia as well as in industry. In this paper we review recent developments of hybrid III-V/silicon lasers and discuss the advantages and drawbacks of several integration schemes. The integration approach followed in our laboratory makes use of wafer-bonded III-V material on structured silicon-on-insulator substrates and is based on adiabatic mode transfers between silicon and III-V waveguides. We will highlight some of the most interesting results from devices such as wavelength-tunable lasers and AWG lasers. The good performance demonstrates that an efficient mode transfer can be achieved between III-V and silicon waveguides and encourages further research efforts in this direction.

  14. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  15. Extremely flexible nanoscale ultrathin body silicon integrated circuits on plastic.

    Science.gov (United States)

    Shahrjerdi, Davood; Bedell, Stephen W

    2013-01-09

    In recent years, flexible devices based on nanoscale materials and structures have begun to emerge, exploiting semiconductor nanowires, graphene, and carbon nanotubes. This is primarily to circumvent the existing shortcomings of the conventional flexible electronics based on organic and amorphous semiconductors. The aim of this new class of flexible nanoelectronics is to attain high-performance devices with increased packing density. However, highly integrated flexible circuits with nanoscale transistors have not yet been demonstrated. Here, we show nanoscale flexible circuits on 60 Å thick silicon, including functional ring oscillators and memory cells. The 100-stage ring oscillators exhibit the stage delay of ~16 ps at a power supply voltage of 0.9 V, the best reported for any flexible circuits to date. The mechanical flexibility is achieved by employing the controlled spalling technology, enabling the large-area transfer of the ultrathin body silicon devices to a plastic substrate at room temperature. These results provide a simple and cost-effective pathway to enable ultralight flexible nanoelectronics with unprecedented level of system complexity based on mainstream silicon technology.

  16. Optical approach to thermopower and conductivity measurements in thin-film semiconductors

    International Nuclear Information System (INIS)

    Dersch, H.; Amer, N.M.

    1984-01-01

    An optical beam deflection technique is applied to measure the Joule and Peltier heat generated by electric currents through thin-film semiconductors. The method yields a spatially resolved conductivity profile and allows the determination of Peltier coefficients. Results obtained on doped hydrogenated amorphous silicon films are presented

  17. Silicon heterojunction solar cell with passivated hole selective MoOx contact

    Science.gov (United States)

    Battaglia, Corsin; de Nicolás, Silvia Martín; De Wolf, Stefaan; Yin, Xingtian; Zheng, Maxwell; Ballif, Christophe; Javey, Ali

    2014-03-01

    We explore substoichiometric molybdenum trioxide (MoOx, x MoOx, we observe a substantial gain in photocurrent of 1.9 mA/cm2 in the ultraviolet and visible part of the solar spectrum, when compared to a p-type amorphous silicon emitter of a traditional silicon heterojunction cell. Our results emphasize the strong potential for oxides as carrier selective heterojunction partners to inorganic semiconductors.

  18. Determination of the electron-hole pair creation energy for semiconductors from the spectral responsivity of photodiodes

    CERN Document Server

    Scholze, F; Kuschnerus, P; Rabus, H; Richter, M; Ulm, G

    2000-01-01

    Ionizing radiation can be detected by the measurement of the charge carriers produced in a detector. The improved semiconductor technology now allows detectors operating near the physical limits of the detector materials to be designed. The mean energy required for producing an electron-hole pair, W, is a material property of the semiconductor. Here, the determination of W from the spectral responsivity of photodiodes is demonstrated. Using spectrally dispersed synchrotron radiation, different types of semiconductor photodiodes have been examined in the UV-, VUV-, and soft X-ray spectral range. Their spectral responsivity was determined with relative uncertainties between 0.4% and 1% using a cryogenic electrical-substitution radiometer as primary detector standard. Results are presented for silicon n-on-p junction photodiodes and for GaAsP/Au Schottky diodes at room temperature. The investigations for silicon covered the complete spectral range from 3 to 1500 eV, yielding a constant value W=(3.66+-0.03) eV fo...

  19. Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders

    CERN Multimedia

    Joram, C; Gregor, I; Dierlamm, A H; Wilson, F F; Sloan, T; Tuboltsev, Y V; Marone, M; Artuso, M; Cindro, V; Bruzzi, M; Bhardwaj, A; Bohm, J; Mikestikova, M; Walz, M; Breindl, M A; Ruzin, A; Marunko, S; Guskov, J; Haerkoenen, J J; Pospisil, S; Fadeyev, V; Makarenko, L; Kaminski, P; Zelazko, J; Pintilie, L; Radu, R; Nistor, S V; Ullan comes, M; Storasta, J V; Gaubas, E; Lacasta llacer, C; Kilminster, B J; Garutti, E; Buhmann, P; Khomenkov, V; Poehlsen, J A; Fernandez garcia, M; Buttar, C; Eklund, L M; Munoz sanchez, F J; Eremin, V; Aleev, A; Modi, B; Sicho, P; Gisen, A J; Nikolopoulos, K; Van beuzekom, M G; Kozlowski, R; Lozano fantoba, M; Leroy, C; Pernegger, H; Del burgo, R; Vila alvarez, I; Palomo pinto, F R; Lounis, A; Eremin, I; Fadeeva, N; Rogozhkin, S; Shivpuri, R K; Arsenovich, T; Ott, J; Abt, M; Loenker, J; Savic, N; Monaco, V; Visser, J; Lynn, D; Horazdovsky, T; Solar, M; Dervan, P J; Meng, L; Spencer, E N; Kazuchits, N; Brzozowski, A; Kozubal, M; Nistor, L C; Marti i garcia, S; Gomez camacho, J J; Fretwurst, E; Hoenniger, F; Schwandt, J; Hartmann, F; Marchiori, G; Maneuski, D; De capua, S; Williams, M R J; Mandic, I; Gadda, A; Preiss, J; Macchiolo, A; Nisius, R; Grinstein, S; Gonella, L; Wennloef, H L O; Slavicek, T; Masek, P; Casse, G; Flores, D; Tuuva, T; Jimenez ramos, M D C; Charron, S; Rubinskiy, I; Jansen, H; Eichhorn, T V; Matysek, M; Andersson-lindstroem, G; Donegani, E; Bomben, M; Oshea, V; Muenstermann, D; Holmkvist, C W; Oh, A; Lopez paz, I; Verbitskaya, E; Mitina, D; Grigoriev, E; Zaluzhnyy, A; Mikuz, M; Kramberger, G; Scaringella, M; Ranjeet, R; Jain, A; Luukka, P R; Tuominen, E M; Allport, P P; Cartiglia, N; Brigljevic, V; Kohout, Z; Quirion, D; Lauer, K; Collins, P; Gallrapp, C; Rohe, T V; Chauveau, J; Villani, E G; Fox, H; Parkes, C J; Nikitin, A; Spiegel, L G; Creanza, D M; Menichelli, D; Mcduff, H; Carna, M; Weers, M; Weigell, P; Bortoletto, D; Staiano, A; Bellan, R; Szumlak, T; Sopko, V; Pawlowski, M; Pintilie, I; Pellegrini, G; Rafi tatjer, J M; Moll, M; Eckstein, D; Klanner, R; Gomez, G; Gersabeck, M; Cobbledick, J L; Shepelev, A; Golubev, A; Apresyan, A; Lipton, R J; Borgia, A; Zavrtanik, M; Manna, N; Ranjan, K; Chhabra, S; Beyer, J; Korolkov, I; Heintz, U; Sadrozinski, H; Seiden, A; Surma, B; Esteban, S; Kazukauskas, V; Kalendra, V; Mekys, A; Nachman, B P; Tackmann, K; Steinbrueck, G; Pohlsen, T; Calderini, G; Svihra, P; Murray, D; Bolla, G; Zontar, D; Focardi, E; Seidel, S C; Winkler, A D; Altenheiner, S; Parzefall, U; Moser, H; Sopko, B; Buckland, M D; Vaitkus, J V; Ortlepp, T

    2002-01-01

    The requirements at the Large Hadron Collider (LHC) at CERN have pushed the present day silicon tracking detectors to the very edge of the current technology. Future very high luminosity colliders or a possible upgrade scenario of the LHC to a luminosity of 10$^{35}$ cm$^{-2}$s$^{-1}$ will require semiconductor detectors with substantially improved properties. Considering the expected total fluences of fast hadrons above 10$^{16}$ cm$^{-2}$ and a possible reduced bunch-crossing interval of $\\approx$10 ns, the detector must be ultra radiation hard, provide a fast and efficient charge collection and be as thin as possible.\\\\ We propose a research and development program to provide a detector technology, which is able to operate safely and efficiently in such an environment. Within this project we will optimize existing methods and evaluate new ways to engineer the silicon bulk material, the detector structure and the detector operational conditions. Furthermore, possibilities to use semiconductor materials othe...

  20. Technology of fabrication of silicon-lithium detector with superficial junction

    International Nuclear Information System (INIS)

    Cabal Rodriguez, A.E.; Diaz Garcia, A.; Noriega Scull, C.

    1997-01-01

    The Silicon nuclear radiation detectors transform the charge produced within the semiconductor crystal, product of the impinges of particles and X rays, in pulses of voltage at the output of the preamplifier. The planar Silicon-Lithium (Si(Li)) detector with superficial junction is basically a Pin structure diode. By mean of the diffusion and drift of Lithium in the Silicon a compensated or depletion region was created. There the incident radiation interacts with the Silicon, producing an electric signal proportional to the detector's energy deposited in the semiconductor. The technological process of fabrication this kind of detectors comprises several stages, some of them complex and of long duration. They also demand a systematic control. The technological process of Si(Li) detector's fabrication was carried out. The detector's fabrication electric characteristics were measured in some steps. An obtained device was mounted in the holder within a cryostat, in order to work to temperature of the liquid nitrogen. The energy resolution of the detector was measured and the value was 180 eV for the line of 5.9 KeV of an Fe-55 source. This value has allowed to work with the detector in energy disperse X-rays fluorescence. (author) [es

  1. The silicon microstrip sensors of the ATLAS semiconductor tracker

    Czech Academy of Sciences Publication Activity Database

    Ahmad, A.; Albrechtskirchinger, Z.; Allport, P.; Böhm, Jan; Mikeštíková, Marcela; Šťastný, Jan

    2007-01-01

    Roč. 578, - (2007), s. 98-118 ISSN 0168-9002 Institutional research plan: CEZ:AV0Z10100502 Keywords : ATLAS * SCT * silicon * microstrip * module * LHC Subject RIV: BF - Elementary Particles and High Energy Physics Impact factor: 1.114, year: 2007

  2. Removal of dangling bonds and surface states on silicon (001) with a monolayer of selenium

    International Nuclear Information System (INIS)

    Tao Meng; Udeshi, Darshak; Basit, Nasir; Maldonado, Eduardo; Kirk, Wiley P.

    2003-01-01

    Dangling bonds and surface states are inherent to semiconductor surfaces. By passivating dangling bonds on the silicon (001) surface with a monolayer of selenium, surface states are removed from the band gap. Magnesium contacts on selenium-passivated silicon (001) behave ohmically, as expected from the work function of magnesium and the electron affinity of silicon. After rapid thermal annealing and hot-plate annealing, magnesium contacts on selenium-passivated silicon (001) show better thermal stability than on hydrogen-passivated silicon (001), which is attributed to the suppression of silicide formation by selenium passivation

  3. Canberra semiconductor, an industrial partner for physics research

    International Nuclear Information System (INIS)

    Verplancke, J.; Burger, P.; Schoenmaekers, W.

    1990-01-01

    Canberra semiconductor produces germanium and silicon solid state detectors for nuclear radiation. Its business domain covers the production of standard detectors on an industrial basis, for industrial and applied physics applications, as well as the development of special detectors and electronics, tailored to the needs of a particular application, in science and research. There exists an important and beneficial interaction between these two activities. (orig.)

  4. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.

    1992-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with the good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high-efficiency, room temperature gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, the authors have procured and tested a commercial device with operating characteristics similar to those of a single layer of the composite device. They have modeled the radiation transport in a multi-layered device, to verify the initial calculations of layer thickness and composition. They have modeled the electrostatic field in different device designs to locate and remove high-field regions that can cause device breakdown. They have fabricated 14 single layer prototypes

  5. Reconfigurable engineered motile semiconductor microparticles.

    Science.gov (United States)

    Ohiri, Ugonna; Shields, C Wyatt; Han, Koohee; Tyler, Talmage; Velev, Orlin D; Jokerst, Nan

    2018-05-03

    Locally energized particles form the basis for emerging classes of active matter. The design of active particles has led to their controlled locomotion and assembly. The next generation of particles should demonstrate robust control over their active assembly, disassembly, and reconfiguration. Here we introduce a class of semiconductor microparticles that can be comprehensively designed (in size, shape, electric polarizability, and patterned coatings) using standard microfabrication tools. These custom silicon particles draw energy from external electric fields to actively propel, while interacting hydrodynamically, and sequentially assemble and disassemble on demand. We show that a number of electrokinetic effects, such as dielectrophoresis, induced charge electrophoresis, and diode propulsion, can selectively power the microparticle motions and interactions. The ability to achieve on-demand locomotion, tractable fluid flows, synchronized motility, and reversible assembly using engineered silicon microparticles may enable advanced applications that include remotely powered microsensors, artificial muscles, reconfigurable neural networks and computational systems.

  6. Inverse Raman scattering in silicon: A free-carrier enhanced effect

    International Nuclear Information System (INIS)

    Solli, D. R.; Koonath, P.; Jalali, B.

    2009-01-01

    Stimulated Raman scattering has been harnessed to produce the first silicon lasers and amplifiers. The Raman effect can also produce intensity-dependent nonlinear loss through a corollary process, inverse Raman scattering (IRS). This process has never been observed in a semiconductor. We demonstrate IRS in silicon--a process that is substantially modified by optically generated free carriers--achieving attenuation levels >15 dB with a pump intensity of 4 GW/cm 2 . Surprisingly, free-carrier absorption, the detrimental effect that generally suppresses nonlinear effects in silicon, actually facilitates IRS by delaying the onset of contamination from coherent anti-Stokes Raman scattering. Silicon-based IRS could be a valuable tool for chip-scale signal processing.

  7. Germanium silicon physics and materials

    CERN Document Server

    Willardson, R K; Bean, John C; Hull, Robert

    1998-01-01

    Since its inception in 1966, the series of numbered volumes known as Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. The "Willardson and Beer" Series, as it is widely known, has succeeded in publishing numerous landmark volumes and chapters. Not only did many of these volumes make an impact at the time of their publication, but they continue to be well-cited years after their original release. Recently, Professor Eicke R. Weber of the University of California at Berkeley joined as a co-editor of the series. Professor Weber, a well-known expert in the field of semiconductor materials, will further contribute to continuing the series' tradition of publishing timely, highly relevant, and long-impacting volumes. Some of the recent volumes, such as Hydrogen in Semiconductors, Imperfections in III/V Materials, Epitaxial Microstructures, High-Speed Heterostructure Devices, Oxygen in Silicon, and others promise that this tradition ...

  8. Emission of blue light from hydrogenated amorphous silicon carbide

    Science.gov (United States)

    Nevin, W. A.; Yamagishi, H.; Yamaguchi, M.; Tawada, Y.

    1994-04-01

    THE development of new electroluminescent materials is of current technological interest for use in flat-screen full-colour displays1. For such applications, amorphous inorganic semiconductors appear particularly promising, in view of the ease with which uniform films with good mechanical and electronic properties can be deposited over large areas2. Luminescence has been reported1 in the red-green part of the spectrum from amorphous silicon carbide prepared from gas-phase mixtures of silane and a carbon-containing species (usually methane or ethylene). But it is not possible to achieve blue luminescence by this approach. Here we show that the use of an aromatic species-xylene-as the source of carbon during deposition results in a form of amorphous silicon carbide that exhibits strong blue luminescence. The underlying structure of this material seems to be an unusual combination of an inorganic silicon carbide lattice with a substantial 'organic' π-conjugated carbon system, the latter dominating the emission properties. Moreover, the material can be readily doped with an electron acceptor in a manner similar to organic semiconductors3, and might therefore find applications as a conductivity- or colour-based chemical sensor.

  9. a Study of Oxygen Precipitation in Heavily Doped Silicon.

    Science.gov (United States)

    Graupner, Robert Kurt

    Gettering of impurities with oxygen precipitates is widely used during the fabrication of semiconductors to improve the performance and yield of the devices. Since the effectiveness of the gettering process is largely dependent on the initial interstitial oxygen concentration, accurate measurements of this parameter are of considerable importance. Measurements of interstitial oxygen following thermal cycles are required for development of semiconductor fabrication processes and for research into the mechanisms of oxygen precipitate nucleation and growth. Efforts by industrial associations have led to the development of standard procedures for the measurement of interstitial oxygen in wafers. However practical oxygen measurements often do not satisfy the requirements of such standard procedures. An additional difficulty arises when the silicon wafer has a low resitivity (high dopant concentration). In such cases the infrared light used for the measurement is severely attenuated by the electrons of holes introduced by the dopant. Since such wafers are the substrates used for the production of widely used epitaxial wafers, this measurement problem is economically important. Alternative methods such as Secondary Ion Mass Spectroscopy or Gas Fusion Analysis have been developed to measure oxygen in these cases. However, neither of these methods is capable of distinguishing interstitial oxygen from precipitated oxygen as required for precipitation studies. In addition to the commercial interest in heavily doped silicon substrates, they are also of interest for research into the role of point defects in nucleation and precipitation processes. Despite considerable research effort, there is still disagreement concerning the type of point defect and its role in semiconductor processes. Studies of changes in the interstitial oxygen concentration of heavily doped and lightly doped silicon wafers could help clarify the role of point defects in oxygen nucleation and precipitation

  10. Tin - an unlikely ally for silicon field effect transistors?

    KAUST Repository

    Hussain, Aftab M.

    2014-01-13

    We explore the effectiveness of tin (Sn), by alloying it with silicon, to use SiSn as a channel material to extend the performance of silicon based complementary metal oxide semiconductors. Our density functional theory based simulation shows that incorporation of tin reduces the band gap of Si(Sn). We fabricated our device with SiSn channel material using a low cost and scalable thermal diffusion process of tin into silicon. Our high-κ/metal gate based multi-gate-field-effect-transistors using SiSn as channel material show performance enhancement, which is in accordance with the theoretical analysis. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Qualification of a new supplier for silicon particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@cern.ch [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T.; Frühwirth, E. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J.; Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2013-12-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors are capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European semiconductor manufacturer Infineon Technologies Austria AG the Institute of High Energy Physics of the Austrian Academy of Sciences developed planar silicon strip sensors in p-on-n technology. This paper presents the development, production and results from the electrical characterisation of the first sensors produced by Infineon.

  12. Preparation and characterisation of light emitting porous semiconductors

    International Nuclear Information System (INIS)

    Harris, P.J.

    1996-01-01

    Semiconducting materials exhibit electrical conductivity in the region between that of metals and insulators. The electronic properties depend upon the particular element and the level of impurities contained within it. These materials are the basis of today's electronics industry with silicon being the most important element. It was believed until recently that efficient photoluminescence in the visible region was not possible from silicon due to its relatively small, indirect band-gap (1.12 eV). For this reason semiconductors with a larger, direct band-gap such as gallium arsenide have been used for optical devices. Photoluminescence from silicon has been observed in the infrared region but this was of little use to the opto-electronics industry. However, when silicon is in the form of small nano-structures, photoluminescence can be observed in the visible region from red to blue. This photoluminescence corresponds to a shift in the band gap to between 1.5 eV and 4.5 eV. This is in accordance with the predictions of quantum mechanics for structures of this scale. Nano-structures of silicon and of other semiconductors are relatively easy to produce. Electro-chemical etching is by far the most reliable method, resulting in uniform and reproducible structures. Anodic etching in a hydrofluoric acid based etch solution results in the silicon becoming porous to a depth of the order of microns. The lateral dimensions of these porous structures are in the range of a few nanometres resulting in an aspect ratio of the order of 1000:1. These materials studied in this work have been studied with the aid of EXAFS, scanning probe microscopy and Muon spectroscopy in order to determine the local structures. Measurements of the photoluminescence intensity have been taken at the synchrotron radiation facility, (Daresbury Laboratory). These results show that the observed photoluminescence is temperature dependent. The porous silicon samples studied for this work showed

  13. Instability of homogeneous distribution of charged substitutional impurity in semiconductors

    International Nuclear Information System (INIS)

    Vasilevskij, M.I.; Ershov, S.N.; Panteleev, V.A.

    1985-01-01

    A mechanism is suggested of instability of uniform impurity distribution in a semiconductor. The mechanism is associated with the vacancy wind effect and deflection from local neutrality in case of impurity concentration fluctuation occurrence. It is shown that the mechanism can be realized by irradiation of silicon doped with group-3 and group 5 elements

  14. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  15. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-12-01

    Today\\'s mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  16. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Kutbee, Arwa T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Today's mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  17. Semiconductor analysis with a channeled helium microbeam

    International Nuclear Information System (INIS)

    Ingarfield, S.A.; McKenzie, C.D.; Short, K.T.; Williams, J.S.

    1981-01-01

    This paper describes the use of a channeled helium microbeam for analysis of damage and dopant distributions in semiconductors. Practical difficulties and potential problems associated with the channeling of microbeams in semiconductors have been examined. In particular, the following factors have been characterised: i) the effect of both convergence of focused beam and beam scanning on the quality of channeling; ii) damage produced by the probe ions; and iii) local beam heating effects arising from high current densities. Acceptable channeling has been obtained (minimum yield approaching 4%) under a variety of focusing and scanning conditions which are suitable for analysis of device structures. The capabilities of the technique are demonstrated by monitoring variations in local damage and impurity depth distributions across a narrow (<2mm) region of an ion implanted silicon wafer

  18. Silicon Photo-Multiplier Radiation Hardness Tests with a White Neutron Beam

    International Nuclear Information System (INIS)

    Montanari, A.; Tosi, N.; Pietropaolo, A.; Andreotti, M.; Baldini, W.; Calabrese, R.; Cibinetto, G.; Luppi, E.; Cotta Ramusino, A.; Malaguti, R.; Santoro, V.; Tellarini, G.; Tomassetti, L.; De Donato, C.; Reali, E.

    2013-06-01

    We report radiation hardness tests performed, with a white neutron beam, at the Geel Electron Linear Accelerator in Belgium on silicon Photo-Multipliers. These are semiconductor photon detectors made of a square matrix of Geiger-Mode Avalanche photo-diodes on a silicon substrate. Several samples from different manufacturers have been irradiated integrating up to about 6.2 x 10 9 1-MeV-equivalent neutrons per cm 2 . (authors)

  19. High-Temperature, Wirebondless, Ultra-Compact Wide Bandgap Power Semiconductor Modules for Space Power Systems, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Silicon carbide (SiC) and other wide band-gap semiconductors offer great promise of high power rating, high operating temperature, simple thermal management, and...

  20. Silicon pore optics for future x-ray telescopes

    DEFF Research Database (Denmark)

    Wille, Eric; Bavdaz, Marcos; Wallace, Kotska

    2017-01-01

    arcsec or better. These specifications can only be achieved with a novel technology like Silicon Pore Optics, which is being developed by ESA together with a consortium of European industry. Silicon Pore Optics are made of commercial Si wafers using process technology adapted from the semiconductor...... industry. We present the recent upgrades made to the manufacturing processes and equipment, ranging from the manufacture of single mirror plates towards complete focusing mirror modules mounted in flight configuration, and results from first vibration tests. The performance of the mirror modules is tested...

  1. Direct Production of Silicones From Sand

    Energy Technology Data Exchange (ETDEWEB)

    Larry N. Lewis; F.J. Schattenmann: J.P. Lemmon

    2001-09-30

    Silicon, in the form of silica and silicates, is the second most abundant element in the earth's crust. However the synthesis of silicones (scheme 1) and almost all organosilicon chemistry is only accessible through elemental silicon. Silicon dioxide (sand or quartz) is converted to chemical-grade elemental silicon in an energy intensive reduction process, a result of the exceptional thermodynamic stability of silica. Then, the silicon is reacted with methyl chloride to give a mixture of methylchlorosilanes catalyzed by cooper containing a variety of tract metals such as tin, zinc etc. The so-called direct process was first discovered at GE in 1940. The methylchlorosilanes are distilled to purify and separate the major reaction components, the most important of which is dimethyldichlorosilane. Polymerization of dimethyldichlorosilane by controlled hydrolysis results in the formation of silicone polymers. Worldwide, the silicones industry produces about 1.3 billion pounds of the basic silicon polymer, polydimethylsiloxane.

  2. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  3. Theory of Covalent Adsorbate Frontier Orbital Energies on Functionalized Light-Absorbing Semiconductor Surfaces.

    Science.gov (United States)

    Yu, Min; Doak, Peter; Tamblyn, Isaac; Neaton, Jeffrey B

    2013-05-16

    Functional hybrid interfaces between organic molecules and semiconductors are central to many emerging information and solar energy conversion technologies. Here we demonstrate a general, empirical parameter-free approach for computing and understanding frontier orbital energies - or redox levels - of a broad class of covalently bonded organic-semiconductor surfaces. We develop this framework in the context of specific density functional theory (DFT) and many-body perturbation theory calculations, within the GW approximation, of an exemplar interface, thiophene-functionalized silicon (111). Through detailed calculations taking into account structural and binding energetics of mixed-monolayers consisting of both covalently attached thiophene and hydrogen, chlorine, methyl, and other passivating groups, we quantify the impact of coverage, nonlocal polarization, and interface dipole effects on the alignment of the thiophene frontier orbital energies with the silicon band edges. For thiophene adsorbate frontier orbital energies, we observe significant corrections to standard DFT (∼1 eV), including large nonlocal electrostatic polarization effects (∼1.6 eV). Importantly, both results can be rationalized from knowledge of the electronic structure of the isolated thiophene molecule and silicon substrate systems. Silicon band edge energies are predicted to vary by more than 2.5 eV, while molecular orbital energies stay similar, with the different functional groups studied, suggesting the prospect of tuning energy alignment over a wide range for photoelectrochemistry and other applications.

  4. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Yamada, M; The ATLAS collaboration

    2011-01-01

    The SemiConductor Tracker (SCT), comprising of silicon micro-strip detectors is one of the key precision tracking devices in the ATLAS Inner Detector. ATLAS is one of the experiments at CERN LHC. The completed SCT is in very good shapes with 99.3% of the SCT’s 4088 modules (a total of 6.3 million strips) are operational. The noise occupancy and hit efficiency exceed the design specifications. In the talk the current status of the SCT will be reviewed. We will report on the operation of the detector, its performance and observed problems, with stress on the sensor and electronics performance. In December 2009 the ATLAS experiment at the CERN Large Hadron Collider (LHC) recorded the first proton-proton collisions at a centre-of-mass energy of 900 GeV and this was followed by the unprecedented energy of 7 TeV in March 2010. The Semi-Conductor Tracker (SCT) is the key precision tracking device in ATLAS, made from silicon micro-strip detectors processed in the planar p-in-n technology. The signals from the strip...

  5. TCT characterization of different semiconductor materials for particle detection

    International Nuclear Information System (INIS)

    Fink, J.; Lodomez, P.; Krueger, H.; Pernegger, H.; Weilhammer, P.; Wermes, N.

    2006-01-01

    The development of digital semiconductor based X-ray detectors necessitates a detailed understanding of the applied sensor material. Under this premise a broad-band transient current technique (TCT) setup has been developed and used to characterize different semiconductors. The measurements are based on the generation of electrical charges within the sensor material and the subsequent time-resolved analysis of the charge carrier movement. From the recorded current pulses the charge collection efficiency, the charge carrier mobility and the electric field profile have been extracted. The examined materials are silicon p in n diodes, ohmic and Schottky contacted CdTe detectors, CdZnTe (CZT) crystals with Schottky contacts as well as two single-crystal CVD-diamonds

  6. Origin of the visible emission of black silicon microstructures

    International Nuclear Information System (INIS)

    Fabbri, Filippo; Lin, Yu-Ting; Bertoni, Giovanni; Rossi, Francesca; Salviati, Giancarlo; Smith, Matthew J.; Gradečak, Silvija; Mazur, Eric

    2015-01-01

    Silicon, the mainstay semiconductor in microelectronics, is considered unsuitable for optoelectronic applications due to its indirect electronic band gap that limits its efficiency as light emitter. Here, we univocally determine at the nanoscale the origin of visible emission in microstructured black silicon by cathodoluminescence spectroscopy and imaging. We demonstrate the formation of amorphous silicon oxide microstructures with a white emission. The white emission is composed by four features peaking at 1.98 eV, 2.24 eV, 2.77 eV, and 3.05 eV. The origin of such emissions is related to SiO x intrinsic point defects and to the sulfur doping due to the laser processing. Similar results go in the direction of developing optoelectronic devices suitable for silicon-based circuitry

  7. Optical characterization of wide-gap detector-grade semiconductors

    International Nuclear Information System (INIS)

    Elshazly, E.S.

    2011-01-01

    Wide bandgap semiconductors are being widely investigated because they have the potential to satisfy the stringent material requirements of high resolution, room temperature gamma-ray spectrometers. In particular, Cadmium Zinc Telluride (Cd 1-x Zn x Te, x∼0.1) and Thallium Bromide (Tl Br), due to their combination of high resistivity, high atomic number and good electron mobility, have became very promising candidates for use in X- and gamma-ray detectors operating at room temperature. In this study, carrier trapping times were measured in CZT and Tl Br as a function of temperature and material quality. Carrier lifetimes and tellurium inclusion densities were measured in detector-grade Cadmium Zinc Telluride (CZT) crystals grown by the High Pressure Bridgman method and Modified Bridgman method. Excess carriers were produced in the material using a pulsed YAG laser with a 1064 nm wavelength and 7 ns pulse width. Infrared microscopy was used to measure the tellurium defect densities in CZT crystals. The electronic decay was optically measured at room temperature. Spatial mapping of lifetimes and defect densities in CZT was performed to determine the relationship between defect density and electronic decay. A significant and strong correlation was found between the volume fraction of tellurium inclusions and the carrier trapping time. Carrier trapping times and tellurium inclusions were measured in CZT in the temperature range from 300 K to 110 K and the results were analyzed using a theoretical trapping model. Spatial mapping of carrier trapping times and defect densities in CZT was performed to determine the relationship between defect density and electronic decay. While a strong correlation between trapping time and defect density of tellurium inclusions was observed, there was no significant change in the trap energy. Carrier trapping times were measured in detector grade thallium bromide (Tl Br) and compared with the results for cadmium zinc telluride (CZT) in

  8. Distribution of impurity elements in slag-silicon equilibria for oxidative refining of metallurgical silicon for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, M.D.; Barati, M. [Department of Materials Science and Engineering, The University of Toronto, 184 College Street, Toronto, Ont. (Canada)

    2010-12-15

    The possibility of refining metallurgical grade silicon to a high-purity product for solar cell applications by the slagging of impurity elements was investigated. Distribution coefficients were determined for B, Ca, Mg, Fe, K and P between magnesia or alumina saturated Al{sub 2}O{sub 3}-CaO-MgO-SiO{sub 2} and Al{sub 2}O{sub 3}-BaO-SiO{sub 2} slags and silicon at 1500 C. The partitioning of the impurity elements between molten silicon and slag was examined in terms of basicity and oxygen potential of the slag, with particular focus on the behaviour of boron and phosphorus. The experimental results showed that both of these aspects of slag chemistry have a significant influence on the distribution coefficient of B and P. Increasing the oxygen potential by additions of silica was found to increase the distribution coefficients for both B and P. Increasing the basicity of the slag was not always effective in achieving high removal of these elements from silicon as excess amounts of basic oxides lower the activity of silica and consequently the oxygen potential. The extent of this effect is such that increasing basicity can lead to a decrease in distribution coefficient. Increasing lime in the slag increased distribution coefficients for B and P, but this counterbalancing effect was such that distributions were the lowest in barium-containing slags, despite barium oxide being the most basic of the fluxes used in this study. The highest removal efficiencies achieved were of the order of 80% and 90% for B and P, respectively. It was demonstrated that for the removal of B and P from metallurgical-grade silicon to solar-grade levels, a slag mass about 5 times the mass of silicon would be required. (author)

  9. Low cost silicon solar array project silicon materials task: Establishment of the feasibility of a process capable of low-cost, high volume production of silane (step 1) and the pyrolysis of silane to semiconductor-grade silicon (step 2)

    Science.gov (United States)

    Breneman, W. C.; Cheung, H.; Farrier, E. G.; Morihara, H.

    1977-01-01

    A quartz fluid bed reactor capable of operating at temperatures of up to 1000 C was designed, constructed, and successfully operated. During a 30 minute experiment, silane was decomposed within the reactor with no pyrolysis occurring on the reactor wall or on the gas injection system. A hammer mill/roller-crusher system appeared to be the most practical method for producing seed material from bulk silicon. No measurable impurities were detected in the silicon powder produced by the free space reactor, using the cathode layer emission spectroscopic technique. Impurity concentration followed by emission spectroscopic examination of the residue indicated a total impurity level of 2 micrograms/gram. A pellet cast from this powder had an electrical resistivity of 35 to 45 ohm-cm and P-type conductivity.

  10. Silicon photonics at the University of Surrey

    Science.gov (United States)

    Reed, G. T.; Mashanovich, G.; Gardes, F. Y.; Gwilliam, R. M.; Wright, N. M.; Thomson, D. J.; Timotijevic, B. D.; Litvinenko, K. L.; Headley, W. R.; Smith, A. J.; Knights, A. P.; Jessop, P. E.; Tarr, N. G.; Deane, J. H. B.

    2009-05-01

    Silicon Photonics is a field that has seen rapid growth and dramatic changes in the past 5 years. According to the MIT Communications Technology Roadmap [1], which aims to establish a common architecture platform across market sectors with a potential $20B in annual revenue, silicon photonics is among the top ten emerging technologies. This has in part been a consequence of the recent involvement of large semiconductor companies around the world, particularly in the USA. Significant investment in the technology has also followed in Japan, Korea, and in the European Union. Low cost is a key driver, so it is imperative to pursue technologies that are mass-producible. Therefore, Silicon Photonics continues to progress at a rapid rate. This paper will describe some of the work of the Silicon Photonics Group at the University of Surrey in the UK. The work is concerned with the sequential development of a series of components for silicon photonic optical circuits, and some of the components are discussed here. In particular the paper will present work on optical waveguides, optical filters, modulators, and lifetime modification of carriers generated by two photon absorption, to improve the performance of Raman amplifiers in silicon.

  11. Donor-driven spin relaxation in multivalley semiconductors.

    Science.gov (United States)

    Song, Yang; Chalaev, Oleg; Dery, Hanan

    2014-10-17

    The observed dependence of spin relaxation on the identity of the donor atom in n-type silicon has remained without explanation for decades and poses a long-standing open question with important consequences for modern spintronics. Taking into account the multivalley nature of the conduction band in silicon and germanium, we show that the spin-flip amplitude is dominated by short-range scattering off the central-cell potential of impurities after which the electron is transferred to a valley on a different axis in k space. Through symmetry arguments, we show that this spin-flip process can strongly affect the spin relaxation in all multivalley materials in which time-reversal cannot connect distinct valleys. From the physical insights gained from the theory, we provide guidelines to significantly enhance the spin lifetime in semiconductor spintronics devices.

  12. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  13. Development of an integrated response generator for Si/CdTe semiconductor Compton cameras

    International Nuclear Information System (INIS)

    Odaka, Hirokazu; Sugimoto, Soichiro; Ishikawa, Shin-nosuke; Katsuta, Junichiro; Koseki, Yuu; Fukuyama, Taro; Saito, Shinya; Sato, Rie; Sato, Goro; Watanabe, Shin

    2010-01-01

    We have developed an integrated response generator based on Monte Carlo simulation for Compton cameras composed of silicon (Si) and cadmium telluride (CdTe) semiconductor detectors. In order to construct an accurate detector response function, the simulation is required to include a comprehensive treatment of the semiconductor detector devices and the data processing system in addition to simulating particle tracking. Although CdTe is an excellent semiconductor material for detection of soft gamma rays, its ineffective charge transport property distorts its spectral response. We investigated the response of CdTe pad detectors in the simulation and present our initial results here. We also performed the full simulation of prototypes of Si/CdTe semiconductor Compton cameras and report on the reproducibility of detection efficiencies and angular resolutions of the cameras, both of which are essential performance parameters of astrophysical instruments.

  14. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  15. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  16. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    International Nuclear Information System (INIS)

    Mora, M.B. de la; Bornacelli, J.; Nava, R.; Zanella, R.; Reyes-Esqueda, J.A.

    2014-01-01

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material

  17. Porous silicon photoluminescence modification by colloidal gold nanoparticles: Plasmonic, surface and porosity roles

    Energy Technology Data Exchange (ETDEWEB)

    Mora, M.B. de la; Bornacelli, J. [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Nava, R. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico); Zanella, R. [Centro de Ciencias Aplicadas y Desarrollo Tecnológico, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico); Reyes-Esqueda, J.A., E-mail: betarina@gmail.com [Instituto de Física, Universidad Nacional Autónoma de México, México D.F. 04510 (Mexico)

    2014-02-15

    Metal nanoparticles on semiconductors are of interest because of the tunable effect of the surface plasmon resonance on the physical properties of the semiconductor. In this work, colloidal gold nanoparticles obtained by two different methods, with an average size of 6.1±2.0 nm and 5.0±2.0 nm, were added to luminescent porous silicon by drop casting. The gold nanoparticles interact with porous silicon by modifying its optical properties such as photoluminescence. That being said, plasmon effects are not the only to be taken into account; as shown in this work, surface chemical modification and porosity also play a key role in the final performance of photoluminescence of a porous silicon–gold nanoparticle hybrid system. -- Highlights: • A hybrid material consisting of porous silicon and gold nanoparticles was fabricated. • Porous silicon/gold nanoparticle hybrid material was made by drop casting. • Influence of plasmonics, surface chemical modification and porosity on the optical behavior of our material was analyzed. • Porosity is proposed as a parameter control to obtain the best effects on luminescence of the hybrid plasmonic material.

  18. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  19. Semiconductor nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Marstein Erik Stensrud

    2003-07-01

    This thesis presents a study of two material systems containing semiconductor nanocrystals, namely porous silicon (PSi) films and germanium (Ge) nanocrystals embedded in silicon dioxide (SiO2) films. The PSi films were made by anodic etching of silicon (Si) substrates in an electrolyte containing hydrofluoric acid. The PSi films were doped with erbium (Er) using two different doping methods. electrochemical doping and doping by immersing the PSi films in a solution containing Er. The resulting Er concentration profiles were investigated using scanning electron microscopy (SEN1) combined with energy dispersive X-ray analysis (EDS). The main subject of the work on PSi presented in this thesis was investigating and comparing these two doping methods. Ge nanocrystals were made by implanting Ge ions into Si02 films that were subsequently annealed. However. nanocrystal formation occurred only for certain sets of processing parameters. The dependence of the microstructure of the Ge implanted Si02 films on the processing parameters were therefore investigated. A range of methods were employed for these investigations, including transmission electron microscopy (TEM) combined with EDS, X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). The observed structures, ranging from Ge nanocrystals to voids with diameters of several tens of nanometers and Ge rich Si02 films without any nanocrystals is described. A model explaining the void formation is also presented. For certain sets of processing parameters. An accumulation of Ge at the Si-Si02 interface was observed. The effect of this accumulation on the electrical properties of MOS structures made from Ge implanted SiO2 films was investigated using CV-measurements. (Author)

  20. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon

    International Nuclear Information System (INIS)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-01-01

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors

  1. Synchrotron x-ray reflectivity study of oxidation/passivation of copper and silicon.

    Energy Technology Data Exchange (ETDEWEB)

    Chu, Y.; Nagy, Z.; Parkhutik, V.; You, H.

    1999-07-21

    Synchrotron x-ray-scattering technique studies of copper and silicon electrochemical interfaces are reported. These two examples illustrate the application of synchrotron x-ray techniques for oxidation, passivation, and dissolution of metals and semiconductors.

  2. The Silicon:Colloidal Quantum Dot Heterojunction

    KAUST Repository

    Masala, Silvia; Adinolfi, Valerio; Sun, Jon Paul; Del Gobbo, Silvano; Voznyy, Oleksandr; Kramer, Illan J.; Hill, Ian G.; Sargent, Edward H.

    2015-01-01

    A heterojunction between crystalline silicon and colloidal quantum dots (CQDs) is realized. A special interface modification is developed to overcome an inherent energetic band mismatch between the two semiconductors, and realize the efficient collection of infrared photocarriers generated in the CQD film. This junction is used to produce a sensitive near infrared photodetector. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. The Silicon:Colloidal Quantum Dot Heterojunction

    KAUST Repository

    Masala, Silvia

    2015-10-13

    A heterojunction between crystalline silicon and colloidal quantum dots (CQDs) is realized. A special interface modification is developed to overcome an inherent energetic band mismatch between the two semiconductors, and realize the efficient collection of infrared photocarriers generated in the CQD film. This junction is used to produce a sensitive near infrared photodetector. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. The ATLAS semiconductor tracker: operations and performance

    CERN Document Server

    D'Auria, S; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar {it p}-in-{it n} technology. The signals are processed in the front-end ASICS ABCD3TA, working in binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. We find 99.3% of the SCT modules are operational, noise occupancy and hit efficiency exceed the design specifications. In the talk the current results from the successful operation of the SCT Detector at the LHC and its status af...

  5. The molecularly controlled semiconductor resistor: how does it work?

    Science.gov (United States)

    Capua, Eyal; Natan, Amir; Kronik, Leeor; Naaman, Ron

    2009-11-01

    We examine the current response of molecularly controlled semiconductor devices to the presence of weakly interacting analytes. We evaluate the response of two types of devices, a silicon oxide coated silicon device and a GaAs/AlGaAs device, both coated with aliphatic chains and exposed to the same set of analytes. By comparing the device electrical response with contact potential difference and surface photovoltage measurements, we show that there are two mechanisms that may affect the underlying substrate, namely, formation of layers with a net dipolar moment and molecular interaction with surface states. We find that whereas the Si device response is mostly correlated to the analyte dipole, the GaAs device response is mostly correlated to interactions with surface states. Existence of a silicon oxide layer, whether native on the Si or deliberately grown on the GaAs, eliminates analyte interaction with the surface states.

  6. Sensor development at the semiconductor laboratory of the Max-Planck-Society

    Science.gov (United States)

    Bähr, A.; Lechner, P.; Ninkovic, J.

    2017-12-01

    For more than twenty years the semiconductor laboratory of the Max-Planck Society (MPG-HLL) is developing high-performing, specialised, scientific silicon sensors including the integration of amplifying electronics on the sensor chip. This paper summarises the actual status of these devices like pnCCDs and DePFET Active Pixel Sensors and their applications.

  7. Dual ohmic contact to N- and P-type silicon carbide

    Science.gov (United States)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  8. Electronic transport behavior of diameter-graded Ag nanowires

    International Nuclear Information System (INIS)

    Wang Xuewei; Yuan Zhihao

    2010-01-01

    Ag nanowires with a graded diameter in anodic aluminum oxide (AAO) membranes were fabricated by the direct-current electrodeposition. The Ag nanowires have a graded-change in diameter from 8 to 32 nm, which is matched with the graded-change of the AAO pore diameter. Electronic transport measurements show that there is a transport behavior similar to that of a metal-semiconductor junction along the axial direction in the diameter-graded Ag nanowires. Such a novel homogeneous nanojunction will be of great fundamental and practical significance.

  9. Electronic transport behavior of diameter-graded Ag nanowires

    Science.gov (United States)

    Wang, Xue Wei; Yuan, Zhi Hao

    2010-05-01

    Ag nanowires with a graded diameter in anodic aluminum oxide (AAO) membranes were fabricated by the direct-current electrodeposition. The Ag nanowires have a graded-change in diameter from 8 to 32 nm, which is matched with the graded-change of the AAO pore diameter. Electronic transport measurements show that there is a transport behavior similar to that of a metal-semiconductor junction along the axial direction in the diameter-graded Ag nanowires. Such a novel homogeneous nanojunction will be of great fundamental and practical significance.

  10. Soft error modeling and analysis of the Neutron Intercepting Silicon Chip (NISC)

    International Nuclear Information System (INIS)

    Celik, Cihangir; Unlue, Kenan; Narayanan, Vijaykrishnan; Irwin, Mary J.

    2011-01-01

    Soft errors are transient errors caused due to excess charge carriers induced primarily by external radiations in the semiconductor devices. Soft error phenomena could be used to detect thermal neutrons with a neutron monitoring/detection system by enhancing soft error occurrences in the memory devices. This way, one can convert all semiconductor memory devices into neutron detection systems. Such a device is being developed at The Pennsylvania State University and named Neutron Intercepting Silicon Chip (NISC). The NISC is envisioning a miniature, power efficient, and active/passive operation neutron sensor/detector system. NISC aims to achieve this goal by introducing 10 B-enriched Borophosphosilicate Glass (BPSG) insulation layers in the semiconductor memories. In order to model and analyze the NISC, an analysis tool using Geant4 as the transport and tracking engine is developed for the simulation of the charged particle interactions in the semiconductor memory model, named NISC Soft Error Analysis Tool (NISCSAT). A simple model with 10 B-enriched layer on top of the lumped silicon region is developed in order to represent the semiconductor memory node. Soft error probability calculations were performed via the NISCSAT with both single node and array configurations to investigate device scaling by using different node dimensions in the model. Mono-energetic, mono-directional thermal and fast neutrons are used as the neutron sources. Soft error contribution due to the BPSG layer is also investigated with different 10 B contents and the results are presented in this paper.

  11. The semiconductor doping with radiation defects via proton and alpha-particle irradiation. Review

    CERN Document Server

    Kozlov, V A

    2001-01-01

    Paper presents an analytical review devoted to semiconductor doping with radiation defects resulted from irradiation by light ions, in particular, by protons and alpha-particles. One studies formation of radiation defects in silicon, gallium arsenide and indium phosphide under light ion irradiation. One analyzes effect of proton and alpha-particle irradiation on electric conductivity of the above-listed semiconducting materials. Semiconductor doping with radiation defects under light ion irradiation enables to control their electrophysical properties and to design high-speed opto-, micro- and nanoelectronic devices on their basis

  12. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  13. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2016-01-01

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  14. Performance of the ATLAS semiconductor tracker

    CERN Document Server

    Alpigiani, C; The ATLAS collaboration

    2014-01-01

    We report the operation and performance of the ATLAS Semi-Conductor Tracker (SCT) functioning in a high luminosity and high radiation environment. The SCT is part of the inner tracking system of the ATLAS experiment at CERN and is constructed of 4088 modules assembled from silicon-strip sensors for a total of 6.3 million channels more than 99 % of which were fully functional throughout all data taking periods. Noise occupancy and hit efficiency as well as the Lorentz angle and radiation damage measurements will be discussed in details.

  15. Summary Robert Noyce and the invention of Silicon Valley

    CERN Document Server

    2014-01-01

    This work offers a summary of the book "THE MAN BEHIND THE MICROCHIP: Robert Noyce and the Invention of Silicon Valley""by Leslie Berlin.The Man behind the Microchip is Leslie Berlin's first book. This author is project historian for the Silicon Valley Archives, a division of the Stanford University Department of Special Collections. This book tells the story of a giant of the high-tech industry: the multimillionaire Bob Noyce. This co-founder of Fairchild Semiconductor and Intel co-invented the integrated circuit which became the electronic heart of every modern computer, automobile, advance

  16. Process for making silicon from halosilanes and halosilicons

    Science.gov (United States)

    Levin, Harry (Inventor)

    1988-01-01

    A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.

  17. Operation and performance of the ATLAS semiconductor tracker

    CERN Document Server

    Aad, Georges; Abdallah, Jalal; Abdel Khalek, Samah; Abdinov, Ovsat; Aben, Rosemarie; Abi, Babak; Abolins, Maris; AbouZeid, Ossama; Abramowicz, Halina; Abreu, Henso; Abreu, Ricardo; Abulaiti, Yiming; Acharya, Bobby Samir; Adamczyk, Leszek; Adams, David; Adelman, Jahred; Adomeit, Stefanie; Adye, Tim; Agatonovic-Jovin, Tatjana; Aguilar-Saavedra, Juan Antonio; Agustoni, Marco; Ahlen, Steven; Ahmad, Ashfaq; Ahmadov, Faig; Aielli, Giulio; Åkesson, Torsten Paul Ake; Akimoto, Ginga; Akimov, Andrei; Alberghi, Gian Luigi; Albert, Justin; Albrand, Solveig; Alconada Verzini, Maria Josefina; Aleksa, Martin; Aleksandrov, Igor; Alexa, Calin; Alexander, Gideon; Alexandre, Gauthier; Alexopoulos, Theodoros; Alhroob, Muhammad; Alimonti, Gianluca; Alio, Lion; Alison, John; Allbrooke, Benedict; Allison, Lee John; Allport, Phillip; Allwood-Spiers, Sarah; Almond, John; Aloisio, Alberto; Alonso, Alejandro; Alonso, Francisco; Alpigiani, Cristiano; Altheimer, Andrew David; Alvarez Gonzalez, Barbara; Alviggi, Mariagrazia; Amako, Katsuya; Amaral Coutinho, Yara; Amelung, Christoph; Amidei, Dante; Amor Dos Santos, Susana Patricia; Amorim, Antonio; Amoroso, Simone; Amram, Nir; Amundsen, Glenn; Anastopoulos, Christos; Ancu, Lucian Stefan; Andari, Nansi; Andeen, Timothy; Anders, Christoph Falk; Anders, Gabriel; Anderson, Kelby; Andreazza, Attilio; Andrei, George Victor; Anduaga, Xabier; Angelidakis, Stylianos; Angelozzi, Ivan; Anger, Philipp; Angerami, Aaron; Anghinolfi, Francis; Anisenkov, Alexey; Anjos, Nuno; Annovi, Alberto; Antonaki, Ariadni; Antonelli, Mario; Antonov, Alexey; Antos, Jaroslav; Anulli, Fabio; Aoki, Masato; Aperio Bella, Ludovica; Apolle, Rudi; Arabidze, Giorgi; Aracena, Ignacio; Arai, Yasuo; Araque, Juan Pedro; Arce, Ayana; Arguin, Jean-Francois; Argyropoulos, Spyridon; Arik, Metin; Armbruster, Aaron James; Arnaez, Olivier; Arnal, Vanessa; Arnold, Hannah; Arslan, Ozan; Artamonov, Andrei; Artoni, Giacomo; Asai, Shoji; Asbah, Nedaa; Ashkenazi, Adi; Ask, Stefan; Åsman, Barbro; Asquith, Lily; Assamagan, Ketevi; Astalos, Robert; Atkinson, Markus; Atlay, Naim Bora; Auerbach, Benjamin; Augsten, Kamil; Aurousseau, Mathieu; Avolio, Giuseppe; Azuelos, Georges; Azuma, Yuya; Baak, Max; Bacci, Cesare; Bachacou, Henri; Bachas, Konstantinos; Backes, Moritz; Backhaus, Malte; Backus Mayes, John; Badescu, Elisabeta; Bagiacchi, Paolo; Bagnaia, Paolo; Bai, Yu; Bain, Travis; Baines, John; Baker, Oliver Keith; Baker, Sarah; Balek, Petr; Balli, Fabrice; Banas, Elzbieta; Banerjee, Swagato; Banfi, Danilo; Bangert, Andrea Michelle; Bannoura, Arwa A E; Bansal, Vikas; Bansil, Hardeep Singh; Barak, Liron; Baranov, Sergei; Barberio, Elisabetta Luigia; Barberis, Dario; Barbero, Marlon; Barillari, Teresa; Barisonzi, Marcello; Barklow, Timothy; Barlow, Nick; Barnett, Bruce; Barnett, Michael; Barnovska, Zuzana; Baroncelli, Antonio; Barone, Gaetano; Barr, Alan; Barreiro, Fernando; Barreiro Guimarães da Costa, João; Bartoldus, Rainer; Barton, Adam Edward; Bartos, Pavol; Bartsch, Valeria; Bassalat, Ahmed; Basye, Austin; Bates, Richard; Batkova, Lucia; Batley, Richard; Battistin, Michele; Bauer, Florian; Bawa, Harinder Singh; Beau, Tristan; Beauchemin, Pierre-Hugues; Beccherle, Roberto; Bechtle, Philip; Beck, Hans Peter; Becker, Anne Kathrin; Becker, Sebastian; Beckingham, Matthew; Becot, Cyril; Beddall, Andrew; Beddall, Ayda; Bedikian, Sourpouhi; Bednyakov, Vadim; Bee, Christopher; Beemster, Lars; Beermann, Thomas; Begel, Michael; Behr, Katharina; Belanger-Champagne, Camille; Bell, Paul; Bell, William; Bella, Gideon; Bellagamba, Lorenzo; Bellerive, Alain; Bellomo, Massimiliano; Belloni, Alberto; Belotskiy, Konstantin; Beltramello, Olga; Benary, Odette; Benchekroun, Driss; Bendtz, Katarina; Benekos, Nektarios; Benhammou, Yan; Benhar Noccioli, Eleonora; Benitez Garcia, Jorge-Armando; Benjamin, Douglas; Bensinger, James; Benslama, Kamal; Bentvelsen, Stan; Berge, David; Bergeaas Kuutmann, Elin; Berger, Nicolas; Berghaus, Frank; Berglund, Elina; Beringer, Jürg; Bernabéu, José; Bernard, Clare; Bernat, Pauline; Bernius, Catrin; Bernlochner, Florian Urs; Berry, Tracey; Berta, Peter; Bertella, Claudia; Bertolucci, Federico; Besana, Maria Ilaria; Besjes, Geert-Jan; Bessidskaia, Olga; Besson, Nathalie; Betancourt, Christopher; Bethke, Siegfried; Bhimji, Wahid; Bianchi, Riccardo-Maria; Bianchini, Louis; Bianco, Michele; Biebel, Otmar; Bieniek, Stephen Paul; Bierwagen, Katharina; Biesiada, Jed; Biglietti, Michela; Bilbao De Mendizabal, Javier; Bilokon, Halina; Bindi, Marcello; Binet, Sebastien; Bingul, Ahmet; Bini, Cesare; Black, Curtis; Black, James; Black, Kevin; Blackburn, Daniel; Blair, Robert; Blanchard, Jean-Baptiste; Blazek, Tomas; Bloch, Ingo; Blocker, Craig; Blum, Walter; Blumenschein, Ulrike; Bobbink, Gerjan; Bobrovnikov, Victor; Bocchetta, Simona Serena; Bocci, Andrea; Boddy, Christopher Richard; Boehler, Michael; Boek, Jennifer; Boek, Thorsten Tobias; Bogaerts, Joannes Andreas; Bogdanchikov, Alexander; Bogouch, Andrei; Bohm, Christian; Bohm, Jan; Boisvert, Veronique; Bold, Tomasz; Boldea, Venera; Boldyrev, Alexey; Bomben, Marco; Bona, Marcella; Boonekamp, Maarten; Borisov, Anatoly; Borissov, Guennadi; Borri, Marcello; Borroni, Sara; Bortfeldt, Jonathan; Bortolotto, Valerio; Bos, Kors; Boscherini, Davide; Bosman, Martine; Boterenbrood, Hendrik; Boudreau, Joseph; Bouffard, Julian; Bouhova-Thacker, Evelina Vassileva; Boumediene, Djamel Eddine; Bourdarios, Claire; Bousson, Nicolas; Boutouil, Sara; Boveia, Antonio; Boyd, James; Boyko, Igor; Bozovic-Jelisavcic, Ivanka; Bracinik, Juraj; Branchini, Paolo; Brandt, Andrew; Brandt, Gerhard; Brandt, Oleg; Bratzler, Uwe; Brau, Benjamin; Brau, James; Braun, Helmut; Brazzale, Simone Federico; Brelier, Bertrand; Brendlinger, Kurt; Brennan, Amelia Jean; Brenner, Richard; Bressler, Shikma; Bristow, Kieran; Bristow, Timothy Michael; Britton, Dave; Brochu, Frederic; Brock, Ian; Brock, Raymond; Bromberg, Carl; Bronner, Johanna; Brooijmans, Gustaaf; Brooks, Timothy; Brooks, William; Brosamer, Jacquelyn; Brost, Elizabeth; Brown, Gareth; Brown, Jonathan; Bruckman de Renstrom, Pawel; Bruncko, Dusan; Bruneliere, Renaud; Brunet, Sylvie; Bruni, Alessia; Bruni, Graziano; Bruschi, Marco; Bryngemark, Lene; Buanes, Trygve; Buat, Quentin; Bucci, Francesca; Buchholz, Peter; Buckingham, Ryan; Buckley, Andrew; Buda, Stelian Ioan; Budagov, Ioulian; Buehrer, Felix; Bugge, Lars; Bugge, Magnar Kopangen; Bulekov, Oleg; Bundock, Aaron Colin; Burckhart, Helfried; Burdin, Sergey; Burghgrave, Blake; Burke, Stephen; Burmeister, Ingo; Busato, Emmanuel; Büscher, Daniel; Büscher, Volker; Bussey, Peter; Buszello, Claus-Peter; Butler, Bart; Butler, John; Butt, Aatif Imtiaz; Buttar, Craig; Butterworth, Jonathan; Butti, Pierfrancesco; Buttinger, William; Buzatu, Adrian; Byszewski, Marcin; Cabrera Urbán, Susana; Caforio, Davide; Cakir, Orhan; Calafiura, Paolo; Calandri, Alessandro; Calderini, Giovanni; Calfayan, Philippe; Calkins, Robert; Caloba, Luiz; Calvet, David; Calvet, Samuel; Camacho Toro, Reina; Camarda, Stefano; Cameron, David; Caminada, Lea Michaela; Caminal Armadans, Roger; Campana, Simone; Campanelli, Mario; Campoverde, Angel; Canale, Vincenzo; Canepa, Anadi; Cantero, Josu; Cantrill, Robert; Cao, Tingting; Capeans Garrido, Maria Del Mar; Caprini, Irinel; Caprini, Mihai; Capua, Marcella; Caputo, Regina; Cardarelli, Roberto; Carli, Tancredi; Carlino, Gianpaolo; Carminati, Leonardo; Caron, Sascha; Carquin, Edson; Carrillo-Montoya, German D; Carter, Antony; Carter, Janet; Carvalho, João; Casadei, Diego; Casado, Maria Pilar; Castaneda-Miranda, Elizabeth; Castelli, Angelantonio; Castillo Gimenez, Victoria; Castro, Nuno Filipe; Catastini, Pierluigi; Catinaccio, Andrea; Catmore, James; Cattai, Ariella; Cattani, Giordano; Caughron, Seth; Cavaliere, Viviana; Cavalli, Donatella; Cavalli-Sforza, Matteo; Cavasinni, Vincenzo; Ceradini, Filippo; Cerio, Benjamin; Cerny, Karel; Santiago Cerqueira, Augusto; Cerri, Alessandro; Cerrito, Lucio; Cerutti, Fabio; Cerv, Matevz; Cervelli, Alberto; Cetin, Serkant Ali; Chafaq, Aziz; Chakraborty, Dhiman; Chalupkova, Ina; Chan, Kevin; Chang, Philip; Chapleau, Bertrand; Chapman, John Derek; Charfeddine, Driss; Charlton, Dave; Chau, Chav Chhiv; Chavez Barajas, Carlos Alberto; Cheatham, Susan; Chegwidden, Andrew; Chekanov, Sergei; Chekulaev, Sergey; Chelkov, Gueorgui; Chelstowska, Magda Anna; Chen, Chunhui; Chen, Hucheng; Chen, Karen; Chen, Liming; Chen, Shenjian; Chen, Xin; Chen, Yujiao; Cheng, Hok Chuen; Cheng, Yangyang; Cheplakov, Alexander; Cherkaoui El Moursli, Rajaa; Chernyatin, Valeriy; Cheu, Elliott; Chevalier, Laurent; Chiarella, Vitaliano; Chiefari, Giovanni; Childers, John Taylor; Chilingarov, Alexandre; Chiodini, Gabriele; Chisholm, Andrew; Chislett, Rebecca Thalatta; Chitan, Adrian; Chizhov, Mihail; Chouridou, Sofia; Chow, Bonnie Kar Bo; Christidi, Ilektra-Athanasia; Chromek-Burckhart, Doris; Chu, Ming-Lee; Chudoba, Jiri; Chwastowski, Janusz; Chytka, Ladislav; Ciapetti, Guido; Ciftci, Abbas Kenan; Ciftci, Rena; Cinca, Diane; Cindro, Vladimir; Ciocio, Alessandra; Cirkovic, Predrag; Citron, Zvi Hirsh; Citterio, Mauro; Ciubancan, Mihai; Clark, Allan G; Clark, Philip James; Clarke, Robert; Cleland, Bill; Clemens, Jean-Claude; Clement, Christophe; Coadou, Yann; Cobal, Marina; Coccaro, Andrea; Cochran, James H; Coffey, Laurel; Cogan, Joshua Godfrey; Coggeshall, James; Cole, Brian; Cole, Stephen; Colijn, Auke-Pieter; Collins-Tooth, Christopher; Collot, Johann; Colombo, Tommaso; Colon, German; Compostella, Gabriele; Conde Muiño, Patricia; Coniavitis, Elias; Conidi, Maria Chiara; Connell, Simon Henry; Connelly, Ian; Consonni, Sofia Maria; Consorti, Valerio; Constantinescu, Serban; Conta, Claudio; Conti, Geraldine; Conventi, Francesco; Cooke, Mark; Cooper, Ben; Cooper-Sarkar, Amanda; Cooper-Smith, Neil; Copic, Katherine; Cornelissen, Thijs; Corradi, Massimo; Corriveau, Francois; Corso-Radu, Alina; Cortes-Gonzalez, Arely; Cortiana, Giorgio; Costa, Giuseppe; Costa, María José; Costanzo, Davide; Côté, David; Cottin, Giovanna; Cowan, Glen; Cox, Brian; Cranmer, Kyle; Cree, Graham; Crépé-Renaudin, Sabine; Crescioli, Francesco; Crispin Ortuzar, Mireia; Cristinziani, Markus; Croft, Vince; Crosetti, Giovanni; Cuciuc, Constantin-Mihai; Cuenca Almenar, Cristóbal; Cuhadar Donszelmann, Tulay; Cummings, Jane; Curatolo, Maria; Cuthbert, Cameron; Czirr, Hendrik; Czodrowski, Patrick; Czyczula, Zofia; D'Auria, Saverio; D'Onofrio, Monica; Da Cunha Sargedas De Sousa, Mario Jose; Da Via, Cinzia; Dabrowski, Wladyslaw; Dafinca, Alexandru; Dai, Tiesheng; Dale, Orjan; Dallaire, Frederick; Dallapiccola, Carlo; Dam, Mogens; Daniells, Andrew Christopher; Dano Hoffmann, Maria; Dao, Valerio; Darbo, Giovanni; Darlea, Georgiana Lavinia; Darmora, Smita; Dassoulas, James; Dattagupta, Aparajita; Davey, Will; David, Claire; Davidek, Tomas; Davies, Eleanor; Davies, Merlin; Davignon, Olivier; Davison, Adam; Davison, Peter; Davygora, Yuriy; Dawe, Edmund; Dawson, Ian; Daya-Ishmukhametova, Rozmin; De, Kaushik; de Asmundis, Riccardo; De Castro, Stefano; De Cecco, Sandro; de Graat, Julien; De Groot, Nicolo; de Jong, Paul; De la Torre, Hector; De Lorenzi, Francesco; De Nooij, Lucie; De Pedis, Daniele; De Salvo, Alessandro; De Sanctis, Umberto; De Santo, Antonella; De Vivie De Regie, Jean-Baptiste; De Zorzi, Guido; Dearnaley, William James; Debbe, Ramiro; Debenedetti, Chiara; Dechenaux, Benjamin; Dedovich, Dmitri; Degenhardt, James; Deigaard, Ingrid; Del Peso, Jose; Del Prete, Tarcisio; Deliot, Frederic; Delitzsch, Chris Malena; Deliyergiyev, Maksym; Dell'Acqua, Andrea; Dell'Asta, Lidia; Dell'Orso, Mauro; Della Pietra, Massimo; della Volpe, Domenico; Delmastro, Marco; Delsart, Pierre-Antoine; Deluca, Carolina; Demers, Sarah; Demichev, Mikhail; Demilly, Aurelien; Denisov, Sergey; Derendarz, Dominik; Derkaoui, Jamal Eddine; Derue, Frederic; Dervan, Paul; Desch, Klaus Kurt; Deterre, Cecile; Deviveiros, Pier-Olivier; Dewhurst, Alastair; Dhaliwal, Saminder; Di Ciaccio, Anna; Di Ciaccio, Lucia; Di Domenico, Antonio; Di Donato, Camilla; Di Girolamo, Alessandro; Di Girolamo, Beniamino; Di Mattia, Alessandro; Di Micco, Biagio; Di Nardo, Roberto; Di Simone, Andrea; Di Sipio, Riccardo; Di Valentino, David; Diaz, Marco Aurelio; Diehl, Edward; Dietrich, Janet; Dietzsch, Thorsten; Diglio, Sara; Dimitrievska, Aleksandra; Dingfelder, Jochen; Dionisi, Carlo; Dita, Petre; Dita, Sanda; Dittus, Fridolin; Djama, Fares; Djobava, Tamar; Barros do Vale, Maria Aline; Do Valle Wemans, André; Doan, Thi Kieu Oanh; Dobos, Daniel; Dobson, Ellie; Doglioni, Caterina; Doherty, Tom; Dohmae, Takeshi; Dolejsi, Jiri; Dolezal, Zdenek; Dolgoshein, Boris; Donadelli, Marisilvia; Donati, Simone; Dondero, Paolo; Donini, Julien; Dopke, Jens; Doria, Alessandra; Dos Anjos, Andre; Dova, Maria-Teresa; Doyle, Tony; Dris, Manolis; Dubbert, Jörg; Dube, Sourabh; Dubreuil, Emmanuelle; Duchovni, Ehud; Duckeck, Guenter; Ducu, Otilia Anamaria; Duda, Dominik; Dudarev, Alexey; Dudziak, Fanny; Duflot, Laurent; Duguid, Liam; Dührssen, Michael; Dunford, Monica; Duran Yildiz, Hatice; Düren, Michael; Durglishvili, Archil; Dwuznik, Michal; Dyndal, Mateusz; Ebke, Johannes; Edson, William; Edwards, Nicholas Charles; Ehrenfeld, Wolfgang; Eifert, Till; Eigen, Gerald; Einsweiler, Kevin; Ekelof, Tord; El Kacimi, Mohamed; Ellert, Mattias; Elles, Sabine; Ellinghaus, Frank; Ellis, Nicolas; Elmsheuser, Johannes; Elsing, Markus; Emeliyanov, Dmitry; Enari, Yuji; Endner, Oliver Chris; Endo, Masaki; Engelmann, Roderich; Erdmann, Johannes; Ereditato, Antonio; Eriksson, Daniel; Ernis, Gunar; Ernst, Jesse; Ernst, Michael; Ernwein, Jean; Errede, Deborah; Errede, Steven; Ertel, Eugen; Escalier, Marc; Esch, Hendrik; Escobar, Carlos; Esposito, Bellisario; Etienvre, Anne-Isabelle; Etzion, Erez; Evans, Hal; Fabbri, Laura; Facini, Gabriel; Fakhrutdinov, Rinat; Falciano, Speranza; Faltova, Jana; Fang, Yaquan; Fanti, Marcello; Farbin, Amir; Farilla, Addolorata; Farooque, Trisha; Farrell, Steven; Farrington, Sinead; Farthouat, Philippe; Fassi, Farida; Fassnacht, Patrick; Fassouliotis, Dimitrios; Favareto, Andrea; Fayard, Louis; Federic, Pavol; Fedin, Oleg; Fedorko, Wojciech; Fehling-Kaschek, Mirjam; Feigl, Simon; Feligioni, Lorenzo; Feng, Cunfeng; Feng, Eric; Feng, Haolu; Fenyuk, Alexander; Fernandez Perez, Sonia; Ferrag, Samir; Ferrando, James; Ferrari, Arnaud; Ferrari, Pamela; Ferrari, Roberto; Ferreira de Lima, Danilo Enoque; Ferrer, Antonio; Ferrere, Didier; Ferretti, Claudio; Ferretto Parodi, Andrea; Fiascaris, Maria; Fiedler, Frank; Filipčič, Andrej; Filipuzzi, Marco; Filthaut, Frank; Fincke-Keeler, Margret; Finelli, Kevin Daniel; Fiolhais, Miguel; Fiorini, Luca; Firan, Ana; Fischer, Julia; Fisher, Wade Cameron; Fitzgerald, Eric Andrew; Flechl, Martin; Fleck, Ivor; Fleischmann, Philipp; Fleischmann, Sebastian; Fletcher, Gareth Thomas; Fletcher, Gregory; Flick, Tobias; Floderus, Anders; Flores Castillo, Luis; Florez Bustos, Andres Carlos; Flowerdew, Michael; Formica, Andrea; Forti, Alessandra; Fortin, Dominique; Fournier, Daniel; Fox, Harald; Fracchia, Silvia; Francavilla, Paolo; Franchini, Matteo; Franchino, Silvia; Francis, David; Franklin, Melissa; Franz, Sebastien; Fraternali, Marco; French, Sky; Friedrich, Conrad; Friedrich, Felix; Froidevaux, Daniel; Frost, James; Fukunaga, Chikara; Fullana Torregrosa, Esteban; Fulsom, Bryan Gregory; Fuster, Juan; Gabaldon, Carolina; Gabizon, Ofir; Gabrielli, Alessandro; Gabrielli, Andrea; Gadatsch, Stefan; Gadomski, Szymon; Gagliardi, Guido; Gagnon, Pauline; Galea, Cristina; Galhardo, Bruno; Gallas, Elizabeth; Gallo, Valentina Santina; Gallop, Bruce; Gallus, Petr; Galster, Gorm Aske Gram Krohn; Gan, KK; Gandrajula, Reddy Pratap; Gao, Jun; Gao, Yongsheng; Garay Walls, Francisca; Garberson, Ford; García, Carmen; Garcia Argos, Carlos; García Navarro, José Enrique; Garcia-Sciveres, Maurice; Gardner, Robert; Garelli, Nicoletta; Garonne, Vincent; Gatti, Claudio; Gaudio, Gabriella; Gaur, Bakul; Gauthier, Lea; Gauzzi, Paolo; Gavrilenko, Igor; Gay, Colin; Gaycken, Goetz; Gazis, Evangelos; Ge, Peng; Gecse, Zoltan; Gee, Norman; Geerts, Daniël Alphonsus Adrianus; Geich-Gimbel, Christoph; Gellerstedt, Karl; Gemme, Claudia; Gemmell, Alistair; Genest, Marie-Hélène; Gentile, Simonetta; George, Matthias; George, Simon; Gerbaudo, Davide; Gershon, Avi; Ghazlane, Hamid; Ghodbane, Nabil; Giacobbe, Benedetto; Giagu, Stefano; Giangiobbe, Vincent; Giannetti, Paola; Gianotti, Fabiola; Gibbard, Bruce; Gibson, Stephen; Gilchriese, Murdock; Gillam, Thomas; Gillberg, Dag; Gilles, Geoffrey; Gingrich, Douglas; Giokaris, Nikos; Giordani, MarioPaolo; Giordano, Raffaele; Giorgi, Francesco Michelangelo; Giraud, Pierre-Francois; Giugni, Danilo; Giuliani, Claudia; Giulini, Maddalena; Gjelsten, Børge Kile; Gkialas, Ioannis; Gladilin, Leonid; Glasman, Claudia; Glatzer, Julian; Glaysher, Paul; Glazov, Alexandre; Glonti, George; Goblirsch-Kolb, Maximilian; Goddard, Jack Robert; Godfrey, Jennifer; Godlewski, Jan; Goeringer, Christian; Goldfarb, Steven; Golling, Tobias; Golubkov, Dmitry; Gomes, Agostinho; Gomez Fajardo, Luz Stella; Gonçalo, Ricardo; Goncalves Pinto Firmino Da Costa, Joao; Gonella, Laura; González de la Hoz, Santiago; Gonzalez Parra, Garoe; Gonzalez Silva, Laura; Gonzalez-Sevilla, Sergio; Goodrick, Maurice; Goossens, Luc; Gorbounov, Petr Andreevich; Gordon, Howard; Gorelov, Igor; Gorfine, Grant; Gorini, Benedetto; Gorini, Edoardo; Gorišek, Andrej; Gornicki, Edward; Goshaw, Alfred; Gössling, Claus; Gostkin, Mikhail Ivanovitch; Gouighri, Mohamed; Goujdami, Driss; Goulette, Marc Phillippe; Goussiou, Anna; Goy, Corinne; Gozpinar, Serdar; Grabas, Herve Marie Xavier; Graber, Lars; Grabowska-Bold, Iwona; Grafström, Per; Grahn, Karl-Johan; Gramling, Johanna; Gramstad, Eirik; Grancagnolo, Sergio; Grassi, Valerio; Gratchev, Vadim; Gray, Heather; Graziani, Enrico; Grebenyuk, Oleg; Greenwood, Zeno Dixon; Gregersen, Kristian; Gregor, Ingrid-Maria; Grenier, Philippe; Griffiths, Justin; Grigalashvili, Nugzar; Grillo, Alexander; Grimm, Kathryn; Grinstein, Sebastian; Gris, Philippe Luc Yves; Grishkevich, Yaroslav; Grivaz, Jean-Francois; Grohs, Johannes Philipp; Grohsjean, Alexander; Gross, Eilam; Grosse-Knetter, Joern; Grossi, Giulio Cornelio; Groth-Jensen, Jacob; Grout, Zara Jane; Grybel, Kai; Guan, Liang; Guescini, Francesco; Guest, Daniel; Gueta, Orel; Guicheney, Christophe; Guido, Elisa; Guillemin, Thibault; Guindon, Stefan; Gul, Umar; Gumpert, Christian; Gunther, Jaroslav; Guo, Jun; Gupta, Shaun; Gutierrez, Phillip; Gutierrez Ortiz, Nicolas Gilberto; Gutschow, Christian; Guttman, Nir; Guyot, Claude; Gwenlan, Claire; Gwilliam, Carl; Haas, Andy; Haber, Carl; Hadavand, Haleh Khani; Haddad, Nacim; Haefner, Petra; Hageboeck, Stephan; Hajduk, Zbigniew; Hakobyan, Hrachya; Haleem, Mahsana; Hall, David; Halladjian, Garabed; Hamacher, Klaus; Hamal, Petr; Hamano, Kenji; Hamer, Matthias; Hamilton, Andrew; Hamilton, Samuel; Hamnett, Phillip George; Han, Liang; Hanagaki, Kazunori; Hanawa, Keita; Hance, Michael; Hanke, Paul; Hansen, Jørgen Beck; Hansen, Jorn Dines; Hansen, Peter Henrik; Hara, Kazuhiko; Hard, Andrew; Harenberg, Torsten; Harkusha, Siarhei; Harper, Devin; Harrington, Robert; Harris, Orin; Harrison, Paul Fraser; Hartjes, Fred; Hasegawa, Satoshi; Hasegawa, Yoji; Hasib, A; Hassani, Samira; Haug, Sigve; Hauschild, Michael; Hauser, Reiner; Havranek, Miroslav; Hawkes, Christopher; Hawkings, Richard John; Hawkins, Anthony David; Hayashi, Takayasu; Hayden, Daniel; Hays, Chris; Hayward, Helen; Haywood, Stephen; Head, Simon; Heck, Tobias; Hedberg, Vincent; Heelan, Louise; Heim, Sarah; Heim, Timon; Heinemann, Beate; Heinrich, Lukas; Heisterkamp, Simon; Hejbal, Jiri; Helary, Louis; Heller, Claudio; Heller, Matthieu; Hellman, Sten; Hellmich, Dennis; Helsens, Clement; Henderson, James; Henderson, Robert; Hengler, Christopher; Henrichs, Anna; Henriques Correia, Ana Maria; Henrot-Versille, Sophie; Hensel, Carsten; Herbert, Geoffrey Henry; Hernández Jiménez, Yesenia; Herrberg-Schubert, Ruth; Herten, Gregor; Hertenberger, Ralf; Hervas, Luis; Hesketh, Gavin Grant; Hessey, Nigel; Hickling, Robert; Higón-Rodriguez, Emilio; Hill, Ewan; Hill, John; Hiller, Karl Heinz; Hillert, Sonja; Hillier, Stephen; Hinchliffe, Ian; Hines, Elizabeth; Hirose, Minoru; Hirschbuehl, Dominic; Hobbs, John; Hod, Noam; Hodgkinson, Mark; Hodgson, Paul; Hoecker, Andreas; Hoeferkamp, Martin; Hoffman, Julia; Hoffmann, Dirk; Hofmann, Julia Isabell; Hohlfeld, Marc; Holmes, Tova Ray; Hong, Tae Min; Hooft van Huysduynen, Loek; Hostachy, Jean-Yves; Hou, Suen; Hoummada, Abdeslam; Howard, Jacob; Howarth, James; Hrabovsky, Miroslav; Hristova, Ivana; Hrivnac, Julius; Hryn'ova, Tetiana; Hsu, Pai-hsien Jennifer; Hsu, Shih-Chieh; Hu, Diedi; Hu, Xueye; Huang, Yanping; Hubacek, Zdenek; Hubaut, Fabrice; Huegging, Fabian; Huffman, Todd Brian; Hughes, Emlyn; Hughes, Gareth; Huhtinen, Mika; Hülsing, Tobias Alexander; Hurwitz, Martina; Huseynov, Nazim; Huston, Joey; Huth, John; Iacobucci, Giuseppe; Iakovidis, Georgios; Ibragimov, Iskander; Iconomidou-Fayard, Lydia; Idarraga, John; Ideal, Emma; Iengo, Paolo; Igonkina, Olga; Iizawa, Tomoya; Ikegami, Yoichi; Ikematsu, Katsumasa; Ikeno, Masahiro; Iliadis, Dimitrios; Ilic, Nikolina; Inamaru, Yuki; Ince, Tayfun; Ioannou, Pavlos; Iodice, Mauro; Iordanidou, Kalliopi; Ippolito, Valerio; Irles Quiles, Adrian; Isaksson, Charlie; Ishino, Masaya; Ishitsuka, Masaki; Ishmukhametov, Renat; Issever, Cigdem; Istin, Serhat; Iturbe Ponce, Julia Mariana; Ivarsson, Jenny; Ivashin, Anton; Iwanski, Wieslaw; Iwasaki, Hiroyuki; Izen, Joseph; Izzo, Vincenzo; Jackson, Brett; Jackson, John; Jackson, Matthew; Jackson, Paul; Jaekel, Martin; Jain, Vivek; Jakobs, Karl; Jakobsen, Sune; Jakoubek, Tomas; Jakubek, Jan; Jamin, David Olivier; Jana, Dilip; Jansen, Eric; Jansen, Hendrik; Janssen, Jens; Janus, Michel; Jarlskog, Göran; Javadov, Namig; Javůrek, Tomáš; Jeanty, Laura; Jeng, Geng-yuan; Jennens, David; Jenni, Peter; Jentzsch, Jennifer; Jeske, Carl; Jézéquel, Stéphane; Ji, Haoshuang; Ji, Weina; Jia, Jiangyong; Jiang, Yi; Jimenez Belenguer, Marcos; Jin, Shan; Jinaru, Adam; Jinnouchi, Osamu; Joergensen, Morten Dam; Johansson, Erik; Johansson, Per; Johns, Kenneth; Jon-And, Kerstin; Jones, Graham; Jones, Roger; Jones, Tim; Jongmanns, Jan; Jorge, Pedro; Joseph, John; Joshi, Kiran Daniel; Jovicevic, Jelena; Ju, Xiangyang; Jung, Christian; Jungst, Ralph Markus; Jussel, Patrick; Juste Rozas, Aurelio; Kaci, Mohammed; Kaczmarska, Anna; Kado, Marumi; Kagan, Harris; Kagan, Michael; Kajomovitz, Enrique; Kama, Sami; Kanaya, Naoko; Kaneda, Michiru; Kaneti, Steven; Kanno, Takayuki; Kantserov, Vadim; Kanzaki, Junichi; Kaplan, Benjamin; Kapliy, Anton; Kar, Deepak; Karakostas, Konstantinos; Karastathis, Nikolaos; Karnevskiy, Mikhail; Karpov, Sergey; Karthik, Krishnaiyengar; Kartvelishvili, Vakhtang; Karyukhin, Andrey; Kashif, Lashkar; Kasieczka, Gregor; Kass, Richard; Kastanas, Alex; Kataoka, Yousuke; Katre, Akshay; Katzy, Judith; Kaushik, Venkatesh; Kawagoe, Kiyotomo; Kawamoto, Tatsuo; Kawamura, Gen; Kazama, Shingo; Kazanin, Vassili; Kazarinov, Makhail; Keeler, Richard; Keener, Paul; Kehoe, Robert; Keil, Markus; Keller, John; Keoshkerian, Houry; Kepka, Oldrich; Kerševan, Borut Paul; Kersten, Susanne; Kessoku, Kohei; Keung, Justin; Khalil-zada, Farkhad; Khandanyan, Hovhannes; Khanov, Alexander; Khodinov, Alexander; Khomich, Andrei; Khoo, Teng Jian; Khoriauli, Gia; Khoroshilov, Andrey; Khovanskiy, Valery; Khramov, Evgeniy; Khubua, Jemal; Kim, Hee Yeun; Kim, Hyeon Jin; Kim, Shinhong; Kimura, Naoki; Kind, Oliver; King, Barry; King, Matthew; King, Robert Steven Beaufoy; King, Samuel Burton; Kirk, Julie; Kiryunin, Andrey; Kishimoto, Tomoe; Kisielewska, Danuta; Kiss, Florian; Kitamura, Takumi; Kittelmann, Thomas; Kiuchi, Kenji; Kladiva, Eduard; Klein, Max; Klein, Uta; Kleinknecht, Konrad; Klimek, Pawel; Klimentov, Alexei; Klingenberg, Reiner; Klinger, Joel Alexander; Klioutchnikova, Tatiana; Klok, Peter; Kluge, Eike-Erik; Kluit, Peter; Kluth, Stefan; Kneringer, Emmerich; Knoops, Edith; Knue, Andrea; Kobayashi, Tomio; Kobel, Michael; Kocian, Martin; Kodys, Peter; Koevesarki, Peter; Koffas, Thomas; Koffeman, Els; Kogan, Lucy Anne; Kohlmann, Simon; Kohout, Zdenek; Kohriki, Takashi; Koi, Tatsumi; Kolanoski, Hermann; Koletsou, Iro; Koll, James; Komar, Aston; Komori, Yuto; Kondo, Takahiko; Kondrashova, Nataliia; Köneke, Karsten; König, Adriaan; König, Sebastian; Kono, Takanori; Konoplich, Rostislav; Konstantinidis, Nikolaos; Kopeliansky, Revital; Koperny, Stefan; Köpke, Lutz; Kopp, Anna Katharina; Korcyl, Krzysztof; Kordas, Kostantinos; Korn, Andreas; Korol, Aleksandr; Korolkov, Ilya; Korolkova, Elena; Korotkov, Vladislav; Kortner, Oliver; Kortner, Sandra; Kostyukhin, Vadim; Kotov, Sergey; Kotov, Vladislav; Kotwal, Ashutosh; Kourkoumelis, Christine; Kouskoura, Vasiliki; Koutsman, Alex; Kowalewski, Robert Victor; Kowalski, Tadeusz; Kozanecki, Witold; Kozhin, Anatoly; Kral, Vlastimil; Kramarenko, Viktor; Kramberger, Gregor; Krasnopevtsev, Dimitriy; Krasny, Mieczyslaw Witold; Krasznahorkay, Attila; Kraus, Jana; Kravchenko, Anton; Kreiss, Sven; Kretz, Moritz; Kretzschmar, Jan; Kreutzfeldt, Kristof; Krieger, Peter; Kroeninger, Kevin; Kroha, Hubert; Kroll, Joe; Kroseberg, Juergen; Krstic, Jelena; Kruchonak, Uladzimir; Krüger, Hans; Kruker, Tobias; Krumnack, Nils; Krumshteyn, Zinovii; Kruse, Amanda; Kruse, Mark; Kruskal, Michael; Kubik, Petr; Kubota, Takashi; Kuday, Sinan; Kuehn, Susanne; Kugel, Andreas; Kuhl, Andrew; Kuhl, Thorsten; Kukhtin, Victor; Kulchitsky, Yuri; Kuleshov, Sergey; Kuna, Marine; Kunkle, Joshua; Kupco, Alexander; Kurashige, Hisaya; Kurochkin, Yurii; Kurumida, Rie; Kus, Vlastimil; Kuwertz, Emma Sian; Kuze, Masahiro; Kvita, Jiri; La Rosa, Alessandro; La Rotonda, Laura; Lacasta, Carlos; Lacava, Francesco; Lacey, James; Lacker, Heiko; Lacour, Didier; Lacuesta, Vicente Ramón; Ladygin, Evgueni; Lafaye, Remi; Laforge, Bertrand; Lagouri, Theodota; Lai, Stanley; Laier, Heiko; Lambourne, Luke; Lammers, Sabine; Lampen, Caleb; Lampl, Walter; Lançon, Eric; Landgraf, Ulrich; Landon, Murrough; Lang, Valerie Susanne; Lange, Clemens; Lankford, Andrew; Lanni, Francesco; Lantzsch, Kerstin; Laplace, Sandrine; Lapoire, Cecile; Laporte, Jean-Francois; Lari, Tommaso; Lassnig, Mario; Laurelli, Paolo; Lavrijsen, Wim; Law, Alexander; Laycock, Paul; Le, Bao Tran; Le Dortz, Olivier; Le Guirriec, Emmanuel; Le Menedeu, Eve; LeCompte, Thomas; Ledroit-Guillon, Fabienne Agnes Marie; Lee, Claire Alexandra; Lee, Hurng-Chun; Lee, Jason; Lee, Shih-Chang; Lee, Lawrence; Lefebvre, Guillaume; Lefebvre, Michel; Legger, Federica; Leggett, Charles; Lehan, Allan; Lehmacher, Marc; Lehmann Miotto, Giovanna; Lei, Xiaowen; Leister, Andrew Gerard; Leite, Marco Aurelio Lisboa; Leitner, Rupert; Lellouch, Daniel; Lemmer, Boris; Leney, Katharine; Lenz, Tatjana; Lenzen, Georg; Lenzi, Bruno; Leone, Robert; Leonhardt, Kathrin; Leontsinis, Stefanos; Leroy, Claude; Lester, Christopher; Lester, Christopher Michael; Levchenko, Mikhail; Levêque, Jessica; Levin, Daniel; Levinson, Lorne; Levy, Mark; Lewis, Adrian; Lewis, George; Leyko, Agnieszka; Leyton, Michael; Li, Bing; Li, Bo; Li, Haifeng; Li, Ho Ling; Li, Liang; Li, Shu; Li, Yichen; Liang, Zhijun; Liao, Hongbo; Liberti, Barbara; Lichard, Peter; Lie, Ki; Liebal, Jessica; Liebig, Wolfgang; Limbach, Christian; Limosani, Antonio; Limper, Maaike; Lin, Simon; Linde, Frank; Lindquist, Brian Edward; Linnemann, James; Lipeles, Elliot; Lipniacka, Anna; Lisovyi, Mykhailo; Liss, Tony; Lissauer, David; Lister, Alison; Litke, Alan; Liu, Bo; Liu, Dong; Liu, Jianbei; Liu, Kun; Liu, Lulu; Liu, Miaoyuan; Liu, Minghui; Liu, Yanwen; Livan, Michele; Livermore, Sarah; Lleres, Annick; Llorente Merino, Javier; Lloyd, Stephen; Lo Sterzo, Francesco; Lobodzinska, Ewelina; Loch, Peter; Lockman, William; Loddenkoetter, Thomas; Loebinger, Fred; Loevschall-Jensen, Ask Emil; Loginov, Andrey; Loh, Chang Wei; Lohse, Thomas; Lohwasser, Kristin; Lokajicek, Milos; Lombardo, Vincenzo Paolo; Long, Brian Alexander; Long, Jonathan; Long, Robin Eamonn; Lopes, Lourenco; Lopez Mateos, David; Lopez Paredes, Brais; Lorenz, Jeanette; Lorenzo Martinez, Narei; Losada, Marta; Loscutoff, Peter; Lou, XinChou; Lounis, Abdenour; Love, Jeremy; Love, Peter; Lowe, Andrew; Lu, Feng; Lubatti, Henry; Luci, Claudio; Lucotte, Arnaud; Luehring, Frederick; Lukas, Wolfgang; Luminari, Lamberto; Lundberg, Olof; Lund-Jensen, Bengt; Lungwitz, Matthias; Lynn, David; Lysak, Roman; Lytken, Else; Ma, Hong; Ma, Lian Liang; Maccarrone, Giovanni; Macchiolo, Anna; Machado Miguens, Joana; Macina, Daniela; Madaffari, Daniele; Madar, Romain; Maddocks, Harvey Jonathan; Mader, Wolfgang; Madsen, Alexander; Maeno, Mayuko; Maeno, Tadashi; Magradze, Erekle; Mahboubi, Kambiz; Mahlstedt, Joern; Mahmoud, Sara; Maiani, Camilla; Maidantchik, Carmen; Maio, Amélia; Majewski, Stephanie; Makida, Yasuhiro; Makovec, Nikola; Mal, Prolay; Malaescu, Bogdan; Malecki, Pawel; Maleev, Victor; Malek, Fairouz; Mallik, Usha; Malon, David; Malone, Caitlin; Maltezos, Stavros; Malyshev, Vladimir; Malyukov, Sergei; Mamuzic, Judita; Mandelli, Beatrice; Mandelli, Luciano; Mandić, Igor; Mandrysch, Rocco; Maneira, José; Manfredini, Alessandro; Manhaes de Andrade Filho, Luciano; Manjarres Ramos, Joany Andreina; Mann, Alexander; Manning, Peter; Manousakis-Katsikakis, Arkadios; Mansoulie, Bruno; Mantifel, Rodger; Mapelli, Livio; March, Luis; Marchand, Jean-Francois; Marchiori, Giovanni; Marcisovsky, Michal; Marino, Christopher; Marques, Carlos; Marroquim, Fernando; Marsden, Stephen Philip; Marshall, Zach; Marti, Lukas Fritz; Marti-Garcia, Salvador; Martin, Brian; Martin, Brian Thomas; Martin, Jean-Pierre; Martin, Tim; Martin, Victoria Jane; Martin dit Latour, Bertrand; Martinez, Homero; Martinez, Mario; Martin-Haugh, Stewart; Martyniuk, Alex; Marx, Marilyn; Marzano, Francesco; Marzin, Antoine; Masetti, Lucia; Mashimo, Tetsuro; Mashinistov, Ruslan; Masik, Jiri; Maslennikov, Alexey; Massa, Ignazio; Massol, Nicolas; Mastrandrea, Paolo; Mastroberardino, Anna; Masubuchi, Tatsuya; Matricon, Pierre; Matsunaga, Hiroyuki; Matsushita, Takashi; Mättig, Peter; Mättig, Stefan; Mattmann, Johannes; Maurer, Julien; Maxfield, Stephen; Maximov, Dmitriy; Mazini, Rachid; Mazzaferro, Luca; Mc Goldrick, Garrin; Mc Kee, Shawn Patrick; McCarn, Allison; McCarthy, Robert; McCarthy, Tom; McCubbin, Norman; McFarlane, Kenneth; Mcfayden, Josh; Mchedlidze, Gvantsa; Mclaughlan, Tom; McMahon, Steve; McPherson, Robert; Meade, Andrew; Mechnich, Joerg; Medinnis, Michael; Meehan, Samuel; Mehlhase, Sascha; Mehta, Andrew; Meier, Karlheinz; Meineck, Christian; Meirose, Bernhard; Melachrinos, Constantinos; Mellado Garcia, Bruce Rafael; Meloni, Federico; Mengarelli, Alberto; Menke, Sven; Meoni, Evelin; Mercurio, Kevin Michael; Mergelmeyer, Sebastian; Meric, Nicolas; Mermod, Philippe; Merola, Leonardo; Meroni, Chiara; Merritt, Frank; Merritt, Hayes; Messina, Andrea; Metcalfe, Jessica; Mete, Alaettin Serhan; Meyer, Carsten; Meyer, Christopher; Meyer, Jean-Pierre; Meyer, Jochen; Middleton, Robin; Migas, Sylwia; Mijović, Liza; Mikenberg, Giora; Mikestikova, Marcela; Mikuž, Marko; Miller, David; Mills, Corrinne; Milov, Alexander; Milstead, David; Milstein, Dmitry; Minaenko, Andrey; Miñano Moya, Mercedes; Minashvili, Irakli; Mincer, Allen; Mindur, Bartosz; Mineev, Mikhail; Ming, Yao; Mir, Lluisa-Maria; Mirabelli, Giovanni; Mitani, Takashi; Mitrevski, Jovan; Mitsou, Vasiliki A; Mitsui, Shingo; Miucci, Antonio; Miyagawa, Paul; Mjörnmark, Jan-Ulf; Moa, Torbjoern; Mochizuki, Kazuya; Moeller, Victoria; Mohapatra, Soumya; Mohr, Wolfgang; Molander, Simon; Moles-Valls, Regina; Mönig, Klaus; Monini, Caterina; Monk, James; Monnier, Emmanuel; Montejo Berlingen, Javier; Monticelli, Fernando; Monzani, Simone; Moore, Roger; Moraes, Arthur; Morange, Nicolas; Morel, Julien; Moreno, Deywis; Moreno Llácer, María; Morettini, Paolo; Morgenstern, Marcus; Morii, Masahiro; Moritz, Sebastian; Morley, Anthony Keith; Mornacchi, Giuseppe; Morris, John; Morvaj, Ljiljana; Moser, Hans-Guenther; Mosidze, Maia; Moss, Josh; Mount, Richard; Mountricha, Eleni; Mouraviev, Sergei; Moyse, Edward; Muanza, Steve; Mudd, Richard; Mueller, Felix; Mueller, James; Mueller, Klemens; Mueller, Thibaut; Mueller, Timo; Muenstermann, Daniel; Munwes, Yonathan; Murillo Quijada, Javier Alberto; Murray, Bill; Musheghyan, Haykuhi; Musto, Elisa; Myagkov, Alexey; Myska, Miroslav; Nackenhorst, Olaf; Nadal, Jordi; Nagai, Koichi; Nagai, Ryo; Nagai, Yoshikazu; Nagano, Kunihiro; Nagarkar, Advait; Nagasaka, Yasushi; Nagel, Martin; Nairz, Armin Michael; Nakahama, Yu; Nakamura, Koji; Nakamura, Tomoaki; Nakano, Itsuo; Namasivayam, Harisankar; Nanava, Gizo; Narayan, Rohin; Nattermann, Till; Naumann, Thomas; Navarro, Gabriela; Nayyar, Ruchika; Neal, Homer; Nechaeva, Polina; Neep, Thomas James; Negri, Andrea; Negri, Guido; Negrini, Matteo; Nektarijevic, Snezana; Nelson, Andrew; Nelson, Timothy Knight; Nemecek, Stanislav; Nemethy, Peter; Nepomuceno, Andre Asevedo; Nessi, Marzio; Neubauer, Mark; Neumann, Manuel; Neves, Ricardo; Nevski, Pavel; Newcomer, Mitchel; Newman, Paul; Nguyen, Duong Hai; Nickerson, Richard; Nicolaidou, Rosy; Nicquevert, Bertrand; Nielsen, Jason; Nikiforou, Nikiforos; Nikiforov, Andriy; Nikolaenko, Vladimir; Nikolic-Audit, Irena; Nikolics, Katalin; Nikolopoulos, Konstantinos; Nilsson, Paul; Ninomiya, Yoichi; Nisati, Aleandro; Nisius, Richard; Nobe, Takuya; Nodulman, Lawrence; Nomachi, Masaharu; Nomidis, Ioannis; Norberg, Scarlet; Nordberg, Markus; Nowak, Sebastian; Nozaki, Mitsuaki; Nozka, Libor; Ntekas, Konstantinos; Nunes Hanninger, Guilherme; Nunnemann, Thomas; Nurse, Emily; Nuti, Francesco; O'Brien, Brendan Joseph; O'grady, Fionnbarr; O'Neil, Dugan; O'Shea, Val; Oakham, Gerald; Oberlack, Horst; Obermann, Theresa; Ocariz, Jose; Ochi, Atsuhiko; Ochoa, Ines; Oda, Susumu; Odaka, Shigeru; Ogren, Harold; Oh, Alexander; Oh, Seog; Ohm, Christian; Ohman, Henrik; Ohshima, Takayoshi; Okamura, Wataru; Okawa, Hideki; Okumura, Yasuyuki; Okuyama, Toyonobu; Olariu, Albert; Olchevski, Alexander; Olivares Pino, Sebastian Andres; Oliveira Damazio, Denis; Oliver Garcia, Elena; Olszewski, Andrzej; Olszowska, Jolanta; Onofre, António; Onyisi, Peter; Oram, Christopher; Oreglia, Mark; Oren, Yona; Orestano, Domizia; Orlando, Nicola; Oropeza Barrera, Cristina; Orr, Robert; Osculati, Bianca; Ospanov, Rustem; Otero y Garzon, Gustavo; Otono, Hidetoshi; Ouchrif, Mohamed; Ouellette, Eric; Ould-Saada, Farid; Ouraou, Ahmimed; Oussoren, Koen Pieter; Ouyang, Qun; Ovcharova, Ana; Owen, Mark; Ozcan, Veysi Erkcan; Ozturk, Nurcan; Pachal, Katherine; Pacheco Pages, Andres; Padilla Aranda, Cristobal; Pagáčová, Martina; Pagan Griso, Simone; Paganis, Efstathios; Pahl, Christoph; Paige, Frank; Pais, Preema; Pajchel, Katarina; Palacino, Gabriel; Palestini, Sandro; Pallin, Dominique; Palma, Alberto; Palmer, Jody; Pan, Yibin; Panagiotopoulou, Evgenia; Panduro Vazquez, William; Pani, Priscilla; Panikashvili, Natalia; Panitkin, Sergey; Pantea, Dan; Paolozzi, Lorenzo; Papadopoulou, Theodora; Papageorgiou, Konstantinos; Paramonov, Alexander; Paredes Hernandez, Daniela; Parker, Michael Andrew; Parodi, Fabrizio; Parsons, John; Parzefall, Ulrich; Pasqualucci, Enrico; Passaggio, Stefano; Passeri, Antonio; Pastore, Fernanda; Pastore, Francesca; Pásztor, Gabriella; Pataraia, Sophio; Patel, Nikhul; Pater, Joleen; Patricelli, Sergio; Pauly, Thilo; Pearce, James; Pedersen, Maiken; Pedraza Lopez, Sebastian; Pedro, Rute; Peleganchuk, Sergey; Pelikan, Daniel; Peng, Haiping; Penning, Bjoern; Penwell, John; Perepelitsa, Dennis; Perez Codina, Estel; Pérez García-Estañ, María Teresa; Perez Reale, Valeria; Perini, Laura; Pernegger, Heinz; Perrino, Roberto; Peschke, Richard; Peshekhonov, Vladimir; Peters, Krisztian; Peters, Yvonne; Petersen, Brian; Petersen, Jorgen; Petersen, Troels; Petit, Elisabeth; Petridis, Andreas; Petridou, Chariclia; Petrolo, Emilio; Petrucci, Fabrizio; Petteni, Michele; Pettersson, Nora Emilia; Pezoa, Raquel; Phillips, Peter William; Piacquadio, Giacinto; Pianori, Elisabetta; Picazio, Attilio; Piccaro, Elisa; Piccinini, Maurizio; Piegaia, Ricardo; Pieron, Jacek Piotr; Pignotti, David; Pilcher, James; Pilkington, Andrew; Pina, João Antonio; Pinamonti, Michele; Pinder, Alex; Pinfold, James; Pingel, Almut; Pinto, Belmiro; Pires, Sylvestre; Pitt, Michael; Pizio, Caterina; Pleier, Marc-Andre; Pleskot, Vojtech; Plotnikova, Elena; Plucinski, Pawel; Poddar, Sahill; Podlyski, Fabrice; Poettgen, Ruth; Poggioli, Luc; Pohl, David-leon; Pohl, Martin; Polesello, Giacomo; Policicchio, Antonio; Polifka, Richard; Polini, Alessandro; Pollard, Christopher Samuel; Polychronakos, Venetios; Pommès, Kathy; Pontecorvo, Ludovico; Pope, Bernard; Popeneciu, Gabriel Alexandru; Popovic, Dragan; Poppleton, Alan; Portell Bueso, Xavier; Pospelov, Guennady; Pospisil, Stanislav; Potamianos, Karolos; Potrap, Igor; Potter, Christina; Potter, Christopher; Poulard, Gilbert; Poveda, Joaquin; Pozdnyakov, Valery; Pralavorio, Pascal; Pranko, Aliaksandr; Prasad, Srivas; Pravahan, Rishiraj; Prell, Soeren; Price, Darren; Price, Joe; Price, Lawrence; Prieur, Damien; Primavera, Margherita; Proissl, Manuel; Prokofiev, Kirill; Prokoshin, Fedor; Protopapadaki, Eftychia-sofia; Protopopescu, Serban; Proudfoot, James; Przybycien, Mariusz; Przysiezniak, Helenka; Ptacek, Elizabeth; Pueschel, Elisa; Puldon, David; Purohit, Milind; Puzo, Patrick; Qian, Jianming; Qin, Gang; Qin, Yang; Quadt, Arnulf; Quarrie, David; Quayle, William; Quilty, Donnchadha; Qureshi, Anum; Radeka, Veljko; Radescu, Voica; Radhakrishnan, Sooraj Krishnan; Radloff, Peter; Rados, Pere; Ragusa, Francesco; Rahal, Ghita; Rajagopalan, Srinivasan; Rammensee, Michael; Randle-Conde, Aidan Sean; Rangel-Smith, Camila; Rao, Kanury; Rauscher, Felix; Rave, Tobias Christian; Ravenscroft, Thomas; Raymond, Michel; Read, Alexander Lincoln; Rebuzzi, Daniela; Redelbach, Andreas; Redlinger, George; Reece, Ryan; Reeves, Kendall; Rehnisch, Laura; Reinsch, Andreas; Reisin, Hernan; Relich, Matthew; Rembser, Christoph; Ren, Zhongliang; Renaud, Adrien; Rescigno, Marco; Resconi, Silvia; Resende, Bernardo; Rezanova, Olga; Reznicek, Pavel; Rezvani, Reyhaneh; Richter, Robert; Ridel, Melissa; Rieck, Patrick; Rijssenbeek, Michael; Rimoldi, Adele; Rinaldi, Lorenzo; Ritsch, Elmar; Riu, Imma; Rizatdinova, Flera; Rizvi, Eram; Robertson, Steven; Robichaud-Veronneau, Andree; Robinson, Dave; Robinson, James; Robson, Aidan; Roda, Chiara; Rodrigues, Luis; Roe, Shaun; Røhne, Ole; Rolli, Simona; Romaniouk, Anatoli; Romano, Marino; Romeo, Gaston; Romero Adam, Elena; Rompotis, Nikolaos; Roos, Lydia; Ros, Eduardo; Rosati, Stefano; Rosbach, Kilian; Rose, Matthew; Rosendahl, Peter Lundgaard; Rosenthal, Oliver; Rossetti, Valerio; Rossi, Elvira; Rossi, Leonardo Paolo; Rosten, Rachel; Rotaru, Marina; Roth, Itamar; Rothberg, Joseph; Rousseau, David; Royon, Christophe; Rozanov, Alexandre; Rozen, Yoram; Ruan, Xifeng; Rubbo, Francesco; Rubinskiy, Igor; Rud, Viacheslav; Rudolph, Christian; Rudolph, Matthew Scott; Rühr, Frederik; Ruiz-Martinez, Aranzazu; Rurikova, Zuzana; Rusakovich, Nikolai; Ruschke, Alexander; Rutherfoord, John; Ruthmann, Nils; Ryabov, Yury; Rybar, Martin; Rybkin, Grigori; Ryder, Nick; Saavedra, Aldo; Sacerdoti, Sabrina; Saddique, Asif; Sadeh, Iftach; Sadrozinski, Hartmut; Sadykov, Renat; Safai Tehrani, Francesco; Sakamoto, Hiroshi; Sakurai, Yuki; Salamanna, Giuseppe; Salamon, Andrea; Saleem, Muhammad; Salek, David; Sales De Bruin, Pedro Henrique; Salihagic, Denis; Salnikov, Andrei; Salt, José; Salvachua Ferrando, Belén; Salvatore, Daniela; Salvatore, Pasquale Fabrizio; Salvucci, Antonio; Salzburger, Andreas; Sampsonidis, Dimitrios; Sanchez, Arturo; Sánchez, Javier; Sanchez Martinez, Victoria; Sandaker, Heidi; Sandbach, Ruth Laura; Sander, Heinz Georg; Sanders, Michiel; Sandhoff, Marisa; Sandoval, Tanya; Sandoval, Carlos; Sandstroem, Rikard; Sankey, Dave; Sansoni, Andrea; Santoni, Claudio; Santonico, Rinaldo; Santos, Helena; Santoyo Castillo, Itzebelt; Sapp, Kevin; Sapronov, Andrey; Saraiva, João; Sarrazin, Bjorn; Sartisohn, Georg; Sasaki, Osamu; Sasaki, Yuichi; Satsounkevitch, Igor; Sauvage, Gilles; Sauvan, Emmanuel; Savard, Pierre; Savu, Dan Octavian; Sawyer, Craig; Sawyer, Lee; Saxon, James; Sbarra, Carla; Sbrizzi, Antonio; Scanlon, Tim; Scannicchio, Diana; Scarcella, Mark; Schaarschmidt, Jana; Schacht, Peter; Schaefer, Douglas; Schaefer, Ralph; Schaepe, Steffen; Schaetzel, Sebastian; Schäfer, Uli; Schaffer, Arthur; Schaile, Dorothee; Schamberger, R. Dean; Scharf, Veit; Schegelsky, Valery; Scheirich, Daniel; Schernau, Michael; Scherzer, Max; Schiavi, Carlo; Schieck, Jochen; Schillo, Christian; Schioppa, Marco; Schlenker, Stefan; Schmidt, Evelyn; Schmieden, Kristof; Schmitt, Christian; Schmitt, Christopher; Schmitt, Sebastian; Schneider, Basil; Schnellbach, Yan Jie; Schnoor, Ulrike; Schoeffel, Laurent; Schoening, Andre; Schoenrock, Bradley Daniel; Schorlemmer, Andre Lukas; Schott, Matthias; Schouten, Doug; Schovancova, Jaroslava; Schram, Malachi; Schramm, Steven; Schreyer, Manuel; Schroeder, Christian; Schuh, Natascha; Schultens, Martin Johannes; Schultz-Coulon, Hans-Christian; Schulz, Holger; Schumacher, Markus; Schumm, Bruce; Schune, Philippe; Schwartzman, Ariel; Schwegler, Philipp; Schwemling, Philippe; Schwienhorst, Reinhard; Schwindling, Jerome; Schwindt, Thomas; Schwoerer, Maud; Sciacca, Gianfranco; Scifo, Estelle; Sciolla, Gabriella; Scott, Bill; Scuri, Fabrizio; Scutti, Federico; Searcy, Jacob; Sedov, George; Sedykh, Evgeny; Seidel, Sally; Seiden, Abraham; Seifert, Frank; Seixas, José; Sekhniaidze, Givi; Sekula, Stephen; Selbach, Karoline Elfriede; Seliverstov, Dmitry; Sellers, Graham; Semprini-Cesari, Nicola; Serfon, Cedric; Serin, Laurent; Serkin, Leonid; Serre, Thomas; Seuster, Rolf; Severini, Horst; Sforza, Federico; Sfyrla, Anna; Shabalina, Elizaveta; Shamim, Mansoora; Shan, Lianyou; Shank, James; Shao, Qi Tao; Shapiro, Marjorie; Shatalov, Pavel; Shaw, Kate; Shaw, Rick; Sherwood, Peter; Shimizu, Shima; Shimmin, Chase Owen; Shimojima, Makoto; Shiyakova, Mariya; Shmeleva, Alevtina; Shochet, Mel; Short, Daniel; Shrestha, Suyog; Shulga, Evgeny; Shupe, Michael; Shushkevich, Stanislav; Sicho, Petr; Sidorov, Dmitri; Sidoti, Antonio; Siegert, Frank; Sijacki, Djordje; Silbert, Ohad; Silva, José; Silver, Yiftah; Silverstein, Daniel; Silverstein, Samuel; Simak, Vladislav; Simard, Olivier; Simic, Ljiljana; Simion, Stefan; Simioni, Eduard; Simmons, Brinick; Simoniello, Rosa; Simonyan, Margar; Sinervo, Pekka; Sinev, Nikolai; Sipica, Valentin; Siragusa, Giovanni; Sircar, Anirvan; Sisakyan, Alexei; Sivoklokov, Serguei; Sjölin, Jörgen; Sjursen, Therese; Skottowe, Hugh Philip; Skovpen, Kirill; Skubic, Patrick; Slater, Mark; Slavicek, Tomas; Sliwa, Krzysztof; Smakhtin, Vladimir; Smart, Ben; Smestad, Lillian; Smirnov, Sergei; Smirnov, Yury; Smirnova, Lidia; Smirnova, Oxana; Smizanska, Maria; Smolek, Karel; Snesarev, Andrei; Snidero, Giacomo; Snow, Joel; Snyder, Scott; Sobie, Randall; Socher, Felix; Sodomka, Jaromir; Soffer, Abner; Soh, Dart-yin; Solans, Carlos; Solar, Michael; Solc, Jaroslav; Soldatov, Evgeny; Soldevila, Urmila; Solfaroli Camillocci, Elena; Solodkov, Alexander; Solovyanov, Oleg; Solovyev, Victor; Sommer, Philip; Song, Hong Ye; Soni, Nitesh; Sood, Alexander; Sopczak, Andre; Sopko, Vit; Sopko, Bruno; Sorin, Veronica; Sosebee, Mark; Soualah, Rachik; Soueid, Paul; Soukharev, Andrey; South, David; Spagnolo, Stefania; Spanò, Francesco; Spearman, William Robert; Spighi, Roberto; Spigo, Giancarlo; Spousta, Martin; Spreitzer, Teresa; Spurlock, Barry; St Denis, Richard Dante; Staerz, Steffen; Stahlman, Jonathan; Stamen, Rainer; Stanecka, Ewa; Stanek, Robert; Stanescu, Cristian; Stanescu-Bellu, Madalina; Stanitzki, Marcel Michael; Stapnes, Steinar; Starchenko, Evgeny; Stark, Jan; Staroba, Pavel; Starovoitov, Pavel; Staszewski, Rafal; Stavina, Pavel; Steele, Genevieve; Steinberg, Peter; Stekl, Ivan; Stelzer, Bernd; Stelzer, Harald Joerg; Stelzer-Chilton, Oliver; Stenzel, Hasko; Stern, Sebastian; Stewart, Graeme; Stillings, Jan Andre; Stockton, Mark; Stoebe, Michael; Stoicea, Gabriel; Stolte, Philipp; Stonjek, Stefan; Stradling, Alden; Straessner, Arno; Stramaglia, Maria Elena; Strandberg, Jonas; Strandberg, Sara; Strandlie, Are; Strauss, Emanuel; Strauss, Michael; Strizenec, Pavol; Ströhmer, Raimund; Strom, David; Stroynowski, Ryszard; Stucci, Stefania Antonia; Stugu, Bjarne; Styles, Nicholas Adam; Su, Dong; Su, Jun; Subramania, Halasya Siva; Subramaniam, Rajivalochan; Succurro, Antonella; Sugaya, Yorihito; Suhr, Chad; Suk, Michal; Sulin, Vladimir; Sultansoy, Saleh; Sumida, Toshi; Sun, Xiaohu; Sundermann, Jan Erik; Suruliz, Kerim; Susinno, Giancarlo; Sutton, Mark; Suzuki, Yu; Svatos, Michal; Swedish, Stephen; Swiatlowski, Maximilian; Sykora, Ivan; Sykora, Tomas; Ta, Duc; Tackmann, Kerstin; Taenzer, Joe; Taffard, Anyes; Tafirout, Reda; Taiblum, Nimrod; Takahashi, Yuta; Takai, Helio; Takashima, Ryuichi; Takeda, Hiroshi; Takeshita, Tohru; Takubo, Yosuke; Talby, Mossadek; Talyshev, Alexey; Tam, Jason; Tamsett, Matthew; Tan, Kong Guan; Tanaka, Junichi; Tanaka, Reisaburo; Tanaka, Satoshi; Tanaka, Shuji; Tanasijczuk, Andres Jorge; Tani, Kazutoshi; Tannoury, Nancy; Tapprogge, Stefan; Tarem, Shlomit; Tarrade, Fabien; Tartarelli, Giuseppe Francesco; Tas, Petr; Tasevsky, Marek; Tashiro, Takuya; Tassi, Enrico; Tavares Delgado, Ademar; Tayalati, Yahya; Taylor, Frank; Taylor, Geoffrey; Taylor, Wendy; Teischinger, Florian Alfred; Teixeira Dias Castanheira, Matilde; Teixeira-Dias, Pedro; Temming, Kim Katrin; Ten Kate, Herman; Teng, Ping-Kun; Terada, Susumu; Terashi, Koji; Terron, Juan; Terzo, Stefano; Testa, Marianna; Teuscher, Richard; Therhaag, Jan; Theveneaux-Pelzer, Timothée; Thoma, Sascha; Thomas, Juergen; Thomas-Wilsker, Joshuha; Thompson, Emily; Thompson, Paul; Thompson, Peter; Thompson, Stan; Thomsen, Lotte Ansgaard; Thomson, Evelyn; Thomson, Mark; Thong, Wai Meng; Thun, Rudolf; Tian, Feng; Tibbetts, Mark James; Tikhomirov, Vladimir; Tikhonov, Yury; Timoshenko, Sergey; Tiouchichine, Elodie; Tipton, Paul; Tisserant, Sylvain; Todorov, Theodore; Todorova-Nova, Sharka; Toggerson, Brokk; Tojo, Junji; Tokár, Stanislav; Tokushuku, Katsuo; Tollefson, Kirsten; Tomlinson, Lee; Tomoto, Makoto; Tompkins, Lauren; Toms, Konstantin; Topilin, Nikolai; Torrence, Eric; Torres, Heberth; Torró Pastor, Emma; Toth, Jozsef; Touchard, Francois; Tovey, Daniel; Tran, Huong Lan; Trefzger, Thomas; Tremblet, Louis; Tricoli, Alessandro; Trigger, Isabel Marian; Trincaz-Duvoid, Sophie; Tripiana, Martin; Triplett, Nathan; Trischuk, William; Trocmé, Benjamin; Troncon, Clara; Trottier-McDonald, Michel; Trovatelli, Monica; True, Patrick; Trzebinski, Maciej; Trzupek, Adam; Tsarouchas, Charilaos; Tseng, Jeffrey; Tsiareshka, Pavel; Tsionou, Dimitra; Tsipolitis, Georgios; Tsirintanis, Nikolaos; Tsiskaridze, Shota; Tsiskaridze, Vakhtang; Tskhadadze, Edisher; Tsukerman, Ilya; Tsulaia, Vakhtang; Tsuno, Soshi; Tsybychev, Dmitri; Tudorache, Alexandra; Tudorache, Valentina; Tuna, Alexander Naip; Tupputi, Salvatore; Turchikhin, Semen; Turecek, Daniel; Turk Cakir, Ilkay; Turra, Ruggero; Tuts, Michael; Tykhonov, Andrii; Tylmad, Maja; Tyndel, Mike; Uchida, Kirika; Ueda, Ikuo; Ueno, Ryuichi; Ughetto, Michael; Ugland, Maren; Uhlenbrock, Mathias; Ukegawa, Fumihiko; Unal, Guillaume; Undrus, Alexander; Unel, Gokhan; Ungaro, Francesca; Unno, Yoshinobu; Urbaniec, Dustin; Urquijo, Phillip; Usai, Giulio; Usanova, Anna; Vacavant, Laurent; Vacek, Vaclav; Vachon, Brigitte; Valencic, Nika; Valentinetti, Sara; Valero, Alberto; Valery, Loic; Valkar, Stefan; Valladolid Gallego, Eva; Vallecorsa, Sofia; Valls Ferrer, Juan Antonio; Van Berg, Richard; Van Der Deijl, Pieter; van der Geer, Rogier; van der Graaf, Harry; Van Der Leeuw, Robin; van der Ster, Daniel; van Eldik, Niels; van Gemmeren, Peter; Van Nieuwkoop, Jacobus; van Vulpen, Ivo; van Woerden, Marius Cornelis; Vanadia, Marco; Vandelli, Wainer; Vanguri, Rami; Vaniachine, Alexandre; Vankov, Peter; Vannucci, Francois; Vardanyan, Gagik; Vari, Riccardo; Varnes, Erich; Varol, Tulin; Varouchas, Dimitris; Vartapetian, Armen; Varvell, Kevin; Vazeille, Francois; Vazquez Schroeder, Tamara; Veatch, Jason; Veloso, Filipe; Veneziano, Stefano; Ventura, Andrea; Ventura, Daniel; Venturi, Manuela; Venturi, Nicola; Venturini, Alessio; Vercesi, Valerio; Verducci, Monica; Verkerke, Wouter; Vermeulen, Jos; Vest, Anja; Vetterli, Michel; Viazlo, Oleksandr; Vichou, Irene; Vickey, Trevor; Vickey Boeriu, Oana Elena; Viehhauser, Georg; Viel, Simon; Vigne, Ralph; Villa, Mauro; Villaplana Perez, Miguel; Vilucchi, Elisabetta; Vincter, Manuella; Vinogradov, Vladimir; Virzi, Joseph; Vivarelli, Iacopo; Vives Vaque, Francesc; Vlachos, Sotirios; Vladoiu, Dan; Vlasak, Michal; Vogel, Adrian; Vokac, Petr; Volpi, Guido; Volpi, Matteo; von der Schmitt, Hans; von Radziewski, Holger; von Toerne, Eckhard; Vorobel, Vit; Vorobev, Konstantin; Vos, Marcel; Voss, Rudiger; Vossebeld, Joost; Vranjes, Nenad; Vranjes Milosavljevic, Marija; Vrba, Vaclav; Vreeswijk, Marcel; Vu Anh, Tuan; Vuillermet, Raphael; Vukotic, Ilija; Vykydal, Zdenek; Wagner, Wolfgang; Wagner, Peter; Wahrmund, Sebastian; Wakabayashi, Jun; Walder, James; Walker, Rodney; Walkowiak, Wolfgang; Wall, Richard; Waller, Peter; Walsh, Brian; Wang, Chao; Wang, Chiho; Wang, Fuquan; Wang, Haichen; Wang, Hulin; Wang, Jike; Wang, Jin; Wang, Kuhan; Wang, Rui; Wang, Song-Ming; Wang, Tan; Wang, Xiaoxiao; Wanotayaroj, Chaowaroj; Warburton, Andreas; Ward, Patricia; Wardrope, David Robert; Warren, Matthew; Warsinsky, Markus; Washbrook, Andrew; Wasicki, Christoph; Watanabe, Ippei; Watkins, Peter; Watson, Alan; Watson, Ian; Watson, Miriam; Watts, Gordon; Watts, Stephen; Waugh, Ben; Webb, Samuel; Weber, Michele; Weber, Stefan Wolf; Webster, Jordan S; Weidberg, Anthony; Weigell, Philipp; Weinert, Benjamin; Weingarten, Jens; Weiser, Christian; Weits, Hartger; Wells, Phillippa; Wenaus, Torre; Wendland, Dennis; Weng, Zhili; Wengler, Thorsten; Wenig, Siegfried; Wermes, Norbert; Werner, Matthias; Werner, Per; Wessels, Martin; Wetter, Jeffrey; Whalen, Kathleen; White, Andrew; White, Martin; White, Ryan; White, Sebastian; Whiteson, Daniel; Wicke, Daniel; Wickens, Fred; Wiedenmann, Werner; Wielers, Monika; Wienemann, Peter; Wiglesworth, Craig; Wiik-Fuchs, Liv Antje Mari; Wijeratne, Peter Alexander; Wildauer, Andreas; Wildt, Martin Andre; Wilkens, Henric George; Will, Jonas Zacharias; Williams, Hugh; Williams, Sarah; Willis, Christopher; Willocq, Stephane; Wilson, John; Wilson, Alan; Wingerter-Seez, Isabelle; Winklmeier, Frank; Wittgen, Matthias; Wittig, Tobias; Wittkowski, Josephine; Wollstadt, Simon Jakob; Wolter, Marcin Wladyslaw; Wolters, Helmut; Wosiek, Barbara; Wotschack, Jorg; Woudstra, Martin; Wozniak, Krzysztof; Wright, Michael; Wu, Mengqing; Wu, Sau Lan; Wu, Xin; Wu, Yusheng; Wulf, Evan; Wyatt, Terry Richard; Wynne, Benjamin; Xella, Stefania; Xiao, Meng; Xu, Da; Xu, Lailin; Yabsley, Bruce; Yacoob, Sahal; Yamada, Miho; Yamaguchi, Hiroshi; Yamaguchi, Yohei; Yamamoto, Akira; Yamamoto, Kyoko; Yamamoto, Shimpei; Yamamura, Taiki; Yamanaka, Takashi; Yamauchi, Katsuya; Yamazaki, Yuji; Yan, Zhen; Yang, Haijun; Yang, Hongtao; Yang, Un-Ki; Yang, Yi; Yanush, Serguei; Yao, Liwen; Yao, Weiming; Yasu, Yoshiji; Yatsenko, Elena; Yau Wong, Kaven Henry; Ye, Jingbo; Ye, Shuwei; Yen, Andy L; Yildirim, Eda; Yilmaz, Metin; Yoosoofmiya, Reza; Yorita, Kohei; Yoshida, Rikutaro; Yoshihara, Keisuke; Young, Charles; Young, Christopher John; Youssef, Saul; Yu, David Ren-Hwa; Yu, Jaehoon; Yu, Jiaming; Yu, Jie; Yuan, Li; Yurkewicz, Adam; Zabinski, Bartlomiej; Zaidan, Remi; Zaitsev, Alexander; Zaman, Aungshuman; Zambito, Stefano; Zanello, Lucia; Zanzi, Daniele; Zaytsev, Alexander; Zeitnitz, Christian; Zeman, Martin; Zemla, Andrzej; Zengel, Keith; Zenin, Oleg; Ženiš, Tibor; Zerwas, Dirk; Zevi della Porta, Giovanni; Zhang, Dongliang; Zhang, Fangzhou; Zhang, Huaqiao; Zhang, Jinlong; Zhang, Lei; Zhang, Xueyao; Zhang, Zhiqing; Zhao, Zhengguo; Zhemchugov, Alexey; Zhong, Jiahang; Zhou, Bing; Zhou, Lei; Zhou, Ning; Zhu, Cheng Guang; Zhu, Hongbo; Zhu, Junjie; Zhu, Yingchun; Zhuang, Xuai; Zibell, Andre; Zieminska, Daria; Zimine, Nikolai; Zimmermann, Christoph; Zimmermann, Robert; Zimmermann, Simone; Zimmermann, Stephanie; Zinonos, Zinonas; Ziolkowski, Michael; Zobernig, Georg; Zoccoli, Antonio; zur Nedden, Martin; Zurzolo, Giovanni; Zutshi, Vishnu; Zwalinski, Lukasz

    The semiconductor tracker is a silicon microstrip detector forming part of the inner tracking system of the ATLAS experiment at the LHC. The operation and performance of the semiconductor tracker during the first years of LHC running are described. More than 99% of the detector modules were operational during this period, with an average intrinsic hit efficiency of (99.74 +/- 0.04)%. The evolution of the noise occupancy is discussed, and measurements of the Lorentz angle, delta-ray production and energy loss presented. The alignment of the detector is found to be stable at the few-micron level over long periods of time. Radiation damage measurements, which include the evolution of detector leakage currents, are found to be consistent with predictions and are used in the verification of radiation background simulations.

  18. Polarization effects in silicon-clad optical waveguides

    Science.gov (United States)

    Carson, R. F.; Batchman, T. E.

    1984-01-01

    By changing the thickness of a semiconductor cladding layer deposited on a planar dielectric waveguide, the TE or TM propagating modes may be selectively attenuated. This polarization effect is due to the periodic coupling between the lossless propagating modes of the dielectric slab waveguide and the lossy modes of the cladding layer. Experimental tests involving silicon claddings show high selectivity for either polarization.

  19. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Rosendahl, P L; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon microstrip detector part of the ATLAS experiment at the CERN Large Hadron Collider (LHC). Together with the rest for the ATLAS Inner Detector (ID) it provides vital precision tracking information of charged particles. In this paper the performance and operational status of the SCT in the last two years of ATLAS data taking are reviewed.

  20. Thermal and optical properties of porous silicon

    Directory of Open Access Journals (Sweden)

    Silva A. Ferreira da

    2001-01-01

    Full Text Available Thermal diffusivity and optical absorption have been investigated for porous silicon, at room temperature, using photoacoustic spectroscopy. The experimental results obtained conform well with the existing studies recently published. The value obtained for thermal diffusivity is 0.045 ± 0.002 cm²/s.The absorption onsets show energy structures, differing from the ordinary semiconductor of bulk type.

  1. Characterizing the effects of free carriers in fully etched, dielectric-clad silicon waveguides

    Science.gov (United States)

    Sharma, Rajat; Puckett, Matthew W.; Lin, Hung-Hsi; Vallini, Felipe; Fainman, Yeshaiahu

    2015-06-01

    We theoretically characterize the free-carrier plasma dispersion effect in fully etched silicon waveguides, with various dielectric material claddings, due to fixed interface charges and trap states at the silicon-dielectric interfaces. The values used for these charges are obtained from the measured capacitance-voltage characteristics of SiO2, SiNx, and Al2O3 thin films deposited on silicon substrates. The effect of the charges on the properties of silicon waveguides is then calculated using the semiconductor physics tool Silvaco in combination with the finite-difference time-domain method solver Lumerical. Our results show that, in addition to being a critical factor in the analysis of such active devices as capacitively driven silicon modulators, this effect should also be taken into account when considering the propagation losses of passive silicon waveguides.

  2. Residual stress in silicon wafer using IR polariscope

    Science.gov (United States)

    Lu, Zhijia; Wang, Pin; Asundi, Anand

    2008-09-01

    The infrared phase shift polariscope (IR-PSP) is a full-field optical technique for stress analysis in Silicon wafers. Phase shift polariscope is preferred to a conventional polariscope, as it can provide quantitative information of the normal stress difference and the shear stress in the specimen. The method is based on the principles of photoelasticity, in which stresses induces temporary birefringence in materials which can be quantitatively analyzed using a phase shift polariscope. Compared to other stress analysis techniques such as x-ray diffraction or laser scanning, infrared photoelastic stress analysis provides full-field information with high resolution and in near real time. As the semiconductor fabrication is advancing, larger wafers, thinner films and more compact packages are being manufactured. This results in a growing demand of process control. Residual stress exist in silicon during semiconductor fabrication and these stresses may make cell processing difficult or even cause the failure of the silicon. Reducing these stresses would improve manufacturability and reliability. Therefore stress analysis is essential to trace the root cause of the stresses. The polariscope images are processed using MATLAB and four-step phase shifting method to provide quantitative as well as qualitative information regarding the residual stress of the sample. The system is calibrated using four-point bend specimen and then the residual stress distribution in a MEMS sample is shown.

  3. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  4. High precision stress measurements in semiconductor structures by Raman microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Uhlig, Benjamin

    2009-07-01

    Stress in silicon structures plays an essential role in modern semiconductor technology. This stress has to be measured and due to the ongoing miniaturization in today's semiconductor industry, the measuring method has to meet certain requirements. The present thesis deals with the question how Raman spectroscopy can be used to measure the state of stress in semiconductor structures. In the first chapter the relation between Raman peakshift and stress in the material is explained. It is shown that detailed stress maps with a spatial resolution close to the diffraction limit can be obtained in structured semiconductor samples. Furthermore a novel procedure, the so called Stokes-AntiStokes-Difference method is introduced. With this method, topography, tool or drift effects can be distinguished from stress related influences in the sample. In the next chapter Tip-enhanced Raman Scattering (TERS) and its application for an improvement in lateral resolution is discussed. For this, a study is presented, which shows the influence of metal particles on the intensity and localization of the Raman signal. A method to attach metal particles to scannable tips is successfully applied. First TERS scans are shown and their impact on and challenges for high resolution stress measurements on semiconductor structures is explained. (orig.)

  5. Silicon nanowires nanogenerator based on the piezoelectricity of alpha-quartz.

    Science.gov (United States)

    Yin, Kui; Lin, Haiyang; Cai, Qian; Zhao, Yi; Lee, Shuit-Tong; Hu, Fei; Shao, Mingwang

    2013-12-21

    Silicon nanowires are important semiconductor with core/shell structure. In this work, the piezoelectric material alpha-quartz was grown in the interface of silicon nanowires by thermal treatment at 600 °C for 0.5 h. These nanowires were employed as starting materials to fabricate piezoelectric nanogenerators, which could convert kinetic energy into electrical one, exhibiting an output voltage of 36.5 V and a response current of 1.4 μA under a free-falling object of 300 g at a height of 30 cm.

  6. The ATLAS semiconductor tracker (SCT)

    International Nuclear Information System (INIS)

    Jackson, J.N.

    2005-01-01

    The ATLAS detector (CERN,LHCC,94-43 (1994)) is designed to study a wide range of physics at the CERN Large Hadron Collider (LHC) at luminosities up to 10 34 cm -2 s -1 with a bunch-crossing rate of 40 MHz. The Semiconductor Tracker (SCT) forms a key component of the Inner Detector (vol. 1, ATLAS TDR 4, CERN,LHCC 97-16 (1997); vol. 2, ATLAS TDR 5, CERN,LHCC 97-17 (1997)) which is situated inside a 2 T solenoid field. The ATLAS Semiconductor Tracker (SCT) utilises 4088 silicon modules with binary readout mounted on carbon fibre composite structures arranged in the forms of barrels in the central region and discs in the forward region. The construction of the SCT is now well advanced. The design of the SCT modules, services and support structures will be briefly outlined. A description of the various stages in the construction process will be presented with examples of the performance achieved and the main difficulties encountered. Finally, the current status of the construction is reviewed

  7. Preparation and Characterisation of Amorphous-silicon Photovoltaic Devices Having Microcrystalline Emitters

    International Nuclear Information System (INIS)

    Gutierrez, M. T.; Gandia, J. J.; Carabe, J.

    1999-01-01

    The present work summarises the essential aspects of the research carried out so far at CIEMAT on amorphous-silicon solar cells. The experience accumulated on the preparation and characterisation of amorphous and microcrystalline silicon has allowed to start from intrinsic (absorbent) and p- and n-type (emitters) materials not only having excellent optoelectronic properties, but enjoying certain technological advantages with respect to those developed by other groups. Among these are absorbent-layer growth rates between 5 and 10 times as fast as conventional ones and microcrystalline emitters prepared without using hydrogen. The preparation of amorphous-silicon cells has required the solution of a number of problems, such as those related to pinholes, edge leak currents and diffusion of metals into the semiconductor. Once such constraints have been overcome, it has been demonstrated not only that the amorphous-silicon technology developed at CIEMAT is valid for making solar cells, but also that the quality of the semiconductor material is good for the application according to the partial results obtained. The development of thin-film laser-scribing technology is considered essential. Additionally it has been concluded that cross contamination, originated by the fact of using a single-chamber reactor, is the basic factor limiting the quality of the cells developed at CIEMAT. The present research activity is highly focused on the solution of this problem. (Author)23 refs

  8. Heat and mass transfer in semiconductor melts during single-crystal growth processes

    Science.gov (United States)

    Kakimoto, Koichi

    1995-03-01

    The quality of large semiconductor crystals grown from melts is significantly affected by the heat and mass transfer in the melts. The current understanding of the phenomena, especially melt convection, is reviewed starting from the results of visualization using model fluids or silicon melt, and continuing to the detailed numerical calculations needed for quantitative modeling of processing with solidification. The characteristics of silicon flows are also reviewed by focusing on the Coriolis force in the rotating melt. Descriptions of flow instabilities are included that show the level of understanding of melt convection with a low Prandtl number. Based on hydrodynamics, the origin of the silicon flow structure is reviewed, and it is discussed whether silicon flow is completely turbulent or has an ordered structure. The phase transition from axisymmetric to nonaxisymmetric flow is discussed using different geometries. Additionally, surface-tension-driven flow is reviewed for Czochralski crystal growth systems.

  9. Observation of soliton compression in silicon photonic crystals

    Science.gov (United States)

    Blanco-Redondo, A.; Husko, C.; Eades, D.; Zhang, Y.; Li, J.; Krauss, T.F.; Eggleton, B.J.

    2014-01-01

    Solitons are nonlinear waves present in diverse physical systems including plasmas, water surfaces and optics. In silicon, the presence of two photon absorption and accompanying free carriers strongly perturb the canonical dynamics of optical solitons. Here we report the first experimental demonstration of soliton-effect pulse compression of picosecond pulses in silicon, despite two photon absorption and free carriers. Here we achieve compression of 3.7 ps pulses to 1.6 ps with photonic crystal waveguide and an ultra-sensitive frequency-resolved electrical gating technique to detect the ultralow energies in the nanostructured device. Strong agreement with a nonlinear Schrödinger model confirms the measurements. These results further our understanding of nonlinear waves in silicon and open the way to soliton-based functionalities in complementary metal-oxide-semiconductor-compatible platforms. PMID:24423977

  10. A study of luminescence from silicon-rich silica fabricated by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Trwoga, P.F.

    1998-01-01

    Silicon is the most studied electronic material known to man and dominates the electronics industry in its use as a semiconductors for nearly all integrated electronics. However, optoelectronics is almost entirely based on III-V materials. This technology is used because silicon is a very inefficient light source, whereas the III-V band structure can lend itself to efficient light emission by electron injection. However, due to the overwhelming dominance of silicon based electronics it is still a highly desirable goal to generate light efficiently from silicon based materials. Recently, studies have demonstrated that efficient visible luminescence can be obtained from certain novel forms of silicon. These materials include porous silicon, hydrogenated amorphous silicon, and silicon-rich silica (SiO x x x is studied in detail; in addition, electroluminescence and rare-earth doping of silicon-rich silica is also addressed. (author)

  11. A MoTe2-based light-emitting diode and photodetector for silicon photonic integrated circuits.

    Science.gov (United States)

    Bie, Ya-Qing; Grosso, Gabriele; Heuck, Mikkel; Furchi, Marco M; Cao, Yuan; Zheng, Jiabao; Bunandar, Darius; Navarro-Moratalla, Efren; Zhou, Lin; Efetov, Dmitri K; Taniguchi, Takashi; Watanabe, Kenji; Kong, Jing; Englund, Dirk; Jarillo-Herrero, Pablo

    2017-12-01

    One of the current challenges in photonics is developing high-speed, power-efficient, chip-integrated optical communications devices to address the interconnects bottleneck in high-speed computing systems. Silicon photonics has emerged as a leading architecture, in part because of the promise that many components, such as waveguides, couplers, interferometers and modulators, could be directly integrated on silicon-based processors. However, light sources and photodetectors present ongoing challenges. Common approaches for light sources include one or few off-chip or wafer-bonded lasers based on III-V materials, but recent system architecture studies show advantages for the use of many directly modulated light sources positioned at the transmitter location. The most advanced photodetectors in the silicon photonic process are based on germanium, but this requires additional germanium growth, which increases the system cost. The emerging two-dimensional transition-metal dichalcogenides (TMDs) offer a path for optical interconnect components that can be integrated with silicon photonics and complementary metal-oxide-semiconductors (CMOS) processing by back-end-of-the-line steps. Here, we demonstrate a silicon waveguide-integrated light source and photodetector based on a p-n junction of bilayer MoTe 2 , a TMD semiconductor with an infrared bandgap. This state-of-the-art fabrication technology provides new opportunities for integrated optoelectronic systems.

  12. A MoTe2-based light-emitting diode and photodetector for silicon photonic integrated circuits

    Science.gov (United States)

    Bie, Ya-Qing; Grosso, Gabriele; Heuck, Mikkel; Furchi, Marco M.; Cao, Yuan; Zheng, Jiabao; Bunandar, Darius; Navarro-Moratalla, Efren; Zhou, Lin; Efetov, Dmitri K.; Taniguchi, Takashi; Watanabe, Kenji; Kong, Jing; Englund, Dirk; Jarillo-Herrero, Pablo

    2017-12-01

    One of the current challenges in photonics is developing high-speed, power-efficient, chip-integrated optical communications devices to address the interconnects bottleneck in high-speed computing systems. Silicon photonics has emerged as a leading architecture, in part because of the promise that many components, such as waveguides, couplers, interferometers and modulators, could be directly integrated on silicon-based processors. However, light sources and photodetectors present ongoing challenges. Common approaches for light sources include one or few off-chip or wafer-bonded lasers based on III-V materials, but recent system architecture studies show advantages for the use of many directly modulated light sources positioned at the transmitter location. The most advanced photodetectors in the silicon photonic process are based on germanium, but this requires additional germanium growth, which increases the system cost. The emerging two-dimensional transition-metal dichalcogenides (TMDs) offer a path for optical interconnect components that can be integrated with silicon photonics and complementary metal-oxide-semiconductors (CMOS) processing by back-end-of-the-line steps. Here, we demonstrate a silicon waveguide-integrated light source and photodetector based on a p-n junction of bilayer MoTe2, a TMD semiconductor with an infrared bandgap. This state-of-the-art fabrication technology provides new opportunities for integrated optoelectronic systems.

  13. Exploring SiSn as a performance enhancing semiconductor: A theoretical and experimental approach

    KAUST Repository

    Hussain, Aftab M.

    2014-12-14

    We present a novel semiconducting alloy, silicon-tin (SiSn), as channel material for complementary metal oxide semiconductor (CMOS) circuit applications. The material has been studied theoretically using first principles analysis as well as experimentally by fabricating MOSFETs. Our study suggests that the alloy offers interesting possibilities in the realm of silicon band gap tuning. We have explored diffusion of tin (Sn) into the industry\\'s most widely used substrate, silicon (100), as it is the most cost effective, scalable and CMOS compatible way of obtaining SiSn. Our theoretical model predicts a higher mobility for p-channel SiSn MOSFETs, due to a lower effective mass of the holes, which has been experimentally validated using the fabricated MOSFETs. We report an increase of 13.6% in the average field effect hole mobility for SiSn devices compared to silicon control devices.

  14. Design criteria for XeF{sub 2} enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad M., E-mail: muhammadmustafa.hussain@kaust.edu.sa [Integrated Nanotechnology Laboratory (INL) and Integrated Disruptive Electronics Applications (IDEA) Laboratory, Computer Electrical Mathematical Science and Engineering Division, King Abdullah University of Science and Technology - KAUST, Thuwal 23955-6900 (Saudi Arabia)

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF{sub 2}) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  15. Plasmonic and silicon spherical nanoparticle antireflective coatings

    Science.gov (United States)

    Baryshnikova, K. V.; Petrov, M. I.; Babicheva, V. E.; Belov, P. A.

    2016-03-01

    Over the last decade, plasmonic antireflecting nanostructures have been extensively studied to be utilized in various optical and optoelectronic systems such as lenses, solar cells, photodetectors, and others. The growing interest to all-dielectric photonics as an alternative optical technology along with plasmonics motivates us to compare antireflective properties of plasmonic and all-dielectric nanoparticle coatings based on silver and crystalline silicon respectively. Our simulation results for spherical nanoparticles array on top of amorphous silicon show that both silicon and silver coatings demonstrate strong antireflective properties in the visible spectral range. For the first time, we show that zero reflectance from the structure with silicon coatings originates from the destructive interference of electric- and magnetic-dipole responses of nanoparticle array with the wave reflected from the substrate, and we refer to this reflection suppression as substrate-mediated Kerker effect. We theoretically compare the silicon and silver coating effectiveness for the thin-film photovoltaic applications. Silver nanoparticles can be more efficient, enabling up to 30% increase of the overall absorbance in semiconductor layer. Nevertheless, silicon coatings allow up to 64% absorbance increase in the narrow band spectral range because of the substrate-mediated Kerker effect, and band position can be effectively tuned by varying the nanoparticles sizes.

  16. Future semiconductor material requirements and innovations as projected in the ITRS 2005 roadmap

    International Nuclear Information System (INIS)

    Arden, Wolfgang

    2006-01-01

    The international technology roadmap for semiconductors (ITRS) is a joint global effort of the semiconductor industry, the manufacturing equipment and material industry and the research community and consortia to define the future requirements and development of the semiconductor technology for the next 15 years. The ITRS started in 1992 as a US-national roadmap and became an international effort in 1998 with all major five industrial global regions (US, Japan, Taiwan, Korea and Europe) participating in its definition. The outlook in semiconductor manufacturing expects the continuous application of silicon technology for the next 15 years where complementary metal oxide semiconductor (CMOS) based devices will carry the development of the industry at least for one more decade. New device architectures and concepts based on silicon wafer material are being developed to support the development of the IC industry for another one or two decade. The major section of the ITRS contains technical information about frontend processing and interconnects, device structures and memory concepts, lithography and metrology as well as factory integration and environmental issues. This paper will review the material requirements and the expected material innovations for the industry as outlined in the ITRS Version 2005. Materials to be discussed are, for example, high permittivity gate dielectrics, insulating layers with low dielectric constants for interconnects, and capacitor dielectrics for dynamic memories. In addition, the paper will address, for example, new transistor gate materials, new solutions for interconnect systems beyond copper as well as new starting materials for wafer sizes beyond 300 mm. This publication was presented as an invited paper in the Symposium V of the 2006 spring meeting of the European Materials Research Society (E-MRS) in Nice, May 29th

  17. Microscopic models of impurities in silicon

    International Nuclear Information System (INIS)

    Assali, L.V.C.

    1985-01-01

    The study of electronic structure of insulated and complex puntual impurities in silicon responsible by the appearing of deep energy levels in the forbiden band of semiconductor, is presented. The molecular cluster model with the treatment of surface orbitals by Watson sphere within the formalism of Xα multiple scattering method, was used. The electronic structures of three clusters representative of perfect silicon crystal, which were used for the impurity studies, are presented. The method was applied to analyse insulated impurities of substitutional and interstitial hydrogen (Si:H and Si:H i ), subtitutional and interstitial iron in neutral and positive charge states (Si:Fe 0 , + , Si:Fe 0 , + ) and substitutional gold in three charge states(Si,Au - , 0 , + ). The thetraedic interstitial defect of silicon (Si:Si i ) was also studied. The complex impurities: neighbour iron pair in the lattice (Si:Fe 2 ), substitutional gold-interstitial iron pair (Si:Au s Fe) and substitutional boron-interstitial hydrogen pair (Si:B s H i ), were analysed. (M.C.K.) [pt

  18. Extending Moore’s Law for Silicon CMOS using More-Moore and More-than-Moore Technologies

    KAUST Repository

    Hussain, Aftab M.

    2016-12-01

    With the advancement of silicon electronics under threat from physical limits to dimensional scaling, the International Technology Roadmap for Semiconductors (ITRS) released a white paper in 2008, detailing the ways in which the semiconductor industry can keep itself continually growing in the twenty-first century. Two distinct paths were proposed: More-Moore and More-than-Moore. While More-Moore approach focuses on the continued use of state-of-the-art, complementary metal oxide semiconductor (CMOS) technology for next generation electronics, More-than-Moore approach calls for a disruptive change in the system architecture and integration strategies. In this doctoral thesis, we investigate both the approaches to obtain performance improvement in the state-of-the-art, CMOS electronics. We present a novel channel material, SiSn, for fabrication of CMOS circuits. This investigation is in line with the More-Moore approach because we are relying on the established CMOS industry infrastructure to obtain an incremental change in the integrated circuit (IC) performance by replacing silicon channel with SiSn. We report a simple, low-cost and CMOS compatible process for obtaining single crystal SiSn wafers. Tin (Sn) is deposited on silicon wafers in the form of a metallic thin film and annealed to facilitate diffusion into the silicon lattice. This diffusion provides for sufficient SiSn layer at the top surface for fabrication of CMOS devices. We report a lowering of band gap and enhanced mobility for SiSn channel MOSFETs compared to silicon control devices. We also present a process for fabrication of vertically integrated flexible silicon to form 3D integrated circuits. This disruptive change in the state-of-the-art, in line with the More-than-Moore approach, promises to increase the performance per area of a silicon chip. We report a process for stacking and bonding these pieces with polymeric bonding and interconnecting them using copper through silicon vias (TSVs). We

  19. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  20. The impact of silicon feedstock on the PV module cost

    NARCIS (Netherlands)

    del Coso, G.; del Cañizo, C.; Sinke, W.C.

    2010-01-01

    The impact of the use of new (solar grade) silicon feedstock materials on the manufacturing cost of wafer-based crystalline silicon photovoltaic modules is analyzed considering effects of material cost, efficiency of utilisation, and quality. Calculations based on data provided by European industry

  1. Palladium silicide - a new contact for semiconductor radiation detectors

    International Nuclear Information System (INIS)

    Totterdell, D.H.J.

    1981-11-01

    Silicide layers can be used as low resistance contacts in semiconductor devices. The formation of a metal rich palladium silicide Pd 2 Si is discussed. A palladium film 100A thick is deposited at 300 0 C and the resulting silicide layer used as an ohmic contact in an n + p silicon detector. This rugged contact has electrical characteristics comparable with existing evaporated gold contacts and enables the use of more reproducible bonding techniques. (author)

  2. Solidification and properties of photovoltaic silicon

    International Nuclear Information System (INIS)

    Anon.

    2007-01-01

    Strenuous efforts are being made to develop an economical process for purifying liquid metallurgical-grade silicon, in response to the growing shortages in high-purity silicon for use in manufacturing photovoltaic cells. A research project is studying this issue at C.E. Saclay, Gif-sur-Yvette, France, co-funded by ADEME (the French Environment and Energy Management Agency) and CEA-INSTN (French Atomic Energy Commission National Institute for Nuclear Science and Technology). (authors)

  3. Silicon-Carbide Power MOSFET Performance in High Efficiency Boost Power Processing Unit for Extreme Environments

    Science.gov (United States)

    Ikpe, Stanley A.; Lauenstein, Jean-Marie; Carr, Gregory A.; Hunter, Don; Ludwig, Lawrence L.; Wood, William; Del Castillo, Linda Y.; Fitzpatrick, Fred; Chen, Yuan

    2016-01-01

    Silicon-Carbide device technology has generated much interest in recent years. With superior thermal performance, power ratings and potential switching frequencies over its Silicon counterpart, Silicon-Carbide offers a greater possibility for high powered switching applications in extreme environment. In particular, Silicon-Carbide Metal-Oxide- Semiconductor Field-Effect Transistors' (MOSFETs) maturing process technology has produced a plethora of commercially available power dense, low on-state resistance devices capable of switching at high frequencies. A novel hard-switched power processing unit (PPU) is implemented utilizing Silicon-Carbide power devices. Accelerated life data is captured and assessed in conjunction with a damage accumulation model of gate oxide and drain-source junction lifetime to evaluate potential system performance at high temperature environments.

  4. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  5. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  6. Neutron Transmutation Doping of Silicon at Research Reactors

    International Nuclear Information System (INIS)

    2012-05-01

    This publication details the processes and history of neutron transmutation doping of silicon, particularly its commercial pathway, followed by the requirements for a technologically modern and economically viable production scheme and the current trends in the global market for semiconductor products. It should serve as guidelines on the technical requirements, involved processes and required quality standards for the transmission of sound practices and advice for research reactor managers and operators planning commercial scale production of silicon. Furthermore, a detailed and specific database of most of the world's research reactor facilities in this domain is included, featuring their characteristics for irradiation capabilities, associated production capacities and processing.

  7. Controlling semiconductor nanoparticle size distributions with tailored ultrashort pulses

    International Nuclear Information System (INIS)

    Hergenroeder, R; Miclea, M; Hommes, V

    2006-01-01

    The laser generation of size-controlled semiconductor nanoparticle formation under gas phase conditions is investigated. It is shown that the size distribution can be changed if picosecond pulse sequences of tailored ultra short laser pulses (<200 fs) are employed. By delivering the laser energy in small packages, a temporal energy flux control at the target surface is achieved, which results in the control of the thermodynamic pathway the material takes. The concept is tested with silicon and germanium, both materials with a predictable response to double pulse sequences, which allows deduction of the materials' response to complicated pulse sequences. An automatic, adaptive learning algorithm was employed to demonstrate a future strategy that enables the definition of more complex optimization targets such as particle size on materials less predictable than semiconductors

  8. Defects and diffusion in semiconductors XII

    CERN Document Server

    Fisher, David J

    2010-01-01

    This twelfth volume in the series covering the latest results in the field includes abstracts of papers which have appeared since the publication of Annual Retrospective XI (Volume 282). As well as the 565 semiconductor-related abstracts, the issue includes - in line with the policy of including original papers on all of the major material groups: ""Study of Conduction Mechanism in Amorphous Se85-xTe15Bix Thin Films"" (A.Sharma and P.B.Barman), ""Structure and Optical Properties of Magnetron-Sputtered SiOx Layers with Silicon Nanoparticles"" (L.Khomenkova, N.Korsunska, T.Stara, Y.Goldstein, J.

  9. The Semiconductor Industry and Emerging Technologies: A Study Using a Modified Delphi Method

    Science.gov (United States)

    Jordan, Edgar A.

    2010-01-01

    The purpose of this qualitative descriptive study was to determine what leaders in the semiconductor industry thought the future of computing would look like and what emerging materials showed the most promise to overcome the current theoretical limit of 10 nanometers for silicon dioxide. The researcher used a modified Delphi technique in two…

  10. 3D heteroepitaxy of mismatched semiconductors on silicon

    International Nuclear Information System (INIS)

    Falub, Claudiu V.; Kreiliger, Thomas; Isa, Fabio; Taboada, Alfonso G.; Meduňa, Mojmír; Pezzoli, Fabio; Bergamaschini, Roberto; Marzegalli, Anna; Müller, Elisabeth; Chrastina, Daniel; Isella, Giovanni; Neels, Antonia; Niedermann, Philippe; Dommann, Alex; Miglio, Leo; Känel, Hans von

    2014-01-01

    We present a method for monolithically integrating mismatched semiconductor materials with Si, coined three-dimensional (3D) heteroepitaxy. The method comprises the replacement of conventional, continuous epilayers by dense arrays of strain- and defect-free, micron-sized crystals. The crystals are formed by a combination of deep-patterning of the Si substrates and self-limited lateral expansion during the epitaxial growth. Consequently, the longstanding issues of crack formation and wafer bowing can be avoided. Moreover, threading dislocations can be eliminated by appropriately choosing pattern sizes, layer thicknesses and surface morphology, the latter being dependent on the growth temperature. We show this approach to be valid for various material combinations, pattern geometries and substrate orientations. We demonstrate that Ge crystals evolve into perfect structures away from the heavily dislocated interface with Si, by using a synchrotron X-ray beam focused to a spot a few hundred nanometers in size and by recording 3D reciprocal space maps along their height. Room temperature photoluminescence (PL) experiments reveal that the interband integrated PL intensity of the Ge crystals is enhanced by almost three orders of magnitude with respect to that of Ge epilayers directly grown on flat Si substrates. Electrical measurements performed on single heterojunction diodes formed between 3D Ge crystals and the Si substrate exhibit rectifying behavior with dark currents of the order of 1 mA/cm 2 . For GaAs the thermal strain relaxation as a function of pattern size is similar to that found for group IV materials. Significant differences exist, however, in the evolution of crystal morphology with pattern size, which more and more tends to a pyramidal shape defined by stable {111} facets with decreasing width of the Si pillars. - Highlights: • Νew method for integrating mismatched semiconductors • Arrays of three-dimensional epitaxial Ge and GaAs crystals on Si

  11. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  12. Preliminary neutron design of the flux flatter for silicon doping at the RA10

    International Nuclear Information System (INIS)

    Cintas, A.; Bazzana, S.

    2012-01-01

    The neutron transmutation doping of silicon (NTD) is one of the facilities under development for the RA10 project. In order to obtain high quality semiconductor, commercial requirements of NTD include achieving high axial and radial uniformity in the silicon targets. Axial uniformity is achieved locating a neutron screen around the Si ingot, obtaining a flat axial distribution of the dopant concentration. We present the neutron design of this screen, also known as flux flattener. MCNP5 was used to model the screen design. We have reached a satisfactory preliminary screen design after numerous iterations. The fluctuation in the axial distribution of the reaction capture rate ( 30 Si(n,γ) 31 Si) is under ≠1,5%, which is the required level by the semiconductor industry to accept the final product (author)

  13. Method of producing p-i-n structures by compensation of lithium ions from both side of silicon

    International Nuclear Information System (INIS)

    Muminov, R.A.; Radjapov, S.A.; Saymbetov, A.K.; Tursunkulov, O.M.; Pindurin, Yu.S.

    2007-01-01

    Full text: Semiconductor nuclear radiation detectors are needed to solve certain problems in nuclear spectroscopy. The development of efficiency detectors became possible with advances in growing high purify silicon single crystals with the required properties, satisfying the requirements for obtaining detectors based on them. One important requirement for obtaining detectors with sensitive area is that its resistance must be high. This is achieved by using the lithium ion drift process in the volume of the semiconductor detector. Thus it has been developed and created silicon semiconductor nuclear radiation detectors with vide range of diameter of sensitive area up to 100 mm and thickness (from 1mm to 10mm). At present work a new method for producing p-i-n structures was developed to decrease substantially the time required for compensation of silicon by lithium ions and to eliminate at the same time the negative consequences of holding the crystal at a high temperature and under a high voltage. Drift of lithium ions from two ends of prepared samples is conducted to a depth sufficient for the required compensation of the initial acceptor impurity in silicon. The method described above was used to fabricate a batch of Si(Li) detectors with a 1-10 mm thick and 10-110 mm in diameter sensitive region. The thickness of the sensitive region was determined by performing standard measurements and chemical pigmentation. Advantages of detectors are they have improved properties and less time for compensation of lithium ions. (authors)

  14. Strong coupling of a single electron in silicon to a microwave photon

    Science.gov (United States)

    Mi, X.; Cady, J. V.; Zajac, D. M.; Deelman, P. W.; Petta, J. R.

    2017-01-01

    Silicon is vital to the computing industry because of the high quality of its native oxide and well-established doping technologies. Isotopic purification has enabled quantum coherence times on the order of seconds, thereby placing silicon at the forefront of efforts to create a solid-state quantum processor. We demonstrate strong coupling of a single electron in a silicon double quantum dot to the photonic field of a microwave cavity, as shown by the observation of vacuum Rabi splitting. Strong coupling of a quantum dot electron to a cavity photon would allow for long-range qubit coupling and the long-range entanglement of electrons in semiconductor quantum dots.

  15. Tailoring the graphene/silicon carbide interface for monolithic wafer-scale electronics.

    Science.gov (United States)

    Hertel, S; Waldmann, D; Jobst, J; Albert, A; Albrecht, M; Reshanov, S; Schöner, A; Krieger, M; Weber, H B

    2012-07-17

    Graphene is an outstanding electronic material, predicted to have a role in post-silicon electronics. However, owing to the absence of an electronic bandgap, graphene switching devices with high on/off ratio are still lacking. Here in the search for a comprehensive concept for wafer-scale graphene electronics, we present a monolithic transistor that uses the entire material system epitaxial graphene on silicon carbide (0001). This system consists of the graphene layer with its vanishing energy gap, the underlying semiconductor and their common interface. The graphene/semiconductor interfaces are tailor-made for ohmic as well as for Schottky contacts side-by-side on the same chip. We demonstrate normally on and normally off operation of a single transistor with on/off ratios exceeding 10(4) and no damping at megahertz frequencies. In its simplest realization, the fabrication process requires only one lithography step to build transistors, diodes, resistors and eventually integrated circuits without the need of metallic interconnects.

  16. Complex dewetting scenarios of ultrathin silicon films for large-scale nanoarchitectures.

    Science.gov (United States)

    Naffouti, Meher; Backofen, Rainer; Salvalaglio, Marco; Bottein, Thomas; Lodari, Mario; Voigt, Axel; David, Thomas; Benkouider, Abdelmalek; Fraj, Ibtissem; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Grosso, David; Abbarchi, Marco; Bollani, Monica

    2017-11-01

    Dewetting is a ubiquitous phenomenon in nature; many different thin films of organic and inorganic substances (such as liquids, polymers, metals, and semiconductors) share this shape instability driven by surface tension and mass transport. Via templated solid-state dewetting, we frame complex nanoarchitectures of monocrystalline silicon on insulator with unprecedented precision and reproducibility over large scales. Phase-field simulations reveal the dominant role of surface diffusion as a driving force for dewetting and provide a predictive tool to further engineer this hybrid top-down/bottom-up self-assembly method. Our results demonstrate that patches of thin monocrystalline films of metals and semiconductors share the same dewetting dynamics. We also prove the potential of our method by fabricating nanotransfer molding of metal oxide xerogels on silicon and glass substrates. This method allows the novel possibility of transferring these Si-based patterns on different materials, which do not usually undergo dewetting, offering great potential also for microfluidic or sensing applications.

  17. Silicon drift detectors with on-chip electronics for x-ray spectroscopy.

    Science.gov (United States)

    Fiorini, C; Longoni, A; Hartmann, R; Lechner, P; Strüder, L

    1997-01-01

    The silicon drift detector (SDD) is a semiconductor device based on high resistivity silicon fully depleted through junctions implanted on both sides of the semiconductor wafer. The electrons generated by the ionizing radiation are driven by means of a suitable electric field from the point of interaction toward a collecting anode of small capacitance, independent of the active area of the detector. A suitably designed front-end JFET has been directly integrated on the detector chip close to the anode region, in order to obtain a nearly ideal capacitive matching between detector and transistor and to minimize the stray capacitances of the connections. This feature allows it to reach high energy resolution also at high count rates and near room temperature. The present work describes the structure and the performance of SDDs specially designed for high resolution spectroscopy with soft x rays at high detection rate. Experimental results of SDDs used in spectroscopy applications are also reported.

  18. Heterogenous integration of a thin-film GaAs photodetector and a microfluidic device on a silicon substrate

    International Nuclear Information System (INIS)

    Song, Fuchuan; Xiao, Jing; Udawala, Fidaali; Seo, Sang-Woo

    2011-01-01

    In this paper, heterogeneous integration of a III–V semiconductor thin-film photodetector (PD) with a microfluidic device is demonstrated on a SiO 2 –Si substrate. Thin-film format of optical devices provides an intimate integration of optical functions with microfluidic devices. As a demonstration of a multi-material and functional system, the biphasic flow structure in the polymeric microfluidic channels was co-integrated with a III–V semiconductor thin-film PD. The fluorescent drops formed in the microfluidic device are successfully detected with an integrated thin-film PD on a silicon substrate. The proposed three-dimensional integration structure is an alternative approach to combine optical functions with microfluidic functions on silicon-based electronic functions.

  19. Structuring of silicon with low energy focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    The defect production in silicon induced by focused ion beam irradiation as a function of energy and projectile mass has been investigated and compared to the measured sputter yield. The aim was to find optimal beam parameters for the structuring of semiconductors with a minimum amount of defects produced per removed atom. (author) 2 figs., 2 refs.

  20. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  1. ``New'' energy states lead to phonon-less optoelectronic properties in nanostructured silicon

    Science.gov (United States)

    Singh, Vivek; Yu, Yixuan; Korgel, Brian; Nagpal, Prashant

    2014-03-01

    Silicon is arguably one of the most important technological material for electronic applications. However, indirect bandgap of silicon semiconductor has prevented optoelectronic applications due to phonon assistance required for photon light absorption/emission. Here we show, that previously unexplored surface states in nanostructured silicon can couple with quantum-confined energy levels, leading to phonon-less exciton-recombination and photoluminescence. We demonstrate size dependence (2.4 - 8.3 nm) of this coupling observed in small uniform silicon nanocrystallites, or quantum-dots, by direct measurements of their electronic density of states and low temperature measurements. To enhance the optical absorption of the these silicon quantum-dots, we utilize generation of resonant surface plasmon polariton waves, which leads to several fold increase in observed spectrally-resolved photocurrent near the quantum-confined bandedge states. Therefore, these enhanced light emission and absorption enhancement can have important implications for applications of nanostructured silicon for optoelectronic applications in photovoltaics and LEDs.

  2. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Nagai, K; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is one of the key precision tracking devices in the ATLAS experiment at CERN Large Hadron Collider (LHC). The SCT was constructed of 4088 modules for a total of 6.3 million silicon strips and was installed into the ATLAS experiment in 2007. The SCT has been fully operational since then, and achieves a good tracking performance from the startup of the LHC operation.

  3. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  4. Organophosphonate functionalized silicon nanowires for DNA hybridization studies

    Energy Technology Data Exchange (ETDEWEB)

    Pedone, Daniel; Cattani Scholz, Anna; Birner, Stefan; Abstreiter, Gerhard [WSI, TU Muenchen (Germany); Dubey, Manish; Schwartz, Jeffrey [Princeton University, NJ (United States); Tornow, Marc [IHT, TU Braunschweig (Germany)

    2007-07-01

    Semiconductor nanowire field effect devices have great appeal for label-free sensing applications due to their sensitivity to surface potential changes that may originate from charged adsorbates. In addition to requiring high sensitivity, suitable passivation and functionalization of the semiconductor surface is obligatory. We have fabricated both freely suspended and oxide-supported silicon nanowires from Silicon-on-Insulator substrates using standard nanopatterning methods (EBL, RIE) and sacrificial oxide layer etching. Subsequent to nanofabrication, the devices were first coated with an hydroxyalkylphosphonate monolayer and then bound via bifunctional linker groups to single stranded DNA or PNA oligonucleotides, respectively. We investigated DNA hybridization on such functionalized nanowires using a difference resistance setup, where subtracting the reference signal from a second wire could be used to exclude most non-specific effects. A net change in surface potential on the order of a few mV could be detected upon addition of the complementary DNA strand. This surface potential change corresponds to the hybridization of about 10{sup 10}cm{sup -2} probe strands according to our model calculations that takes into account the entire hybrid system in electrolyte solution.

  5. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for

  6. High-gain bipolar detector on float-zone silicon

    Science.gov (United States)

    Han, D. J.; Batignani, G.; Del Guerra, A.; Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Giorgi, M.; Forti, F.

    2003-10-01

    Since the float-zone (FZ) silicon has lower contaminations and longer minority-carrier lifetime than those in Czochralski silicon and other semiconductor materials, it has potential advantages to fabricate bipolar detectors on the high-purity FZ silicon substrate to achieve a high gain at ultra-low-signal levels. The authors present preliminary experimental results on a bipolar detector fabricated on an unusual high-purity FZ silicon substrate. A backside gettering layer of phosphorus-doped polysilicon was employed to preserve the long carrier lifetime of the high-purity FZ silicon. The device has been investigated in the detection of a continuous flux of X-ray and infrared light. The bipolar detector with a circular emitter of 2 mm diameter has demonstrated high gains up to 3820 for 22 keV X-ray from a 1 mCi Cd radioactive source (the X-ray photon flux, received by the detector is estimated to be ˜7.77×10 4/s). High gain up to 4400 for 0.17 nW light with a wavelength of 0.83 μm has been observed for the same device.

  7. High-gain bipolar detector on float-zone silicon

    International Nuclear Information System (INIS)

    Han, D.J.; Batignani, G.; Guerra, A.D.A. Del; Dalla Betta, G.-F.; Boscardin, M.; Bosisio, L.; Giorgi, M.; Forti, F.

    2003-01-01

    Since the float-zone (FZ) silicon has lower contaminations and longer minority-carrier lifetime than those in Czochralski silicon and other semiconductor materials, it has potential advantages to fabricate bipolar detectors on the high-purity FZ silicon substrate to achieve a high gain at ultra-low-signal levels. The authors present preliminary experimental results on a bipolar detector fabricated on an unusual high-purity FZ silicon substrate. A backside gettering layer of phosphorus-doped polysilicon was employed to preserve the long carrier lifetime of the high-purity FZ silicon. The device has been investigated in the detection of a continuous flux of X-ray and infrared light. The bipolar detector with a circular emitter of 2 mm diameter has demonstrated high gains up to 3820 for 22 keV X-ray from a 1 mCi Cd radioactive source (the X-ray photon flux, received by the detector is estimated to be ∼7.77x10 4 /s). High gain up to 4400 for 0.17 nW light with a wavelength of 0.83 μm has been observed for the same device

  8. Study of the properties of silicon-based semiconductor converters for betavoltaic cells

    International Nuclear Information System (INIS)

    Polikarpov, M. A.; Yakimov, E. B.

    2015-01-01

    Silicon p-i-n diodes are studied in a scanning electron microscope under conditions simulating the β-radiation from a radioactive Ni 63 source with an activity of 10 mCi/cm 2 . The attainable parameters of β-voltaic cells with a source of this kind and a silicon-based converter of β-particle energy to electric current are estimated. It is shown that the power of elements of this kind can reach values of ∼10 nW/cm 2 even for a cell with an area of one centimeter, which is rather close to the calculated value

  9. Improving Crystalline Silicon Solar Cell Efficiency Using Graded-Refractive-Index SiON/ZnO Nanostructures

    Directory of Open Access Journals (Sweden)

    Yung-Chun Tu

    2015-01-01

    Full Text Available The fabrication of silicon oxynitride (SiON/ZnO nanotube (NT arrays and their application in improving the energy conversion efficiency (η of crystalline Si-based solar cells (SCs are reported. The SiON/ZnO NT arrays have a graded-refractive-index that varies from 3.5 (Si to 1.9~2.0 (Si3N4 and ZnO to 1.72~1.75 (SiON to 1 (air. Experimental results show that the use of 0.4 μm long ZnO NT arrays coated with a 150 nm thick SiON film increases Δη/η by 39.2% under AM 1.5 G (100 mW/cm2 illumination as compared to that of regular SCs with a Si3N4/micropyramid surface. This enhancement can be attributed to SiON/ZnO NT arrays effectively releasing surface reflection and minimizing Fresnel loss.

  10. Reduction of Peroxodisulfate at Porous and Crystalline Silicon Electrodes: An Anomaly

    NARCIS (Netherlands)

    Kooij, Ernst S.; Noordhoek, S.M.; Kelly, J.J.

    1996-01-01

    Electroluminescence from n-type porous silicon can be generated in solution by reduction of peroxodisulfate. It has been assumed that the SO4•- radical ion, formed in the first reduction step, injects a hole into the valence band of the porous semiconductor. The hole should subsequently undergo

  11. High-temperature MEMS Heater Platforms: Long-term Performance of Metal and Semiconductor Heater Materials

    Directory of Open Access Journals (Sweden)

    Theodor Doll

    2006-04-01

    Full Text Available Micromachined thermal heater platforms offer low electrical power consumptionand high modulation speed, i.e. properties which are advantageous for realizing non-dispersive infrared (NDIR gas- and liquid monitoring systems. In this paper, we report oninvestigations on silicon-on-insulator (SOI based infrared (IR emitter devices heated byemploying different kinds of metallic and semiconductor heater materials. Our resultsclearly reveal the superior high-temperature performance of semiconductor over metallicheater materials. Long-term stable emitter operation in the vicinity of 1300 K could beattained using heavily antimony-doped tin dioxide (SnO2:Sb heater elements.

  12. Simulation of thermal properties of the silicon detector modules in ATLAS

    CERN Document Server

    Duerdoth, I P; Yuldashev, B S

    2002-01-01

    The temperature distribution and power flow from cell on the Silicon Module of the Forward Semiconductor Tracker in the ATLAS experiment have been simulated for irradiated detector. Power generated by conduction was compared for the modules with one and two cooling points. To obtain an optimal cooling temperature, the temperature of the hottest cell was plotted against power on the silicon module. The analysis of the approximation function and values for the critical power for each cooling temperature are presented. The optimal value of the cooling temperature occurred to be 260 K. (author)

  13. Spin filling of valley-orbit states in a silicon quantum dot

    Energy Technology Data Exchange (ETDEWEB)

    Lim, W H; Yang, C H; Zwanenburg, F A; Dzurak, A S, E-mail: wee.lim@unsw.edu.au [Centre for Quantum Computation and Communication Technology, School of Electrical Engineering and Telecommunications, University of New South Wales, Sydney, NSW 2052 (Australia)

    2011-08-19

    We report the demonstration of a low-disorder silicon metal-oxide-semiconductor (Si MOS) quantum dot containing a tunable number of electrons from zero to N = 27. The observed evolution of addition energies with parallel magnetic field reveals the spin filling of electrons into valley-orbit states. We find a splitting of 0.10 meV between the ground and first excited states, consistent with theory and placing a lower bound on the valley splitting. Our results provide optimism for the realisation in the near future of spin qubits based on silicon quantum dots.

  14. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  15. The ATLAS semi-conductor tracker operation and performance

    International Nuclear Information System (INIS)

    Robinson, D.

    2013-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The SCT was installed and commissioned within ATLAS in 2007, and has been used to exploit fully the physics potential of the LHC since the first proton–proton collisions at 7 TeV were delivered in 2009. In this paper, its operational status throughout data taking up to the end of 2011 is presented, and its tracking performance is reviewed. -- Highlights: ► The operation and performance of the ATLAS Semi-Conductor Tracker (SCT) is reviewed. ► More than 99% of the SCT strips have remained operational in all data taking periods so far. ► Tracking performance indicators have met or exceeded design specifications. ► Radiation damage effects match closely expectations from delivered fluence.

  16. The design and investigation of hybrid ferromagnetic/silicon spin electronic devices

    International Nuclear Information System (INIS)

    Pugh, D.I.

    2001-01-01

    The focus of this study concerns the design and investigation of ferromagnetic/silicon hybrid spin electronic devices as part of a wider project to design a novel spin valve transistor. The key issue to obtain a room temperature spin electronic device is the electrical injection of a spin polarised current from a ferromagnetic contact into a semiconductor. Despite many attempts concentrating on GaAs and InAs only small (< 1%) effects have been observed, making it difficult to confirm spin injection. Lateral devices were designed and fabricated using standard device fabrication procedures to produce arrays of Co/Si/So junctions. Subsequent designs aimed to reduce the number of junctions and improve device isolation. Evidence for spin dependent MR of up to 0.56% was observed in Co/p-Si/Co junctions with silicon gaps up to 16 μm in length. The maximum MR was observed when the first Co/Si Schottky barrier was reverse biased forming a high resistance interface. Vertical devices were designed in an attempt to eliminate any alternative current paths by using a well defined, 1 μm thick silicon membrane. Despite attempts to include oxide barriers, no spin dependent MR was observed in these devices. However, a novel vertical silicon based design has been made which should facilitate further advanced studies of spin injection and transport. The spin diffusion length in n-type silicon has been calculated as a function of doping concentration and temperature by considering the spin relaxation mechanisms in the semiconductor. Discussion has been made concerning p-type silicon and comparisons made with GaAs, indicating that n-Si should show longer spin diffusion lengths. The key design criteria for designing room temperature spin electronic devices have been highlighted. These include the use of a high leakage Schottky barrier or tunnel barrier between the ferromagnet and p-Si and a contact to the silicon to enable appropriate biasing to each FM/Si interface. (author)

  17. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  18. Application of imitation for energy calibration of silicon semiconductor detectors

    CERN Document Server

    Aivazyan, G M; Mikaelyan, M A

    2003-01-01

    An effective method is described for energy calibration of semiconductor detectors (SCD) with different thickness. The method is based on imitating the charge on the input of the preamplifier deposited in SCD by known energy ionizing particles, the imitation being performed by a pulser with a partial with use of alpha-active sources. The results of laboratory studies of the described method are given with detectors of either large, 50-1000 mu m, or small, 18-20 mu m, thickness

  19. Application of imitation for energy calibration of silicon semiconductor detectors

    International Nuclear Information System (INIS)

    Aivazyan, G.M.; Badalyan, H.V.; Mikaelyan, M.A.

    2003-01-01

    An effective method is described for energy calibration of semiconductor detectors (SCD) with different thickness. The method is based on imitating the charge on the input of the preamplifier deposited in SCD by known energy ionizing particles, the imitation being performed by a pulser with a partial with use of α-active sources. The results of laboratory studies of the described method are given with detectors of either large, 50-1000μm, or small, 18-20 μm, thickness

  20. Estimation of Future Demand for Neutron-Transmutation-Doped Silicon Caused by Development of Hybrid Electric Vehicle

    International Nuclear Information System (INIS)

    Kim, Myong Seop; Park, Sang Jun

    2008-01-01

    By using this doping method, silicon semiconductors with an extremely uniform dopant distribution can be produced. They are usually used for high power devices such as thyristor (SCR), IGBT, IGCT and GTO. Now, the demand for high power semiconductor devices has increased rapidly due to the rapid increase of the green energy technologies. Among them, the productions of hybrid cars or fuel cell engines are excessively increased to reduce the amount of discharged air pollution substances, such as carbon dioxide which causes global warming. It is known that the neutron-transmutation-doped floating-zone (FZ) silicon wafers are used in insulated-gate bipolar transistors (IGBTs) which control the speed of the electric traction motors equipped in hybrid or fuel cell vehicles. Therefore, inevitably, it can be supposed that the demand of the NTD silicon is considerably increased. However, it is considered likely that the irradiation capacity will not be large enough to meet the increasing demand. After all, the large irradiation capacity for NTD such as a reactor dedicated to the silicon irradiation will be constructed depending on the industrial demand for NTD silicon. In this work, we investigated the relationship between the hybrid electric vehicle (HEV) industry and the NTD silicon production. Also, we surveyed the prospect for the production of the HEV. Then, we deduced the worldwide demand for the NTD silicon associated with the HEV production. This work can be utilized as the basic material for the construction of the new irradiation facility such as NTD-dedicated neutron source

  1. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  2. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  3. Review on analog/radio frequency performance of advanced silicon MOSFETs

    Science.gov (United States)

    Passi, Vikram; Raskin, Jean-Pierre

    2017-12-01

    Aggressive gate-length downscaling of the metal-oxide-semiconductor field-effect transistor (MOSFET) has been the main stimulus for the growth of the integrated circuit industry. This downscaling, which has proved beneficial to digital circuits, is primarily the result of the need for improved circuit performance and cost reduction and has resulted in tremendous reduction of the carrier transit time across the channel, thereby resulting in very high cut-off frequencies. It is only in recent decades that complementary metal-oxide-semiconductor (CMOS) field-effect transistor (FET) has been considered as the radio frequency (RF) technology of choice. In this review, the status of the digital, analog and RF figures of merit (FoM) of silicon-based FETs is presented. State-of-the-art devices with very good performance showing low values of drain-induced barrier lowering, sub-threshold swing, high values of gate transconductance, Early voltage, cut-off frequencies, and low minimum noise figure, and good low-frequency noise characteristic values are reported. The dependence of these FoM on the device gate length is also shown, helping the readers to understand the trends and challenges faced by shorter CMOS nodes. Device performance boosters including silicon-on-insulator substrates, multiple-gate architectures, strain engineering, ultra-thin body and buried-oxide and also III-V and 2D materials are discussed, highlighting the transistor characteristics that are influenced by these boosters. A brief comparison of the two main contenders in continuing Moore’s law, ultra-thin body buried-oxide and fin field-effect transistors are also presented. The authors would like to mention that despite extensive research carried out in the semiconductor industry, silicon-based MOSFET will continue to be the driving force in the foreseeable future.

  4. Investigation of a Mesoporous Silicon Based Ferromagnetic Nanocomposite

    Directory of Open Access Journals (Sweden)

    Roca AG

    2009-01-01

    Full Text Available Abstract A semiconductor/metal nanocomposite is composed of a porosified silicon wafer and embedded ferromagnetic nanostructures. The obtained hybrid system possesses the electronic properties of silicon together with the magnetic properties of the incorporated ferromagnetic metal. On the one hand, a transition metal is electrochemically deposited from a metal salt solution into the nanostructured silicon skeleton, on the other hand magnetic particles of a few nanometres in size, fabricated in solution, are incorporated by immersion. The electrochemically deposited nanostructures can be tuned in size, shape and their spatial distribution by the process parameters, and thus specimens with desired ferromagnetic properties can be fabricated. Using magnetite nanoparticles for infiltration into porous silicon is of interest not only because of the magnetic properties of the composite material due to the possible modification of the ferromagnetic/superparamagnetic transition but also because of the biocompatibility of the system caused by the low toxicity of both materials. Thus, it is a promising candidate for biomedical applications as drug delivery or biomedical targeting.

  5. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  6. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  7. A physically transient form of silicon electronics.

    Science.gov (United States)

    Hwang, Suk-Won; Tao, Hu; Kim, Dae-Hyeong; Cheng, Huanyu; Song, Jun-Kyul; Rill, Elliott; Brenckle, Mark A; Panilaitis, Bruce; Won, Sang Min; Kim, Yun-Soung; Song, Young Min; Yu, Ki Jun; Ameen, Abid; Li, Rui; Su, Yewang; Yang, Miaomiao; Kaplan, David L; Zakin, Mitchell R; Slepian, Marvin J; Huang, Yonggang; Omenetto, Fiorenzo G; Rogers, John A

    2012-09-28

    A remarkable feature of modern silicon electronics is its ability to remain physically invariant, almost indefinitely for practical purposes. Although this characteristic is a hallmark of applications of integrated circuits that exist today, there might be opportunities for systems that offer the opposite behavior, such as implantable devices that function for medically useful time frames but then completely disappear via resorption by the body. We report a set of materials, manufacturing schemes, device components, and theoretical design tools for a silicon-based complementary metal oxide semiconductor (CMOS) technology that has this type of transient behavior, together with integrated sensors, actuators, power supply systems, and wireless control strategies. An implantable transient device that acts as a programmable nonantibiotic bacteriocide provides a system-level example.

  8. Experimental transconjunctival diode laser retinal photocoagulation through silicone scleral exoplants.

    Science.gov (United States)

    Nanda, S K; Han, D P

    1995-07-01

    To study the feasibility of inducing a chorioretinal lesion under a previously placed scleral buckle by experimental transconjunctival diode laser photocoagulation. We performed transconjunctival diode laser photocoagulation in the peripheral retinas of seven pigmented rabbit eyes with a silicone exoplant (No. 42 band or No. 276 tire) and seven eyes without an exoplant. Each eye received burns with an intensity of grades 1 to 3 in different quadrants at varying power levels, with a 0.5-second duration and 650-micron spot size. Eyes were enucleated for histopathologic studies 1 day and 1 week after treatment. Although the irradiance emitted through the No. 42 band and the No. 276 tire was attenuated by 17% and 23%, respectively, the range of threshold powers needed to produce grades 1 to 3 burns was similar between eyes with and without a silicone exoplant. At 1 day, full-thickness coagulative necrosis was observed in all lesions, except that the ganglion cell layer and inner nuclear layer were preserved in two of four grade 1 burns and the ganglion cell layer was intact in one of six grade 2 burns. Inner scleral changes were noted acutely in three of five grade 3 lesions. At 1 week, burns of all intensity grades showed a full-thickness atrophic chorioretinal lesion with inner scleral changes. Experimental transconjunctival diode laser photocoagulation through hard silicone elements reproducibly created a chorioretinal lesion with histopathologic findings similar to those of lesions obtained without these elements. Although retinal photocoagulative effects were prominent, inner scleral abnormalities were also observed histologically.

  9. X-ray imaging with photon counting hybrid semiconductor pixel detectors

    CERN Document Server

    Manolopoulos, S; Campbell, M; Snoeys, W; Heijne, Erik H M; Pernigotti, E; Raine, C; Smith, K; Watt, J; O'Shea, V; Ludwig, J; Schwarz, C

    1999-01-01

    Semiconductor pixel detectors, originally developed for particle physics experiments, have been studied as X-ray imaging devices. The performance of devices using the OMEGA 3 read-out chip bump-bonded to pixellated silicon semiconductor detectors is characterised in terms of their signal-to-noise ratio when exposed to 60 kVp X-rays. Although parts of the devices achieve values of this ratio compatible with the noise being photon statistics limited, this is not found to hold for the whole pixel matrix, resulting in the global signal-to-noise ratio being compromised. First results are presented of X-ray images taken with a gallium arsenide pixel detector bump-bonded to a new read-out chip, (MEDIPIX), which is a single photon counting read-out chip incorporating a 15-bit counter in every pixel. (author)

  10. An amorphous silicon photodiode with 2 THz gain-bandwidth product based on cycling excitation process

    Science.gov (United States)

    Yan, Lujiang; Yu, Yugang; Zhang, Alex Ce; Hall, David; Niaz, Iftikhar Ahmad; Raihan Miah, Mohammad Abu; Liu, Yu-Hsin; Lo, Yu-Hwa

    2017-09-01

    Since impact ionization was observed in semiconductors over half a century ago, avalanche photodiodes (APDs) using impact ionization in a fashion of chain reaction have been the most sensitive semiconductor photodetectors. However, APDs have relatively high excess noise, a limited gain-bandwidth product, and high operation voltage, presenting a need for alternative signal amplification mechanisms of superior properties. As an amplification mechanism, the cycling excitation process (CEP) was recently reported in a silicon p-n junction with subtle control and balance of the impurity levels and profiles. Realizing that CEP effect depends on Auger excitation involving localized states, we made the counter intuitive hypothesis that disordered materials, such as amorphous silicon, with their abundant localized states, can produce strong CEP effects with high gain and speed at low noise, despite their extremely low mobility and large number of defects. Here, we demonstrate an amorphous silicon low noise photodiode with gain-bandwidth product of over 2 THz, based on a very simple structure. This work will impact a wide range of applications involving optical detection because amorphous silicon, as the primary gain medium, is a low-cost, easy-to-process material that can be formed on many kinds of rigid or flexible substrates.

  11. Aerosol-assisted extraction of silicon nanoparticles from wafer slicing waste for lithium ion batteries.

    Science.gov (United States)

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-03-30

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing.

  12. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    Science.gov (United States)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  13. A Novel Fully Depleted Air AlN Silicon-on-Insulator Metal-Oxide-Semiconductor Field Effect Transistor

    International Nuclear Information System (INIS)

    Yuan, Yang; Yong, Gao; Peng-Liang, Gong

    2008-01-01

    A novel fully depleted air AlN silicon-on-insulator (SOI) metal-oxide-semiconductor field effect transistor (MOS-FET) is presented, which can eliminate the self-heating effect and solve the problem that the off-state current of SOI MOSFETs increases and the threshold voltage characteristics become worse when employing a high thermal conductivity material as a buried layer. The simulation results reveal that the lattice temperature in normal SOI devices is 75 K higher than the atmosphere temperature, while the lattice temperature is just 4K higher than the atmosphere temperature resulting in less severe self-heating effect in air AlN SOI MOSFETs and AlN SOI MOSFETs. The on-state current of air AlN SOI MOSFETs is similar to the AlN SOI structure, and improves 12.3% more than that of normal SOI MOSFETs. The off-state current of AlN SOI is 6.7 times of normal SOI MOSFETs, while the counterpart of air AlN SOI MOSFETs is lower than that of SOI MOSFETs by two orders of magnitude. The threshold voltage change of air AlN SOI MOSFETs with different drain voltage is much less than that of AlN SOI devices, when the drain voltage is biased at 0.8 V, this difference is 28mV, so the threshold voltage change induced by employing high thermal conductivity material is cured. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  14. Electrical Manipulation of Donor Spin Qubits in Silicon and Germanium

    Science.gov (United States)

    Sigillito, Anthony James

    Many proposals for quantum information devices rely on electronic or nuclear spins in semiconductors because of their long coherence times and compatibility with industrial fabrication processes. One of the most notable qubits is the electron spin bound to phosphorus donors in silicon, which offers coherence times exceeding seconds at low temperatures. These donors are naturally isolated from their environments to the extent that silicon has been coined a "semiconductor vacuum". While this makes for ultra-coherent qubits, it is difficult to couple two remote donors so quantum information proposals rely on high density arrays of qubits. Here, single qubit addressability becomes an issue. Ideally one would address individual qubits using electric fields which can be easily confined. Typically these schemes rely on tuning a donor spin qubit onto and off of resonance with a magnetic driving field. In this thesis, we measure the electrical tunability of phosphorus donors in silicon and use the extracted parameters to estimate the effects of electric-field noise on qubit coherence times. Our measurements show that donor ionization may set in before electron spins can be sufficiently tuned. We therefore explore two alternative options for qubit addressability. First, we demonstrate that nuclear spin qubits can be directly driven using electric fields instead of magnetic fields and show that this approach offers several advantages over magnetically driven spin resonance. In particular, spin transitions can occur at half the spin resonance frequency and double quantum transitions (magnetic-dipole forbidden) can occur. In a second approach to realizing tunable qubits in semiconductors, we explore the option of replacing silicon with germanium. We first measure the coherence and relaxation times for shallow donor spin qubits in natural and isotopically enriched germanium. We find that in isotopically enriched material, coherence times can exceed 1 ms and are limited by a

  15. Two-arm semiconductor spectrometer for charged particles for the investigation of absorption by nuclei of stopped negative pions

    International Nuclear Information System (INIS)

    Gornov, M.G.; Gurov, Yu.B.; Lukin, A.S.; Morokhov, P.V.; Pechkurov, V.A.; Pichugin, A.P.; Saveliev, V.I.; Shafigullin, R.R.; Sergeev, F.M.; Khomutov, A.A.; Oganesian, K.O.; Osipenko, B.P.; Sandukovsky, V.G.

    1984-01-01

    A two-arm semiconductor spectrometer for the detection of secondary charged particles, such as p, d, t, 3 He, 4 He and of their correlations in the process of stopped pion absorption by nuclei is described. The spectrometer consists of two telescopes of Si-detectors with a sensitive surface of 800 mm 2 , two semiconductor detectors as monitors and the 'live' target, a silicon surface barrier detector. The number of semiconductor detectors is 19. A technique for pion stop selection in thin targets is described. The problem of particle identification and of measurements of their energy with the help of a multicrystal semiconductor telescope is discussed. The technique provides an absolute normalization of spectra. The 'alive' target helps to obtain more information on the process of pion absorption by Si-nuclei. (orig.)

  16. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  17. Signal generation in highly irradiated silicon microstrip detectors for the ATLAS experiment

    International Nuclear Information System (INIS)

    Ruggiero, Gennaro

    2003-01-01

    Silicon detectors are the most diffused tracking devices in High Energy Physics (HEP). The reason of such success can be found in the characteristics of the material together with the existing advanced technology for the fabrication of these devices. Nevertheless in many modem HEP experiments the observation of vary rare events require data taking at high luminosity with a consequent extremely intense hadron radiation field that damages the silicon and degrades the performance of these devices. In this thesis work a detailed study of the signal generation in microstrip detectors has been produced with a special care for the ATLAS semiconductor tracker geometry. This has required a development of an appropriate setup to perform measurements with Transient Current/ Charge Technique. This has allowed studying the evolution of the signal in several microstrips detector samples irradiated at fluences covering the range expected in the ATLAS Semiconductor Tracker. For a better understanding of these measurements a powerful software package that simulates the signal generation in these devices has been developed. Moreover in this thesis it has been also shown that the degradation due to radiation in silicon detectors can be strongly reduced if the data taking is done with detectors operated at 130 K. This makes low temperature operation that benefits of the recovery of the charge collection efficiency in highly irradiated silicon detectors (also known as Lazarus effect) an optimal option for future high luminosity experiments. (author)

  18. A rigorous treatment of uncertainty quantification for Silicon damage metrics

    International Nuclear Information System (INIS)

    Griffin, P.

    2016-01-01

    These report summaries the contributions made by Sandia National Laboratories in support of the International Atomic Energy Agency (IAEA) Nuclear Data Section (NDS) Technical Meeting (TM) on Nuclear Reaction Data and Uncertainties for Radiation Damage. This work focused on a rigorous treatment of the uncertainties affecting the characterization of the displacement damage seen in silicon semiconductors. (author)

  19. The ATLAS Semi-Conductor Tracker Operation and Performance

    CERN Document Server

    Robinson, D; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT), is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at the CERN Large Hadron Collider (LHC). The SCT was installed and commissioned within ATLAS in 2007, and has been has been used to fully exploit the physics potential of the LHC since the first proton-proton collisions at 7 TeV were delivered in 2009. In this paper, its operational status throughout data taking up to the end of 2011 is presented, and its tracking performance is reviewed.

  20. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Science.gov (United States)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  1. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Directory of Open Access Journals (Sweden)

    Abd Rahim Alhan Farhanah

    2017-01-01

    Full Text Available In this paper, an investigation of design and simulation of silicon germanium (SiGe islands on silicon (Si was presented for potential visible metal semiconductor metal (MSM photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD tools. The different structures of the silicon germanium (SiGe island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM photodetector was evaluated by photo and dark current-voltage (I-V characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  2. Materials and fabrication sequences for water soluble silicon integrated circuits at the 90 nm node

    International Nuclear Information System (INIS)

    Yin, Lan; Harburg, Daniel V.; Rogers, John A.; Bozler, Carl; Omenetto, Fiorenzo

    2015-01-01

    Tungsten interconnects in silicon integrated circuits built at the 90 nm node with releasable configurations on silicon on insulator wafers serve as the basis for advanced forms of water-soluble electronics. These physically transient systems have potential uses in applications that range from temporary biomedical implants to zero-waste environmental sensors. Systematic experimental studies and modeling efforts reveal essential aspects of electrical performance in field effect transistors and complementary ring oscillators with as many as 499 stages. Accelerated tests reveal timescales for dissolution of the various constituent materials, including tungsten, silicon, and silicon dioxide. The results demonstrate that silicon complementary metal-oxide-semiconductor circuits formed with tungsten interconnects in foundry-compatible fabrication processes can serve as a path to high performance, mass-produced transient electronic systems

  3. Materials and fabrication sequences for water soluble silicon integrated circuits at the 90 nm node

    Energy Technology Data Exchange (ETDEWEB)

    Yin, Lan; Harburg, Daniel V.; Rogers, John A., E-mail: jrogers@illinois.edu [Department of Materials Science and Engineering, Beckman Institute for Advanced Science and Technology, and Frederick Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, 104 S Goodwin Ave., Urbana, Illinois 61801 (United States); Bozler, Carl [Lincoln Laboratory, Massachusetts Institute of Technology, 244 Wood Street, Lexington, Massachusetts 02420 (United States); Omenetto, Fiorenzo [Department of Biomedical Engineering, Department of Physics, Tufts University, 4 Colby St., Medford, Massachusetts 02155 (United States)

    2015-01-05

    Tungsten interconnects in silicon integrated circuits built at the 90 nm node with releasable configurations on silicon on insulator wafers serve as the basis for advanced forms of water-soluble electronics. These physically transient systems have potential uses in applications that range from temporary biomedical implants to zero-waste environmental sensors. Systematic experimental studies and modeling efforts reveal essential aspects of electrical performance in field effect transistors and complementary ring oscillators with as many as 499 stages. Accelerated tests reveal timescales for dissolution of the various constituent materials, including tungsten, silicon, and silicon dioxide. The results demonstrate that silicon complementary metal-oxide-semiconductor circuits formed with tungsten interconnects in foundry-compatible fabrication processes can serve as a path to high performance, mass-produced transient electronic systems.

  4. The New Silicon Strip Detectors for the CMS Tracker Upgrade

    CERN Document Server

    Dragicevic, Marko

    2010-01-01

    The first introductory part of the thesis describes the concept of the CMS experiment. The tasks of the various detector systems and their technical implementations in CMS are explained. To facilitate the understanding of the basic principles of silicon strip sensors, the subsequent chapter discusses the fundamentals in semiconductor technology, with particular emphasis on silicon. The necessary process steps to manufacture strip sensors in a so-called planar process are described in detail. Furthermore, the effects of irradiation on silicon strip sensors are discussed. To conclude the introductory part of the thesis, the design of the silicon strip sensors of the CMS Tracker are described in detail. The choice of the substrate material and the complex geometry of the sensors are reviewed and the quality assurance procedures for the production of the sensors are presented. Furthermore the design of the detector modules are described. The main part of this thesis starts with a discussion on the demands on the ...

  5. III–V quantum light source and cavity-QED on Silicon

    Science.gov (United States)

    Luxmoore, I. J.; Toro, R.; Pozo-Zamudio, O. Del; Wasley, N. A.; Chekhovich, E. A.; Sanchez, A. M.; Beanland, R.; Fox, A. M.; Skolnick, M. S.; Liu, H. Y.; Tartakovskii, A. I.

    2013-01-01

    Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III–V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III–V material grown directly on silicon substrates. The high quality of the III–V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems. PMID:23393621

  6. III-V quantum light source and cavity-QED on silicon.

    Science.gov (United States)

    Luxmoore, I J; Toro, R; Del Pozo-Zamudio, O; Wasley, N A; Chekhovich, E A; Sanchez, A M; Beanland, R; Fox, A M; Skolnick, M S; Liu, H Y; Tartakovskii, A I

    2013-01-01

    Non-classical light sources offer a myriad of possibilities in both fundamental science and commercial applications. Single photons are the most robust carriers of quantum information and can be exploited for linear optics quantum information processing. Scale-up requires miniaturisation of the waveguide circuit and multiple single photon sources. Silicon photonics, driven by the incentive of optical interconnects is a highly promising platform for the passive optical components, but integrated light sources are limited by silicon's indirect band-gap. III-V semiconductor quantum-dots, on the other hand, are proven quantum emitters. Here we demonstrate single-photon emission from quantum-dots coupled to photonic crystal nanocavities fabricated from III-V material grown directly on silicon substrates. The high quality of the III-V material and photonic structures is emphasized by observation of the strong-coupling regime. This work opens-up the advantages of silicon photonics to the integration and scale-up of solid-state quantum optical systems.

  7. Silicon photo-multiplier radiation hardness tests with a beam controlled neutron source

    International Nuclear Information System (INIS)

    Angelone, M.; Pillon, M.; Faccini, R.; Pinci, D.; Baldini, W.; Calabrese, R.; Cibinetto, G.; Cotta Ramusino, A.; Malaguti, R.; Pozzati, M.

    2010-01-01

    Radiation hardness tests were performed at the Frascati Neutron Generator on silicon Photo-Multipliers that were made of semiconductor photon detectors built from a square matrix of avalanche photo-diodes on a silicon substrate. Several samples from different manufacturers have been irradiated, integrating up to 7x10 10 1-MeV-equivalent neutrons per cm 2 . Detector performance was recorded during the neutron irradiation, and a gradual deterioration of their properties began after an integrated fluence of the order of 10 8 1-MeV-equivalent neutrons per cm 2 was reached.

  8. A microprocessor based on a two-dimensional semiconductor

    Science.gov (United States)

    Wachter, Stefan; Polyushkin, Dmitry K.; Bethge, Ole; Mueller, Thomas

    2017-04-01

    The advent of microcomputers in the 1970s has dramatically changed our society. Since then, microprocessors have been made almost exclusively from silicon, but the ever-increasing demand for higher integration density and speed, lower power consumption and better integrability with everyday goods has prompted the search for alternatives. Germanium and III-V compound semiconductors are being considered promising candidates for future high-performance processor generations and chips based on thin-film plastic technology or carbon nanotubes could allow for embedding electronic intelligence into arbitrary objects for the Internet-of-Things. Here, we present a 1-bit implementation of a microprocessor using a two-dimensional semiconductor--molybdenum disulfide. The device can execute user-defined programs stored in an external memory, perform logical operations and communicate with its periphery. Our 1-bit design is readily scalable to multi-bit data. The device consists of 115 transistors and constitutes the most complex circuitry so far made from a two-dimensional material.

  9. Surface morphology evolution in silicon during ion beam processing; TOPICAL

    International Nuclear Information System (INIS)

    Bedrossian P; Caturla, M; Diaz de la Rubia, T; Johnson, M

    1999-01-01

    The Semiconductor Industry Association (SIA) projects that the semiconductor chips used in personal computers and scientific workstations will reach five times the speed and ten times the memory capacity of the current pentium-class processor by the year 2007. However, 1 GHz on-chip clock speeds and 64 Gbits/Chip DRAM technology will not come easy and without a price. Such technologies will require scaling the minimum feature size of CMOS devices (the transistors in the silicon chip) down to below 100nm from the current 180 to 250 nm. This requirement has profound implications for device manufacturing. Existing processing techniques must increasingly be understood quantitatively and modeled with unprecedented precision. Indeed, revolutionary advances in the development of physics-based process simulation tools will be required to achieve the goals for cost efficient manufacturing, and to satisfy the needs of the defense industrial base. These advances will necessitate a fundamental improvement in our basic understanding of microstructure evolution during processing. In order to cut development time and costs, the semiconductor industry makes extensive use of simple models of dopant implantation, and of phenomenological models of defect annealing and diffusion. However, the production of a single device often requires more than 200 processing steps, and the cumulative effects of the various steps are far too complex to be treated with these models. The lack of accurate process modeling simulators is proving to be a serious impediment to the development of next generation devices. New atomic-level models are required to describe the point defect distributions produced by the implantation process, and the defect and dopant diffusion resulting from rapid thermal annealing steps. In this LDRD project, we investigated the migration kinetics of defects and dopants in silicon both experimentally and theoretically to provide a fundamental database for use in the development

  10. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  11. A semiconductor parameter analyzer for ionizing radiation detectors

    International Nuclear Information System (INIS)

    Santos, Luiz A.P.

    2009-01-01

    Electrometers and ion chamber are normally used to make several types of measurements in a radiation field and there is a unique voltage applied to each detector type. Some electronic devices that are built of semiconductor materials like silicon crystal can also be used for the same purpose. In this case, a characteristic curve of the device must be acquired to choose an operation point which consists of an electrical current or voltage to be applied to the device. Unlike ion chambers, such an electronic device can have different operation points depending on its current versus voltage curve (I x V). The best operation point of the device is also a function of the radiation, energy, dose rate and fluence. The purpose of this work is to show a semiconductor parameter analyzer built to acquire I x V curves as usually, and the innovation here is the fact that it can be used to obtain such a parametric curve when a quad-polar device is under irradiation. The results demonstrate that the system is a very important tool to scientists interested to evaluate a semiconductor detector before, during and after irradiation. A collection of results for devices under an X-ray beam and a neutron fluence are presented: photodiode, phototransistors, bipolar transistor and MOSFET. (author)

  12. Characteristics of semiconductor bridge (SCB) plasma generated in a micro-electro-mechanical system (MEMS)

    International Nuclear Information System (INIS)

    Kim, Jong-Uk; Park, Chong-Ook; Park, Myung-Il; Kim, Sun-Hwan; Lee, Jung-Bok

    2002-01-01

    Plasma ignition method has been applied in various fields particularly to the rocket propulsion, pyrotechnics, explosives, and to the automotive air-bag system. Ignition method for those applications should be safe and also operate reliably in hostile environments such as; electromagnetic noise, drift voltage, electrostatic background and so on. In the present Letter, a semiconductor bridge (SCB) plasma ignition device was fabricated and its plasma characteristics including the propagation speed of the plasma, plasma size, and plasma temperature were investigated with the aid of the visualization of micro scale plasma (i.e., ≤350 μm), which generated from a micro-electro-mechanical poly-silicon semiconductor bridge (SCB)

  13. Results from a beam test of silicon strip sensors manufactured by Infineon Technologies AG

    Energy Technology Data Exchange (ETDEWEB)

    Dragicevic, M., E-mail: marko.dragicevic@oeaw.ac.at [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Auzinger, G. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); CERN, Geneva (Switzerland); Bartl, U. [Infineon Technologies Austria AG, Villach (Austria); Bergauer, T. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Gamerith, S.; Hacker, J. [Infineon Technologies Austria AG, Villach (Austria); König, A. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Infineon Technologies Austria AG, Villach (Austria); Kröner, F.; Kucher, E.; Moser, J.; Neidhart, T. [Infineon Technologies Austria AG, Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, Munich (Germany); Schustereder, W. [Infineon Technologies Austria AG, Villach (Austria); Treberspurg, W. [Institute of High Energy Physics, Austrian Academy of Sciences, Vienna (Austria); Wübben, T. [Infineon Technologies Austria AG, Villach (Austria)

    2014-11-21

    Most modern particle physics experiments use silicon based sensors for their tracking systems. These sensors are able to detect particles generated in high energy collisions with high spatial resolution and therefore allow the precise reconstruction of particle tracks. So far only a few vendors were capable of producing silicon strip sensors with the quality needed in particle physics experiments. Together with the European-based semiconductor manufacturer Infineon Technologies AG (Infineon) the Institute of High Energy Physics of the Austrian Academy of Sciences (HEPHY) developed planar silicon strip sensors in p-on-n technology. This work presents the first results from a beam test of strip sensors manufactured by Infineon.

  14. Feasibility study on silicon doping using high temperature test engineering reactor

    International Nuclear Information System (INIS)

    Seki, Masaya; Takaki, Naoyuki; Goto, Minoru; Shimakawa, Satoshi

    2011-01-01

    The feasibility study on silicon doping using the High Temperature engineering Test Reactor (HTTR) is performed by numerical simulations. The HTTR is a High Temperature Gas-cooled Reactor (HTGR) situated at JAEA Oarai research and development center. It has a 30MW thermal power and the outlet coolant temperature is 950degC. The objective of this study is to evaluate the following issues, 1. The impact of loading Si-ingots into the core on the criticality, 2. The uniformity of the neutron capture reaction rate in Si-ingots, and 3. The production rate of silicon semiconductor. In this study, six Si-ingots are loaded into the irradiation area which is located in the peripheral region of the core. They are irradiated with rotation movement around the axial direction to obtain uniform neutron capture reaction rate in the radial direction. Additionally, the neutron filter, which is made of graphite containing boron, is used to obtain uniform neutron capture reaction rate in the axial direction. The evaluations were conducted by performing the HTTR whole core calculations with the Monte Carlo code MVP-2.0. In the calculations, several tally regions were defined on the Si-ingots to investigate the uniformity of the neutron capture reaction rate. As a result, loading the Si-ingots into the core causes negative reactivity by about 0.7%dk/k. Uniform neutron capture reaction rate of Si-ingot is obtained 98% in the radial and the axial direction. In case of the target of semiconductor resistivity is set to 50 Ωcm, the required irradiation time becomes 10 hours. The HTTR is able to produce silicon semiconductor of 540kg in one-time irradiation. This study was conducted as a joint research with JAEA, Nuclear Fuel Industries, LTD, Toyota Tsusho Corporation and Tokai University. (author)

  15. The tensile effect on crack formation in single crystal silicon irradiated by intense pulsed ion beam

    Science.gov (United States)

    Liang, Guoying; Shen, Jie; Zhang, Jie; Zhong, Haowen; Cui, Xiaojun; Yan, Sha; Zhang, Xiaofu; Yu, Xiao; Le, Xiaoyun

    2017-10-01

    Improving antifatigue performance of silicon substrate is very important for the development of semiconductor industry. The cracking behavior of silicon under intense pulsed ion beam irradiation was studied by numerical simulation in order to understand the mechanism of induced surface peeling observed by experimental means. Using molecular dynamics simulation based on Stillinger Weber potential, tensile effect on crack growth and propagation in single crystal silicon was investigated. Simulation results reveal that stress-strain curves of single crystal silicon at a constant strain rate can be divided into three stages, which are not similar to metal stress-strain curves; different tensile load velocities induce difference of single silicon crack formation speed; the layered stress results in crack formation in single crystal silicon. It is concluded that the crack growth and propagation is more sensitive to strain rate, tensile load velocity, stress distribution in single crystal silicon.

  16. New Feedstock Materials

    International Nuclear Information System (INIS)

    Waernes, A.; Raaness, O.; Ovrelid, E.; Santen, S.; Reinink, A.; Wiersma, B.; Geerligs, L.J.; Wyers, G.P.

    2005-09-01

    The photovoltaics (PV) industry has a growth rate that many other industries only can dream about. Today, the majority of solar cells are based on silicon, and most experts assume that crystalline Si PV technology will dominate the PV market for the next decade. Currently, the only commercial source for solar grade silicon (SoG-Si) is rejected and non-prime silicon from the semiconductor industry. This makes the PV industry highly exposed to the cyclic changes in the semiconductor market. Photovoltaics covers today a very small part of the power demand in the world. However, electrical energy from the sun could be a giant on the energy market in a generation or two. Some futurologists says that PV could be comparable to the oil and gas as of today

  17. Leaching of Titanium and Silicon from Low-Grade Titanium Slag Using Hydrochloric Acid Leaching

    Science.gov (United States)

    Zhao, Longsheng; Wang, Lina; Qi, Tao; Chen, Desheng; Zhao, Hongxin; Liu, Yahui; Wang, Weijing

    2018-05-01

    Acid-leaching behaviors of the titanium slag obtained by selective reduction of vanadium-bearing titanomagnetite concentrates were investigated. It was found that the optimal leaching of titanium and silicon were 0.7% and 1.5%, respectively. The titanium and silicon in the titanium slag were firstly dissolved in the acidic solution to form TiO2+ and silica sol, and then rapidly reprecipitated, forming hydrochloric acid (HCl) leach residue. Most of the silicon presented in the HCl leach residue as floccules-like silica gel, while most of the titanium was distributed in the nano-sized rod-like clusters with crystallite refinement and intracrystalline defects, and, as such, 94.3% of the silicon was leached from the HCl leach residue by alkaline desilication, and 96.5% of the titanium in the titanium-rich material with some rutile structure was then digested by the concentrated sulfuric acid. This provides an alternative route for the comprehensive utilization of titanium and silicon in titanium slag.

  18. Depth profiling using C60+ SIMS-Deposition and topography development during bombardment of silicon

    International Nuclear Information System (INIS)

    Gillen, Greg; Batteas, James; Michaels, Chris A.; Chi, Peter; Small, John; Windsor, Eric; Fahey, Albert; Verkouteren, Jennifer; Kim, K.J.

    2006-01-01

    A C 60 + primary ion source has been coupled to an ion microscope secondary ion mass spectrometry (SIMS) instrument to examine sputtering of silicon with an emphasis on possible application of C 60 + depth profiling for high depth resolution SIMS analysis of silicon semiconductor materials. Unexpectedly, C 60 + SIMS depth profiling of silicon was found to be complicated by the deposition of an amorphous carbon layer which buries the silicon substrate. Sputtering of the silicon was observed only at the highest accessible beam energies (14.5 keV impact) or by using oxygen backfilling. C 60 + SIMS depth profiling of As delta-doped test samples at 14.5 keV demonstrated a substantial (factor of 5) degradation in depth resolution compared to Cs + SIMS depth profiling. This degradation is thought to result from the formation of an unusual platelet-like grain structure on the SIMS crater bottoms. Other unusual topographical features were also observed on silicon substrates after high primary ion dose C 60 + bombardment

  19. Analytical procedure for experimental quantification of carrier concentration in semiconductor devices by using electric scanning probe microscopy

    International Nuclear Information System (INIS)

    Fujita, Takaya; Matsumura, Koji; Itoh, Hiroshi; Fujita, Daisuke

    2014-01-01

    Scanning capacitance microscopy (SCM) is based on a contact-mode variant of atomic force microscopy, which is used for imaging two-dimensional carrier (electrons and holes) distributions in semiconductor devices. We introduced a method of quantification of the carrier concentration by experimentally deduced calibration curves, which were prepared for semiconductor materials such as silicon and silicon carbide. The analytical procedure was circulated to research organizations in a round-robin test. The effectiveness of the method was confirmed for practical analysis and for what is expected for industrial pre-standardization from the viewpoint of comparability among users. It was also applied to other electric scanning probe microscopy techniques such as scanning spreading resistance microscopy and scanning nonlinear dielectric microscopy. Their depth profiles of carrier concentration were found to be in good agreement with those characterized by SCM. These results suggest that our proposed method will be compatible with future next-generation microscopy. (paper)

  20. Radiation-hard semiconductor detectors for SuperLHC

    CERN Document Server

    Bruzzi, Mara; Al-Ajili, A A; Alexandrov, P; Alfieri, G; Allport, Philip P; Andreazza, A; Artuso, M; Assouak, S; Avset, B S; Barabash, L; Baranova, E; Barcz, A; Basile, A; Bates, R; Belova, N; Betta, G F D; Biagi, S F; Bilei, G M; Bisello, D; Blue, A; Blumenau, A; Boisvert, V; Bölla, G; Bondarenko, G B; Borchi, E; Borrello, L; Bortoletto, D; Boscardin, M; Bosisio, L; Bowcock, T J V; Brodbeck, T J; Broz, J; Brukhanov, A; Brzozowski, A; Buda, M; Buhmann, P; Buttar, C; Campabadal, F; Campbell, D; Candelori, A; Casse, G; Cavallini, A; Chilingarov, A G; Chren, D; Cindro, V; Citterio, M; Collins, P; Coluccia, R; Contarato, D; Coutinho, J; Creanza, D; Cunningham, W; Cvetkov, V; Davies, G; Dawson, I; De Palma, M; Demina, R; Dervan, P; Dierlamm, A; Dittongo, S; Dobrzanski, L; Dolezal, Z; Dolgolenko, A; Eberlein, T; Eremin, V; Fall, C; Fasolo, F; Ferbel, T; Fizzotti, F; Fleta, C; Focardi, E; Forton, E; Franchenko, S; Fretwurst, E; Gamaz, F; García-Navarro, J E; García, C; Gaubas, E; Genest, M H; Gill, K A; Giolo, K; Glaser, M; Gössling, C; Golovine, V; Gorelov, I; Goss, J; Gouldwell, A; Grégoire, G; Gregori, P; Grigoriev, E; Grigson, C; Grillo, A; Groza, A; Guskov, J; Haddad, L; Harding, R; Härkönen, J; Hauler, F; Hayama, S; Hoeferkamp, M; Honniger, F; Horazdovsky, T; Horisberger, R P; Horn, M; Houdayer, A; Hourahine, B; Hruban, A; Hughes, G; Ilyashenko, Yu S; Irmscher, K; Ivanov, A; Jarasiunas, K; Jin, T; Jones, B K; Jones, R; Joram, C; Jungermann, L; Kalinina, E; Kaminski, P; Karpenko, A; Karpov, A; Kazlauskiene, V; Kazukauskas, V; Khivrich, V; Khomenkov, V P; Kierstead, J A; Klaiber Lodewigs, J M; Kleverman, M; Klingenberg, R; Kodys, P; Kohout, Z; Korjenevski, S; Kowalik, A; Kozlowski, R; Kozodaev, M; Kramberger, G; Krasel, O; Kuznetsov, A; Kwan, S; Lagomarsino, S; Lari, T; Lassila-Perini, K M; Lastovetsky, V F; Latino, G; Latushkin, S T; Lazanu, I; Lazanu, S; Lebel, C; Leinonen, K; Leroy, C; Li, Z; Lindström, G; Lindström, L; Linhart, V; Litovchenko, A P; Litovchenko, P G; Litvinov, V; Lo Giudice, A; Lozano, M; Luczynski, Z; Luukka, Panja; Macchiolo, A; Mainwood, A; Makarenko, L F; Mandic, I; Manfredotti, C; Martí i García, S; Marunko, S; Mathieson, K; Melone, J; Menichelli, D; Meroni, C; Messineo, A; Miglio, S; Mikuz, M; Miyamoto, J; Moll, M; Monakhov, E; Moscatelli, F; Mozzanti, A; Murin, L; Naoumov, D; Nava, F; Nossarzhevska, E; Nummela, S; Nysten, J; Olivero, P; O'Shea, V; Palviainen, T; Paolini, C; Parkes, C; Passeri, D; Pein, U; Pellegrini, G; Perera, L; Petasecca, M; Piatkowski, B; Piemonte, C; Pignatel, G U; Pinho, N; Pintilie, I; Pintilie, L; Polivtsev, L; Polozov, P; Popa, A I; Popule, J; Pospísil, S; Pucker, G; Radicci, V; Rafí, J M; Ragusa, F; Rahman, M; Rando, R; Röder, R; Rohe, T; Ronchin, S; Rott, C; Roy, A; Roy, P; Ruzin, A; Ryazanov, A; Sadrozinski, H F W; Sakalauskas, S; Scaringella, M; Schiavulli, L; Schnetzer, S; Schumm, B; Sciortino, S; Scorzoni, A; Segneri, G; Seidel, S; Seiden, A; Sellberg, G; Sellin, P J; Sentenac, D; Sevilla, S G; Shipsey, I; Sícho, P; Sloan, T; Solar, M; Son, S; Sopko, B; Spencer, N; Stahl, J; Stavitski, I; Stolze, D; Stone, R; Storasta, J; Strokan, N; Strupinski, W; Sudzius, M; Surma, B; Suuronen, J; Suvorov, A; Svensson, B G; Tipton, P; Tomasek, M; Troncon, C; Tsvetkov, A; Tuominen, E; Tuovinen, E; Tuuva, T; Tylchin, M; Uebersee, H; Uher, J; Ullán, M; Vaitkus, J V; Vanni, P; Velthuis, J; Verbitskaya, E; Verzellesi, G; Vrba, V; Wagner, G; Wilhelm, I; Worm, S; Wright, V; Wunstorf, R; Zabierowski, P; Zaluzhny, A; Zavrtanik, M; Zen, M; Zhukov, V; Zorzi, N; de Boer, Wim

    2005-01-01

    An option of increasing the luminosity of the Large Hadron Collider (LHC) at CERN to 10/sup 35/ cm-/sup 2/s-/sup 1/ has been envisaged to extend the physics reach of the machine. An efficient tracking down to a few centimetres from the interaction point will be required to exploit the physics potential of the upgraded LHC. As a consequence, the semiconductor detectors close to the interaction region will receive severe doses of fast hadron irradiation and the inner tracker detectors will need to survive fast hadron fluences of up to above 10 /sup 16/ cm-/sup 2/. The CERN-RD50 project "Development of Radiation Hard Semiconductor Devices for Very High Luminosity Colliders" has been established in 2002 to explore detector materials and technologies that will allow to operate devices up to, or beyond, this limit. The strategies followed by RD50 to enhance the radiation tolerance include the development of new or defect engineered detector materials (SiC, GaN, Czochralski and epitaxial silicon, oxygen enriched Flo...

  1. Towards predictive many-body calculations of phonon-limited carrier mobilities in semiconductors

    Science.gov (United States)

    Poncé, Samuel; Margine, Elena R.; Giustino, Feliciano

    2018-03-01

    We probe the accuracy limit of ab initio calculations of carrier mobilities in semiconductors, within the framework of the Boltzmann transport equation. By focusing on the paradigmatic case of silicon, we show that fully predictive calculations of electron and hole mobilities require many-body quasiparticle corrections to band structures and electron-phonon matrix elements, the inclusion of spin-orbit coupling, and an extremely fine sampling of inelastic scattering processes in momentum space. By considering all these factors we obtain excellent agreement with experiment, and we identify the band effective masses as the most critical parameters to achieve predictive accuracy. Our findings set a blueprint for future calculations of carrier mobilities, and pave the way to engineering transport properties in semiconductors by design.

  2. Thermal conductivity of group-IV semiconductors from a kinetic-collective model.

    Science.gov (United States)

    de Tomas, C; Cantarero, A; Lopeandia, A F; Alvarez, F X

    2014-09-08

    The thermal conductivity of group-IV semiconductors (silicon, germanium, diamond and grey tin) with several isotopic compositions has been calculated from a kinetic-collective model. From this approach, significantly different to Callaway-like models in its physical interpretation, the thermal conductivity expression accounts for a transition from a kinetic (individual phonon transport) to a collective (hydrodynamic phonon transport) behaviour of the phonon field. Within the model, we confirm the theoretical proportionality between the phonon-phonon relaxation times of the group-IV semiconductors. This proportionality depends on some materials properties and it allows us to predict the thermal conductivity of the whole group of materials without the need to fit each material individually. The predictions on thermal conductivities are in good agreement with experimental data over a wide temperature range.

  3. Thermal conductivity of group-IV semiconductors from a kinetic-collective model

    Science.gov (United States)

    de Tomas, C.; Cantarero, A.; Lopeandia, A. F.; Alvarez, F. X.

    2014-01-01

    The thermal conductivity of group-IV semiconductors (silicon, germanium, diamond and grey tin) with several isotopic compositions has been calculated from a kinetic-collective model. From this approach, significantly different to Callaway-like models in its physical interpretation, the thermal conductivity expression accounts for a transition from a kinetic (individual phonon transport) to a collective (hydrodynamic phonon transport) behaviour of the phonon field. Within the model, we confirm the theoretical proportionality between the phonon–phonon relaxation times of the group-IV semiconductors. This proportionality depends on some materials properties and it allows us to predict the thermal conductivity of the whole group of materials without the need to fit each material individually. The predictions on thermal conductivities are in good agreement with experimental data over a wide temperature range. PMID:25197256

  4. Investigation of elements contamination and analysis of electrical effect of this contamination in silicon on the neutron transmutation doping in the RSG-GAS

    International Nuclear Information System (INIS)

    Sudjadi, U.

    1998-01-01

    The elements of the contamination on the Neutron Transmutation Doping Process (NTD) have investigated by Multi Channel Analyser (MCA). This Investigation is important to know the quality of silicon doping in NTD. We have found that Mn-45, Ga-72 and Au-198 are elements of contamination in silicon after NTD process. Analysis of electrical effect of this elements contamination on semiconductor silicon is described also in this paper

  5. Polarization-independent all-silicon dielectric metasurfaces in the terahertz regime

    KAUST Repository

    Zhang, Huifang

    2017-12-11

    Dielectric metasurfaces have achieved great success in realizing high-efficiency wavefront control in the optical and infrared ranges. Here, we experimentally demonstrate several efficient, polarization-independent, all-silicon dielectric metasurfaces in the terahertz regime. The metasurfaces are composed of cylindrical silicon pillars on a silicon substrate, which can be easily fabricated using etching technology for semiconductors. By locally tailoring the diameter of the pillars, full control over abrupt phase changes can be achieved. To show the controlling ability of the metasurfaces, an anomalous deflector, three Bessel beam generators, and three vortex beam generators are fabricated and characterized. We also show that the proposed metasurfaces can be easily combined to form composite devices with extended functionalities. The proposed controlling method has promising applications in developing low-loss, ultra-compact spatial terahertz modulation devices. (C) 2017 Chinese Laser Press

  6. Polarization-independent all-silicon dielectric metasurfaces in the terahertz regime

    KAUST Repository

    Zhang, Huifang; Zhang, Xueqian; Xu, Quan; Wang, Qiu; Xu, Yuehong; Wei, Minggui; Li, Yanfeng; Gu, Jianqiang; Tian, Zhen; Ouyang, Chunmei; Zhang, Xixiang; Hu, Cong; Han, Jiaguang; Zhang, Weili

    2017-01-01

    Dielectric metasurfaces have achieved great success in realizing high-efficiency wavefront control in the optical and infrared ranges. Here, we experimentally demonstrate several efficient, polarization-independent, all-silicon dielectric metasurfaces in the terahertz regime. The metasurfaces are composed of cylindrical silicon pillars on a silicon substrate, which can be easily fabricated using etching technology for semiconductors. By locally tailoring the diameter of the pillars, full control over abrupt phase changes can be achieved. To show the controlling ability of the metasurfaces, an anomalous deflector, three Bessel beam generators, and three vortex beam generators are fabricated and characterized. We also show that the proposed metasurfaces can be easily combined to form composite devices with extended functionalities. The proposed controlling method has promising applications in developing low-loss, ultra-compact spatial terahertz modulation devices. (C) 2017 Chinese Laser Press

  7. Silicon-germanium (Sige) nanostructures production, properties and applications in electronics

    CERN Document Server

    Usami, N

    2011-01-01

    Nanostructured silicon-germanium (SiGe) provides the prospect of novel and enhanced electronic device performance. This book reviews the materials science and technology of SiGe nanostructures, including crystal growth, fabrication of nanostructures, material properties and applications in electronics.$bNanostructured silicon-germanium (SiGe) opens up the prospects of novel and enhanced electronic device performance, especially for semiconductor devices. Silicon-germanium (SiGe) nanostructures reviews the materials science of nanostructures and their properties and applications in different electronic devices. The introductory part one covers the structural properties of SiGe nanostructures, with a further chapter discussing electronic band structures of SiGe alloys. Part two concentrates on the formation of SiGe nanostructures, with chapters on different methods of crystal growth such as molecular beam epitaxy and chemical vapour deposition. This part also includes chapters covering strain engineering and mo...

  8. Gas-phase synthesis of semiconductor nanocrystals and its applications

    Science.gov (United States)

    Mandal, Rajib

    Luminescent nanomaterials is a newly emerging field that provides challenges not only to fundamental research but also to innovative technology in several areas such as electronics, photonics, nanotechnology, display, lighting, biomedical engineering and environmental control. These nanomaterials come in various forms, shapes and comprises of semiconductors, metals, oxides, and inorganic and organic polymers. Most importantly, these luminescent nanomaterials can have different properties owing to their size as compared to their bulk counterparts. Here we describe the use of plasmas in synthesis, modification, and deposition of semiconductor nanomaterials for luminescence applications. Nanocrystalline silicon is widely known as an efficient and tunable optical emitter and is attracting great interest for applications in several areas. To date, however, luminescent silicon nanocrystals (NCs) have been used exclusively in traditional rigid devices. For the field to advance towards new and versatile applications for nanocrystal-based devices, there is a need to investigate whether these NCs can be used in flexible and stretchable devices. We show how the optical and structural/morphological properties of plasma-synthesized silicon nanocrystals (Si NCs) change when they are deposited on stretchable substrates made of polydimethylsiloxane (PDMS). Synthesis of these NCs was performed in a nonthermal, low-pressure gas phase plasma reactor. To our knowledge, this is the first demonstration of direct deposition of NCs onto stretchable substrates. Additionally, in order to prevent oxidation and enhance the luminescence properties, a silicon nitride shell was grown around Si NCs. We have demonstrated surface nitridation of Si NCs in a single step process using non?thermal plasma in several schemes including a novel dual-plasma synthesis/shell growth process. These coated NCs exhibit SiNx shells with composition depending on process parameters. While measurements including

  9. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  10. A CMOS silicon spin qubit

    Science.gov (United States)

    Maurand, R.; Jehl, X.; Kotekar-Patil, D.; Corna, A.; Bohuslavskyi, H.; Laviéville, R.; Hutin, L.; Barraud, S.; Vinet, M.; Sanquer, M.; de Franceschi, S.

    2016-11-01

    Silicon, the main constituent of microprocessor chips, is emerging as a promising material for the realization of future quantum processors. Leveraging its well-established complementary metal-oxide-semiconductor (CMOS) technology would be a clear asset to the development of scalable quantum computing architectures and to their co-integration with classical control hardware. Here we report a silicon quantum bit (qubit) device made with an industry-standard fabrication process. The device consists of a two-gate, p-type transistor with an undoped channel. At low temperature, the first gate defines a quantum dot encoding a hole spin qubit, the second one a quantum dot used for the qubit read-out. All electrical, two-axis control of the spin qubit is achieved by applying a phase-tunable microwave modulation to the first gate. The demonstrated qubit functionality in a basic transistor-like device constitutes a promising step towards the elaboration of scalable spin qubit geometries in a readily exploitable CMOS platform.

  11. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    Science.gov (United States)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  12. Spectrally selective solar absorber with sharp and temperature dependent cut-off based on semiconductor nanowire arrays

    Science.gov (United States)

    Wang, Yang; Zhou, Lin; Zheng, Qinghui; Lu, Hong; Gan, Qiaoqiang; Yu, Zongfu; Zhu, Jia

    2017-05-01

    Spectrally selective absorbers (SSA) with high selectivity of absorption and sharp cut-off between high absorptivity and low emissivity are critical for efficient solar energy conversion. Here, we report the semiconductor nanowire enabled SSA with not only high absorption selectivity but also temperature dependent sharp absorption cut-off. By taking advantage of the temperature dependent bandgap of semiconductors, we systematically demonstrate that the absorption cut-off profile of the semiconductor-nanowire-based SSA can be flexibly tuned, which is quite different from most of the other SSA reported so far. As an example, silicon nanowire based selective absorbers are fabricated, with the measured absorption efficiency above (below) bandgap ˜97% (15%) combined with an extremely sharp absorption cut-off (transition region ˜200 nm), the sharpest SSA demonstrated so far. The demonstrated semiconductor-nanowire-based SSA can enable a high solar thermal efficiency of ≳86% under a wide range of operating conditions, which would be competitive candidates for the concentrated solar energy utilizations.

  13. Low cost thin film poly-silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2005-07-01

    This report presents the results of a project to design and develop a high density plasma based thin-film poly-silicon (TFPS) deposition system based on PQL proprietary advanced plasma technology to produce semiconductor quality TFPS for fabricating a TFPS solar cell. Details are given of the TFPS deposition system, the material development programme, solar cell structure, and cell efficiencies. The reproducibility of the deposition process and prospects for commercial exploitation are discussed.

  14. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Chalupkova, I; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICS ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibers. The completed SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational since then. Calibration data has been taken regularly and analyzed to determine the noise performance of the ...

  15. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    NAGAI, K; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules for a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each end of the barrel). The SCT silicon micro-strip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICS ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. The completed SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational since then. Calibration data has been taken regularly and analysed to determine the noise performance of the ...

  16. ATLAS Silicon Microstrip Tracker Operation and Performance

    CERN Document Server

    Chalupkova, I; The ATLAS collaboration

    2012-01-01

    The Semi-Conductor Tracker (SCT) is a silicon strip detector and one of the key precision tracking devices in the Inner Detector (ID) of the ATLAS experiment at CERN LHC. The SCT is constructed of 4088 silicon detector modules with a total of 6.3 million strips. Each module is designed, constructed and tested to operate as a stand-alone unit, mechanically, electrically, optically and thermally. The modules are mounted into two types of structures: one barrel (4 cylinders) and two end-cap systems (9 disks on each side of the barrel). The SCT silicon microstrip sensors are processed in the planar p-in-n technology. The signals from the strips are processed in the front-end ASICs ABCD3TA, working in the binary readout mode. Data is transferred to the off-detector readout electronics via optical fibres. SCT has been installed inside the ATLAS experimental cavern since 2007 and has been operational ever since. Calibration data has been taken regularly and analysed to determine the noise performance of the system. ...

  17. Tin (Sn) - An Unlikely Ally to Extend Moore's Law for Silicon CMOS?

    KAUST Repository

    Hussain, Aftab M.

    2012-12-01

    There has been an exponential increase in the performance of silicon based semiconductor devices in the past few decades. This improvement has mainly been due to dimensional scaling of the MOSFET. However, physical constraints limit the continued growth in device performance. To overcome this problem, novel channel materials are being developed to enhance carrier mobility and hence increase device performance. This work explores a novel semiconducting alloy - Silicon-tin (SiSn) as a channel material for CMOS applications. For the first time ever, MOS devices using SiSn as channel material have been demonstrated. A low cost, scalable and manufacturable process for obtaining SiSn by diffusion of Sn into silicon has also been explored. The channel material thus obtained is electrically characterized by fabricating MOSCAPs and Mesa-shaped MOSFETs. The SiSn devices have been compared to similar devices fabricated using silicon as channel material.

  18. III-V-on-silicon solar cells reaching 33% photoconversion efficiency in two-terminal configuration

    Science.gov (United States)

    Cariou, Romain; Benick, Jan; Feldmann, Frank; Höhn, Oliver; Hauser, Hubert; Beutel, Paul; Razek, Nasser; Wimplinger, Markus; Bläsi, Benedikt; Lackner, David; Hermle, Martin; Siefer, Gerald; Glunz, Stefan W.; Bett, Andreas W.; Dimroth, Frank

    2018-04-01

    Silicon dominates the photovoltaic industry but the conversion efficiency of silicon single-junction solar cells is intrinsically constrained to 29.4%, and practically limited to around 27%. It is possible to overcome this limit by combining silicon with high-bandgap materials, such as III-V semiconductors, in a multi-junction device. Significant challenges associated with this material combination have hindered the development of highly efficient III-V/Si solar cells. Here, we demonstrate a III-V/Si cell reaching similar performances to standard III-V/Ge triple-junction solar cells. This device is fabricated using wafer bonding to permanently join a GaInP/GaAs top cell with a silicon bottom cell. The key issues of III-V/Si interface recombination and silicon's weak absorption are addressed using poly-silicon/SiOx passivating contacts and a novel rear-side diffraction grating for the silicon bottom cell. With these combined features, we demonstrate a two-terminal GaInP/GaAs//Si solar cell reaching a 1-sun AM1.5G conversion efficiency of 33.3%.

  19. Shockwave generation by a semiconductor bridge operation in water

    Energy Technology Data Exchange (ETDEWEB)

    Zvulun, E.; Toker, G.; Gurovich, V. Tz.; Krasik, Ya. E. [Physics Department, Technion, Haifa 32000 (Israel)

    2014-05-28

    A semiconductor bridge (SCB) is a silicon device, used in explosive systems as the electrical initiator element. In recent years, SCB plasma has been extensively studied, both electrically and using fast photography and spectroscopic imaging. However, the value of the pressure buildup at the bridge remains unknown. In this study, we operated SCB devices in water and, using shadow imaging and reference beam interferometry, obtained the velocity of the shock wave propagation and distribution of the density of water. These results, together with a self-similar hydrodynamic model, were used to calculate the pressure generated by the exploding SCB. In addition, the results obtained showed that the energy of the water flow exceeds significantly the energy deposited into the exploded SCB. The latter can be explained by the combustion of the aluminum and silicon atoms released in water, which acts as an oxidizing medium.

  20. Elaboration and characterization of metallurgical silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Barbouche, M; Hajji, M; Krout, F; Ezzaouia, H

    2015-01-01

    There is a small quantity of participants in the global market of silicon, mainly from the developed countries. It should be noticed also that production of metallurgical silicon Mg-Si is among the most important steps to produce solar grade silicon and photovoltaic panels. Therefore, in this paper we focused on the growth of Mg-Si by carbothermal reduction of silica. An investigation was made using FT-IR characterization to study the effect of process conditions (temperature, atmosphere, duration) in Mg-Si production. Raman spectroscopy was used to investigate the produced Mg-Si. Based on these results, we established a pilot line production of metallurgical silicon at the 'CRTEn' in Tunisia