WorldWideScience

Sample records for semiconductor fabrication process

  1. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  2. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  3. Semiconductors detectors: basics principals, fabrication and repair

    International Nuclear Information System (INIS)

    Souza Coelho, L.F. de.

    1982-05-01

    The fabrication and repairing techniques of semiconductor detectors, are described. These methods are shown in the way they are applied by the semiconductor detector laboratory of the KFA-Julich, where they have been developed during the last 15 years. The history of the semiconductor detectors is presented here, being also described the detector fabrication experiences inside Brazil. The key problems of manufacturing are raised. In order to understand the fabrication and repairing techniques the working principles of these detectors, are described. The cases in which worked during the stay in the KFA-Julich, particularly the fabrication of a plane Ge (Li) detector, with side entry, and the repair of a coaxial Ge (Li) is described. The vanguard problems being researched in Julich are also described. Finally it is discussed a timetable for the semiconductor detector laboratory of the UFRJ, which laboratory is in the mounting stage now. (Author) [pt

  4. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  5. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-02-12

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  6. Structural and electrical characteristics of high-k/metal gate metal oxide semiconductor capacitors fabricated on flexible, semi-transparent silicon (100) fabric

    KAUST Repository

    Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.

    2013-01-01

    In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.

  7. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2013-01-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment

  8. Semiconductor light sources fabricated by vapor phase epitaxial regrowth

    International Nuclear Information System (INIS)

    Powazinik, W.; Olshansky, R.; Meland, E.; Lauer, R.B.

    1986-01-01

    An extremely versatile technique for the fabrication of semiconductor light sources is described. The technique which is based on the halide vapor phase regrowth (VPR) of InP on channeled and selectively etched InGaAsP/InP double heterostructure material, results in a buried heterostructure (BH) index-guided VPR-BH diode laser structure which can be optimized for a number of different types of semiconductor light sources. The conditions and parameters associated with the halide VPR process are given, and the properties of the regrown InP are reported. The processing and characterization of high-frequency lasers with 18-GHz bandwidths and high-power lasers with cw single-spatial-mode powers of 60 mW are described. Additionally, the fabrication and characterization of superluminescent LEDs based on the this basic VPR-BH structure are described. These LEDs are capable of coupling more than 80 μW of optical power into a single-mode fiber at 100 mA, and can couple as much as 8 μW of optical power into a single-mode fiber at drive currents as low as 20 mA

  9. A modeling method of semiconductor fabrication flows with extended knowledge hybrid Petri nets

    Institute of Scientific and Technical Information of China (English)

    Zhou Binghai; Jiang Shuyu; Wang Shijin; Wu bin

    2008-01-01

    A modeling method of extended knowledge hybrid Petri nets (EKHPNs), incorporating object-oriented methods into hybrid Petri nets (HPNs), was presented and used for the representation and modeling of semiconductor wafer fabrication flows. To model the discrete and continuous parts of a complex semiconductor wafer fabrication flow, the HPNs were introduced into the EKHPNs. Object-oriented methods were combined into the EKHPNs for coping with the complexity of the fabrication flow. Knowledge annotations were introduced to solve input and output conflicts of the EKHPNs.Finally, to demonstrate the validity of the EKHPN method, a real semiconductor wafer fabrication case was used to illustrate the modeling procedure. The modeling results indicate that the proposed method can be used to model a complex semiconductor wafer fabrication flow expediently.

  10. A top-contacted extraordinary magnetoresistance sensor fabricated with an unpatterned semiconductor epilayer

    KAUST Repository

    Sun, Jian

    2013-04-01

    An extraordinary magnetoresistance device is developed from an unpatterned semiconductor epilayer onto which the metal contacts are fabricated. Compared with conventionally fabricated devices, for which semiconductor patterning and precise alignment are required, this design is not only easier from a technological point of view, but it also has the potential to reduce damage introduced to the semiconductor during fabrication. The device shows a similar magnetoresistance ratio as a conventional one but it has a lower sensitivity. Because of the reduced resistance, and hence less noise, high magnetic field resolution is maintained. © 1980-2012 IEEE.

  11. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  12. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  13. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Fabrication of combinatorial nm-planar electrode array for high throughput evaluation of organic semiconductors

    International Nuclear Information System (INIS)

    Haemori, M.; Edura, T.; Tsutsui, K.; Itaka, K.; Wada, Y.; Koinuma, H.

    2006-01-01

    We have fabricated a combinatorial nm-planar electrode array by using photolithography and chemical mechanical polishing processes for high throughput electrical evaluation of organic devices. Sub-nm precision was achieved with respect to the average level difference between each pair of electrodes and a dielectric layer. The insulating property between the electrodes is high enough to measure I-V characteristics of organic semiconductors. Bottom-contact field-effect-transistors (FETs) of pentacene were fabricated on this electrode array by use of molecular beam epitaxy. It was demonstrated that the array could be used as a pre-patterned device substrate for high throughput screening of the electrical properties of organic semiconductors

  15. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  16. All-polymer organic semiconductor laser chips: Parallel fabrication and encapsulation

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Klinkhammer, Sönke; Christiansen, Mads Brøkner

    2010-01-01

    Organic semiconductor lasers are of particular interest as tunable visible laser light sources. For bringing those to market encapsulation is needed to ensure practicable lifetimes. Additionally, fabrication technologies suitable for mass production must be used. We introduce all-polymer chips...... comprising encapsulated distributed feedback organic semiconductor lasers. Several chips are fabricated in parallel by thermal nanoimprint of the feedback grating on 4? wafer scale out of poly(methyl methacrylate) (PMMA) and cyclic olefin copolymer (COC). The lasers consisting of the organic semiconductor...... tris(8- hydroxyquinoline) aluminum (Alq3) doped with the laser dye 4-dicyanomethylene-2- methyl-6-(p-dimethylaminostyril)-4H-pyrane (DCM) are hermetically sealed by thermally bonding a polymer lid. The organic thin film is placed in a basin within the substrate and is not in direct contact to the lid...

  17. The fabrication of carbon nanotube field-effect transistors with semiconductors as the source and drain contact materials.

    Science.gov (United States)

    Xiao, Z; Camino, F E

    2009-04-01

    Sb(2)Te(3) and Bi(2)Te(2)Se semiconductor materials were used as the source and drain contact materials in the fabrication of carbon nanotube field-effect transistors (CNTFETs). Ultra-purified single-walled carbon nanotubes (SWCNTs) were ultrasonically dispersed in N-methyl pyrrolidone solvent. Dielectrophoresis was used to deposit and align SWCNTs for fabrication of CNTFETs. The Sb(2)Te(3)- and Bi(2)Te(2)Se-based CNTFETs demonstrate p-type metal-oxide-silicon-like I-V curves with high on/off drain-source current ratio at large drain-source voltages and good saturation of drain-source current with increasing drain-source voltage. The fabrication process developed is novel and has general meaning, and could be used for the fabrication of SWCNT-based integrated devices and systems with semiconductor contact materials.

  18. Fabrication and performance of pressure-sensing device consisting of electret film and organic semiconductor

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Daiki; Kuribara, Kazunori; Uemura, Sei; Yoshida, Manabu

    2017-04-01

    We propose a new concept of a pressure-sensitive device that consists of an organic electret film and an organic semiconductor. This device exhibits high sensitivity and selectivity against various types of pressure. The sensing mechanism of this device originates from a modulation of the electric conductivity of the organic semiconductor film induced by the interaction between the semiconductor film and the charged electret film placed face to face. It is expected that a complicated sensor array will be fabricated by using a roll-to-roll manufacturing system, because this device can be prepared by an all-printing and simple lamination process without high-level positional adjustment for printing processes. This also shows that this device with a simple structure is suitable for application to a highly flexible device array sheet for an Internet of Things (IoT) or wearable sensing system.

  19. Soft liquid phase adsorption for fabrication of organic semiconductor films on wettability patterned surfaces.

    Science.gov (United States)

    Watanabe, Satoshi; Akiyoshi, Yuri; Matsumoto, Mutsuyoshi

    2014-01-01

    We report a soft liquid-phase adsorption (SLPA) technique for the fabrication of organic semiconductor films on wettability-patterned substrates using toluene/water emulsions. Wettability-patterned substrates were obtained by the UV-ozone treatment of self-assembled monolayers of silane coupling agents on glass plates using a metal mask. Organic semiconductor polymer films were formed selectively on the hydrophobic part of the wettability-patterned substrates. The thickness of the films fabricated by the SLPA technique is significantly larger than that of the films fabricated by dip-coating and spin-coating techniques. The film thickness can be controlled by adjusting the volume ratio of toluene to water, immersion angle, immersion temperature, and immersion time. The SLPA technique allows for the direct production of organic semiconductor films on wettability-patterned substrates with minimized material consumption and reduced number of fabrication steps.

  20. Integrated porous-silicon light-emitting diodes: A fabrication process using graded doping profiles

    International Nuclear Information System (INIS)

    Barillaro, G.; Diligenti, A.; Pieri, F.; Fuso, F.; Allegrini, M.

    2001-01-01

    A fabrication process, compatible with an industrial bipolar+complementary metal - oxide - semiconductor (MOS)+diffusion MOS technology, has been developed for the fabrication of efficient porous-silicon-based light-emitting diodes. The electrical contact is fabricated with a double n + /p doping, achieving a high current injection efficiency and thus lower biasing voltages. The anodization is performed as the last step of the process, thus reducing potential incompatibilities with industrial processes. The fabricated devices show yellow-orange electroluminescence, visible with the naked eye in room lighting. A spectral characterization of light emission is presented and briefly discussed. [copyright] 2001 American Institute of Physics

  1. Top contact organic field effect transistors fabricated using a photolithographic process

    International Nuclear Information System (INIS)

    Wang Hong; Peng Ying-Quan; Ji Zhuo-Yu; Shang Li-Wei; Liu Xing-Hua; Liu Ming

    2011-01-01

    This paper proposes an effective method of fabricating top contact organic field effect transistors by using a photolithographic process. The semiconductor layer is protected by a passivation layer. Through photolithographic and etching processes, parts of the passivation layer are etched off to form source/drain electrode patterns. Combined with conventional evaporation and lift-off techniques, organic field effect transistors with a top contact are fabricated successfully, whose properties are comparable to those prepared with the shadow mask method and one order of magnitude higher than the bottom contact devices fabricated by using a photolithographic process. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  3. Compact Submillimeter-Wave Receivers Made with Semiconductor Nano-Fabrication Technologies

    Science.gov (United States)

    Jung, C.; Thomas, B.; Lee, C.; Peralta, A.; Chattopadhyay, G.; Gill, J.; Cooper, K.; Mehdi, I.

    2011-01-01

    Advanced semiconductor nanofabrication techniques are utilized to design, fabricate and demonstrate a super-compact, low-mass (<10 grams) submillimeter-wave heterodyne front-end. RF elements such as waveguides and channels are fabricated in a silicon wafer substrate using deep-reactive ion etching (DRIE). Etched patterns with sidewalls angles controlled with 1 deg precision are reported, while maintaining a surface roughness of better than 20 nm rms for the etched structures. This approach is being developed to build compact 2-D imaging arrays in the THz frequency range.

  4. Zinc Alloys for the Fabrication of Semiconductor Devices

    Science.gov (United States)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  5. Production planning and control for semiconductor wafer fabrication facilities modeling, analysis, and systems

    CERN Document Server

    Mönch, Lars; Mason, Scott J

    2012-01-01

    Over the last fifty-plus years, the increased complexity and speed of integrated circuits have radically changed our world. Today, semiconductor manufacturing is perhaps the most important segment of the global manufacturing sector. As the semiconductor industry has become more competitive, improving planning and control has become a key factor for business success. This book is devoted to production planning and control problems in semiconductor wafer fabrication facilities. It is the first book that takes a comprehensive look at the role of modeling, analysis, and related information systems

  6. Inspection logistics planning for multi-stage production systems with applications to semiconductor fabrication lines

    Science.gov (United States)

    Chen, Kyle Dakai

    Since the market for semiconductor products has become more lucrative and competitive, research into improving yields for semiconductor fabrication lines has lately received a tremendous amount of attention. One of the most critical tasks in achieving such yield improvements is to plan the in-line inspection sampling efficiently so that any potential yield problems can be detected early and eliminated quickly. We formulate a multi-stage inspection planning model based on configurations in actual semiconductor fabrication lines, specifically taking into account both the capacity constraint and the congestion effects at the inspection station. We propose a new mixed First-Come-First-Serve (FCFS) and Last-Come-First-Serve (LCFS) discipline for serving the inspection samples to expedite the detection of potential yield problems. Employing this mixed FCFS and LCFS discipline, we derive approximate expressions for the queueing delays in yield problem detection time and develop near-optimal algorithms to obtain the inspection logistics planning policies. We also investigate the queueing performance with this mixed type of service discipline under different assumptions and configurations. In addition, we conduct numerical tests and generate managerial insights based on input data from actual semiconductor fabrication lines. To the best of our knowledge, this research is novel in developing, for the first time in the literature, near-optimal results for inspection logistics planning in multi-stage production systems with congestion effects explicitly considered.

  7. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Kutbee, Arwa T.; Ghodsi Nasseri, Seyed Faizelldin; Bersuker, G.; Hussain, Muhammad Mustafa

    2014-01-01

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect

  8. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  9. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  10. Fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam method

    International Nuclear Information System (INIS)

    Xu Xingsheng; Chen Hongda; Xiong Zhigang; Jin Aizi; Gu Changzhi; Cheng Bingying; Zhang Daozhong

    2007-01-01

    In this paper, we introduced the fabrication of photonic crystals on several kinds of semiconductor materials by using focused-ion beam machine, it shows that the method of focused-ion beam can fabricate two-dimensional photonic crystal and photonic crystal device efficiently, and the quality of the fabricated photonic crystal is high. Using the focused-ion beam method, we fabricate photonic crystal wavelength division multiplexer, and its characteristics are analyzed

  11. Investigation of piezoresistive effect in p-channel metal–oxide–semiconductor field-effect transistors fabricated on circular silicon-on-insulator diaphragms using cost-effective minimal-fab process

    Science.gov (United States)

    Liu, Yongxun; Tanaka, Hiroyuki; Umeyama, Norio; Koga, Kazuhiro; Khumpuang, Sommawan; Nagao, Masayoshi; Matsukawa, Takashi; Hara, Shiro

    2018-06-01

    P-channel metal–oxide–semiconductor field-effect transistors (PMOSFETs) with the 〈110〉 or 〈100〉 channel direction have been successfully fabricated on circular silicon-on-insulator (SOI) diaphragms using a cost-effective minimal-fab process, and their electrical characteristics have been systematically investigated before and after the SOI diaphragm formation. It was found that almost the same subthreshold slope (S-slope) and threshold voltage (V t) are observed in the fabricated PMOSFETs before and after the SOI diaphragm formation, and they are independent of the channel direction. On the other hand, significant variations in drain current were observed in the fabricated PMOSFETs with the 〈110〉 channel direction after the SOI diaphragm formation owing to the residual mechanical stress-induced piezoresistive effect. It was also confirmed that electrical characteristics of the fabricated PMOSFETs with the 〈100〉 channel direction are almost the same before and after the SOI diaphragm formation, i.e., not sensitive to the mechanical stress. Moreover, the drain current variations at different directions of mechanical stress and current flow were systematically investigated and discussed.

  12. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  13. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  14. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-09-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10-2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost.

  15. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  16. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  17. Fabrication of Semiconductor ZnO Nanostructures for Versatile SERS Application

    Directory of Open Access Journals (Sweden)

    Lili Yang

    2017-11-01

    Full Text Available Since the initial discovery of surface-enhanced Raman scattering (SERS in the 1970s, it has exhibited a huge potential application in many fields due to its outstanding advantages. Since the ultra-sensitive noble metallic nanostructures have increasingly exposed themselves as having some problems during application, semiconductors have been gradually exploited as one of the critical SERS substrate materials due to their distinctive advantages when compared with noble metals. ZnO is one of the most representative metallic oxide semiconductors with an abundant reserve, various and cost-effective fabrication techniques, as well as special physical and chemical properties. Thanks to the varied morphologies, size-dependent exciton, good chemical stability, a tunable band gap, carrier concentration, and stoichiometry, ZnO nanostructures have the potential to be exploited as SERS substrates. Moreover, other distinctive properties possessed by ZnO such as biocompatibility, photocatcalysis and self-cleaning, and gas- and chemo-sensitivity can be synergistically integrated and exerted with SERS activity to realize the multifunctional potential of ZnO substrates. In this review, we discuss the inevitable development trend of exploiting the potential semiconductor ZnO as a SERS substrate. After clarifying the root cause of the great disparity between the enhancement factor (EF of noble metals and that of ZnO nanostructures, two specific methods are put forward to improve the SERS activity of ZnO, namely: elemental doping and combination of ZnO with noble metals. Then, we introduce a distinctive advantage of ZnO as SERS substrate and illustrate the necessity of reporting a meaningful average EF. We also summarize some fabrication methods for ZnO nanostructures with varied dimensions (0–3 dimensions. Finally, we present an overview of ZnO nanostructures for the versatile SERS application.

  18. Fabrication of smooth patterned structures of refractory metals, semiconductors, and oxides via template stripping.

    Science.gov (United States)

    Park, Jong Hyuk; Nagpal, Prashant; McPeak, Kevin M; Lindquist, Nathan C; Oh, Sang-Hyun; Norris, David J

    2013-10-09

    The template-stripping method can yield smooth patterned films without surface contamination. However, the process is typically limited to coinage metals such as silver and gold because other materials cannot be readily stripped from silicon templates due to strong adhesion. Herein, we report a more general template-stripping method that is applicable to a larger variety of materials, including refractory metals, semiconductors, and oxides. To address the adhesion issue, we introduce a thin gold layer between the template and the deposited materials. After peeling off the combined film from the template, the gold layer can be selectively removed via wet etching to reveal a smooth patterned structure of the desired material. Further, we demonstrate template-stripped multilayer structures that have potential applications for photovoltaics and solar absorbers. An entire patterned device, which can include a transparent conductor, semiconductor absorber, and back contact, can be fabricated. Since our approach can also produce many copies of the patterned structure with high fidelity by reusing the template, a low-cost and high-throughput process in micro- and nanofabrication is provided that is useful for electronics, plasmonics, and nanophotonics.

  19. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Micromechanical Structures Fabrication; FINAL

    International Nuclear Information System (INIS)

    Rajic, S

    2001-01-01

    Work in materials other than silicon for MEMS applications has typically been restricted to metals and metal oxides instead of more ''exotic'' semiconductors. However, group III-V and II-VI semiconductors form a very important and versatile collection of material and electronic parameters available to the MEMS and MOEMS designer. With these materials, not only are the traditional mechanical material variables (thermal conductivity, thermal expansion, Young's modulus, etc.) available, but also chemical constituents can be varied in ternary and quaternary materials. This flexibility can be extremely important for both friction and chemical compatibility issues for MEMS. In addition, the ability to continually vary the bandgap energy can be particularly useful for many electronics and infrared detection applications. However, there are two major obstacles associated with alternate semiconductor material MEMS. The first issue is the actual fabrication of non-silicon micro-devices and the second impediment is communicating with these novel devices. We have implemented an essentially material independent fabrication method that is amenable to most group III-V and II-VI semiconductors. This technique uses a combination of non-traditional direct write precision fabrication processes such as diamond turning, ion milling, laser ablation, etc. This type of deterministic fabrication approach lends itself to an almost trivial assembly process. We also implemented a mechanical, electrical, and optical self-aligning hybridization technique for these alternate-material MEMS substrates

  1. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  2. Vacuum-and-solvent-free fabrication of organic semiconductor layers for field-effect transistors

    Science.gov (United States)

    Matsushima, Toshinori; Sandanayaka, Atula S. D.; Esaki, Yu; Adachi, Chihaya

    2015-01-01

    We demonstrate that cold and hot isostatic pressing (CIP and HIP) is a novel, alternative method for organic semiconductor layer fabrication, where organic powder is compressed into a layer shape directly on a substrate with 200 MPa pressure. Spatial gaps between powder particles and the other particles, substrates, or electrodes are crushed after CIP and HIP, making it possible to operate organic field-effect transistors (OFETs) containing the compressed powder as the semiconductor. The CIP-compressed powder of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) had a hole mobility of (1.6 ± 0.4) × 10–2 cm2/Vs. HIP of C8-BTBT powder increased the hole mobility to an amorphous silicon-like value (0.22 ± 0.07 cm2/Vs) because of the growth of the C8-BTBT crystallites and the improved continuity between the powder particles. The vacuum and solution processes are not involved in our CIP and HIP techniques, offering a possibility of manufacturing OFETs at low cost. PMID:26416434

  3. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  4. Mechanical anomaly impact on metal-oxide-semiconductor capacitors on flexible silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-06-09

    We report the impact of mechanical anomaly on high-κ/metal-oxide-semiconductor capacitors built on flexible silicon (100) fabric. The mechanical tests include studying the effect of bending radius up to 5 mm minimum bending radius with respect to breakdown voltage and leakage current of the devices. We also report the effect of continuous mechanical stress on the breakdown voltage over extended periods of times.

  5. Microplasma fabrication: from semiconductor technology for 2D-chips and microfluidic channels to rapid prototyping and 3D-printing of microplasma devices

    Science.gov (United States)

    Shatford, R.; Karanassios, Vassili

    2014-05-01

    Microplasmas are receiving attention in recent conferences and current scientific literature. In our laboratory, microplasmas-on-chips proved to be particularly attractive. The 2D- and 3D-chips we developed became hybrid because they were fitted with a quartz plate (quartz was used due to its transparency to UV). Fabrication of 2D- and 3D-chips for microplasma research is described. The fabrication methods described ranged from semiconductor fabrication technology, to Computer Numerical Control (CNC) machining, to 3D-printing. These methods may prove to be useful for those contemplating in entering microplasma research but have no access to expensive semiconductor fabrication equipment.

  6. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  7. Scheme for the fabrication of ultrashort channel metal-oxide-semiconductor field-effect transistors

    International Nuclear Information System (INIS)

    Appenzeller, J.; Martel, R.; Solomon, P.; Chan, K.; Avouris, Ph.; Knoch, J.; Benedict, J.; Tanner, M.; Thomas, S.; Wang, K. L.

    2000-01-01

    We present a scheme for the fabrication of ultrashort channel length metal-oxide-semiconductor field-effect transistors (MOSFETs) involving nanolithography and molecular-beam epitaxy. The active channel is undoped and is defined by a combination of nanometer-scale patterning and anisotropic etching of an n ++ layer grown on a silicon on insulator wafer. The method is self-limiting and can produce MOSFET devices with channel lengths of less than 10 nm. Measurements on the first batch of n-MOSFET devices fabricated with this approach show very good output characteristics and good control of short-channel effects. (c) 2000 American Institute of Physics

  8. High-performance semiconductors based on oligocarbazole–thiophene derivatives for solution-fabricated organic field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Gung-Pei; Hsieh, Kuo-Huang, E-mail: khhsieh@ntu.edu.tw

    2013-01-01

    A series of oligocarbazole–thiophenes based on a constant conjugate backbone (carbazole–bithiophene–carbazole) with various n-alkyl chain lengths was prepared for application to organic field-effect transistors (OFETs). The lengths of the n-alkyl substitutions attached on 9-position of carbazole moieties were methyl (CCzT2), hexyl (C6CzT2), dodecyl (C12CzT2), and octadecyl (C18CzT2), called CxCzT2. Variations of n-alkyl chain lengths are proposed to figure out the optimization of OFET performance via solution fabrication of the active layer. Before fabricating OFET devices, the thermal, optical, and electrochemical properties of CxCzT2 were fully characterized with thermogravimetric analysis, differential scanning calorimetry, ultraviolet–visible spectroscopy, and cyclic voltammetry to realize the relationships of the structure to the properties. After fabricating CxCzT2 on Si/SiO{sub 2} substrates via solution casting, the thin film morphologies were also studied with polarizing optical microscopy, atomic force microscopy, and X-ray diffraction to investigate the structural relationship to OFET performance. A higher hole mobility was observed with C12CzT2 (3.6 × 10{sup −2} cm{sup 2} V{sup −1} s{sup −1}) due to its liquid crystal properties, and the hole mobility could be further improved to 1.2 × 10{sup −1} cm{sup 2} V{sup −1} s{sup −1} by the introduction of a phenyl-self-assembled monolayer on the Si/SiO{sub 2} substrates. The excellent OFET performances of C12CzT2 by solution–fabrication could be considered as a promising candidate for high-end OFET application. - Highlights: ► These oligomeric semiconductors were synthesized rapidly. ► The thermal, optical, and electrochemical properties were fully investigated. ► The liquid crystal properties can be obtained via alkyl chain length adjustment. ► These oligomeric semiconductors can be solution-fabricated. ► One of these oligomeric semiconductors yields high field-effect hole

  9. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  10. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  11. Cost-effective large-scale fabrication of diffractive optical elements by using conventional semiconducting processes.

    Science.gov (United States)

    Yoo, Seunghwan; Song, Ho Young; Lee, Junghoon; Jang, Cheol-Yong; Jeong, Hakgeun

    2012-11-20

    In this article, we introduce a simple fabrication method for SiO(2)-based thin diffractive optical elements (DOEs) that uses the conventional processes widely used in the semiconductor industry. Photolithography and an inductively coupled plasma etching technique are easy and cost-effective methods for fabricating subnanometer-scale and thin DOEs with a refractive index of 1.45, based on SiO(2). After fabricating DOEs, we confirmed the shape of the output light emitted from the laser diode light source and applied to a light-emitting diode (LED) module. The results represent a new approach to mass-produce DOEs and realize a high-brightness LED module.

  12. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  14. Identifying the hazard characteristics of powder byproducts generated from semiconductor fabrication processes.

    Science.gov (United States)

    Choi, Kwang-Min; An, Hee-Chul; Kim, Kwan-Sick

    2015-01-01

    Semiconductor manufacturing processes generate powder particles as byproducts which potentially could affect workers' health. The chemical composition, size, shape, and crystal structure of these powder particles were investigated by scanning electron microscopy equipped with an energy dispersive spectrometer, Fourier transform infrared spectrometry, and X-ray diffractometry. The powders generated in diffusion and chemical mechanical polishing processes were amorphous silica. The particles in the chemical vapor deposition (CVD) and etch processes were TiO(2) and Al(2)O(3), and Al(2)O(3) particles, respectively. As for metallization, WO(3), TiO(2), and Al(2)O(3) particles were generated from equipment used for tungsten and barrier metal (TiN) operations. In photolithography, the size and shape of the powder particles showed 1-10 μm and were of spherical shape. In addition, the powders generated from high-current and medium-current processes for ion implantation included arsenic (As), whereas the high-energy process did not include As. For all samples collected using a personal air sampler during preventive maintenance of process equipment, the mass concentrations of total airborne particles were particles less than 10 μm in diameter) using direct-reading aerosol monitor by area sampling were between 0.00 and 0.02 μg/m(3). Although the exposure concentration of airborne particles during preventive maintenance is extremely low, it is necessary to make continuous improvements to the process and work environment, because the influence of chronic low-level exposure cannot be excluded.

  15. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  16. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  17. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  18. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  19. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  20. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  1. Photolithography and Micro-Fabrication/ Packaging Laboratories

    Data.gov (United States)

    Federal Laboratory Consortium — The Photolithography and Micro-Fabrication/Packaging laboratories provide research level semiconductor processing equipment and facilities that do not require a full...

  2. Fabrication of Metallic Hollow Nanoparticles

    Science.gov (United States)

    Kim, Jae-Woo (Inventor); Choi, Sr., Sang H. (Inventor); Lillehei, Peter T. (Inventor); Chu, Sang-Hyon (Inventor); Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor)

    2016-01-01

    Metal and semiconductor nanoshells, particularly transition metal nanoshells, are fabricated using dendrimer molecules. Metallic colloids, metallic ions or semiconductors are attached to amine groups on the dendrimer surface in stabilized solution for the surface seeding method and the surface seedless method, respectively. Subsequently, the process is repeated with additional metallic ions or semiconductor, a stabilizer, and NaBH.sub.4 to increase the wall thickness of the metallic or semiconductor lining on the dendrimer surface. Metallic or semiconductor ions are automatically reduced on the metallic or semiconductor nanoparticles causing the formation of hollow metallic or semiconductor nanoparticles. The void size of the formed hollow nanoparticles depends on the dendrimer generation. The thickness of the metallic or semiconductor thin film around the dendrimer depends on the repetition times and the size of initial metallic or semiconductor seeds.

  3. Novel WSi/Au T-shaped gate GaAs metal-semiconductor field-effect-transistor fabrication process for super low-noise microwave monolithic integrated circuit amplifiers

    International Nuclear Information System (INIS)

    Takano, H.; Hosogi, K.; Kato, T.

    1995-01-01

    A fully ion-implanted self-aligned T-shaped gate Ga As metal-semiconductor field-effect transistor (MESFET) with high frequency and extremely low-noise performance has been successfully fabricated for super low-noise microwave monolithic integrated circuit (MMIC) amplifiers. A subhalf-micrometer gate structure composed of WSi/Ti/Mo/Au is employed to reduce gate resistance effectively. This multilayer gate structure is formed by newly developed dummy SiON self-alignment technology and a photoresist planarization process. At an operating frequency of 12 GHz, a minimum noise figure of 0.87 dB with an associated gain of 10.62 dB has been obtained. Based on the novel FET process, a low-noise single-stage MMIC amplifier with an excellent low-noise figure of 1.2 dB with an associated gain of 8 dB in the 14 GHz band has been realized. This is the lowest noise figure ever reported at this frequency for low-noise MMICs based on ion-implanted self-aligned gate MESFET technology. 14 refs., 9 figs

  4. Fabrication of solid-state secondary battery using semiconductors and evaluation of its charge/discharge characteristics

    Science.gov (United States)

    Sasaki, Atsuya; Sasaki, Akito; Hirabayashi, Hideaki; Saito, Shuichi; Aoki, Katsuaki; Kataoka, Yoshinori; Suzuki, Koji; Yabuhara, Hidehiko; Ito, Takahiro; Takagi, Shigeyuki

    2018-04-01

    Li-ion batteries have attracted interest for use as storage batteries. However, the risk of fire has not yet been resolved. Although solid Li-ion batteries are possible alternatives, their performance characteristics are unsatisfactory. Recently, research on utilizing the accumulation of carriers at the trap levels of semiconductors has been performed. However, the detailed charge/discharge characteristics and principles have not been reported. In this report, we attempted to form new n-type oxide semiconductor/insulator/p-type oxide semiconductor structures. The battery characteristics of these structures were evaluated by charge/discharge measurements. The obtained results clearly indicated the characteristics of rechargeable batteries. Furthermore, the fabricated structure accumulated an approximately 5000 times larger number of carriers than a parallel plate capacitor. Additionally, by constructing circuit models based on the experimental results, the charge/discharge mechanisms were considered. This is the first detailed experimental report on a rechargeable battery that operates without the double injection of ions and electrons.

  5. Fabrication of Superconducting Detectors for Studying the Universe

    Science.gov (United States)

    Brown, Ari-David

    2012-01-01

    Superconducting detectors offer unparalleled means of making astronomical/cosmological observations. Fabrication of these detectors is somewhat unconventional; however, a lot of novel condensed matter physics/materials scientific discoveries and semiconductor fabrication processes can be generated in making these devices.

  6. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  7. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  8. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    International Nuclear Information System (INIS)

    Likhachev, D.V.

    2015-01-01

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  9. Efficient thin-film stack characterization using parametric sensitivity analysis for spectroscopic ellipsometry in semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Likhachev, D.V., E-mail: dmitriy.likhachev@globalfoundries.com

    2015-08-31

    During semiconductor device fabrication, control of the layer thicknesses is an important task for in-line metrology since the correct thickness values are essential for proper device performance. At the present time, ellipsometry is widely used for routine process monitoring and process improvement as well as characterization of various materials in the modern nanoelectronic manufacturing. The wide recognition of this technique is based on its non-invasive, non-intrusive and non-destructive nature, high measurement precision, accuracy and speed, and versatility to characterize practically all types of materials used in modern semiconductor industry (dielectrics, semiconductors, metals, polymers, etc.). However, it requires the use of one of the multi-parameter non-linear optimization methods due to its indirect nature. This fact creates a big challenge for analysis of multilayered structures since the number of simultaneously determined model parameters, for instance, thin film thicknesses and model variables related to film optical properties, should be restricted due to parameter cross-correlations. In this paper, we use parametric sensitivity analysis to evaluate the importance of various model parameters and to suggest their optimal search ranges. In this work, the method is applied practically for analysis of a few structures with up to five-layered film stack. It demonstrates an evidence-based improvement in accuracy of multilayered thin-film thickness measurements which suggests that the proposed approach can be useful for industrial applications. - Highlights: • An improved method for multilayered thin-film stack characterization is proposed. • The screening-type technique based on so-called “elementary effects” was employed. • The model parameters were ranked according to relative importance for model output. • The method is tested using two examples of complex thin-film stack characterization. • The approach can be useful in many practical

  10. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  11. Transparent Oxide Semiconductors for Emerging Electronics

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-11-01

    Transparent oxide electronics have emerged as promising materials to shape the future of electronics. While several n-type oxides have been already studied and demonstrated feasibility to be used as active materials in thin film transistors, high performance p-type oxides have remained elusive. This dissertation is devoted to the study of transparent p-type oxide semiconductor tin monoxide and its use in the fabrication of field effect devices. A complete study on the deposition of tin monoxide thin films by direct current reactive magnetron sputtering is performed. Carrier density, carrier mobility and conductivity are studied over a set of deposition conditions where p-type conduction is observed. Density functional theory simulations are performed in order to elucidate the effect of native defects on carrier mobility. The findings on the electrical properties of SnO thin films are then translated to the fabrication of thin films transistors. The low processing temperature of tin monoxide thin films below 200 oC is shown advantageous for the fabrication of fully transparent and flexible thin film transistors. After careful device engineering, including post deposition annealing temperature, gate dielectric material, semiconductor thickness and source and drain electrodes material, thin film transistors with record device performance are demonstrated, achieving a field effect mobility >6.7 cm2V-1s-1. Device performance is further improved to reach a field effect mobility of 10.8 cm2V-1s-1 in SnO nanowire field effect transistors fabricated from the sputtered SnO thin films and patterned by electron beam lithography. Downscaling device dimension to nano scale is shown beneficial for SnO field effect devices not only by achieving a higher hole mobility but enhancing the overall device performance including better threshold voltage, subthreshold swing and lower number of interfacial defects. Use of p-type semiconductors in nonvolatile memory applications is then

  12. Fabrication of integrated metallic MEMS devices

    DEFF Research Database (Denmark)

    Yalcinkaya, Arda Deniz; Ravnkilde, Jan Tue; Hansen, Ole

    2002-01-01

    A simple and complementary metal oxide semiconductor (CMOS) compatible fabrication technique for microelectromechanical (MEMS) devices is presented. The fabrication technology makes use of electroplated metal layers. Among the fabricated devices, high quality factor microresonators are characteri......A simple and complementary metal oxide semiconductor (CMOS) compatible fabrication technique for microelectromechanical (MEMS) devices is presented. The fabrication technology makes use of electroplated metal layers. Among the fabricated devices, high quality factor microresonators...

  13. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  14. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  15. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  16. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Fabrication of high aspect ratio nanocell lattices by ion beam irradiation

    International Nuclear Information System (INIS)

    Ishikawa, Osamu; Nitta, Noriko; Taniwaki, Masafumi

    2016-01-01

    Highlights: • Nanocell lattice with a high aspect ratio on InSb semiconductor surface was fabricated by ion beam irradiation. • The fabrication technique consisting of top-down and bottom-up processes was performed in FIB. • High aspect ratio of 2 was achieved in nanocell lattice with a 100 nm interval. • The intermediate-flux irradiation is favorable for fabrication of nanocell with a high aspect ratio. - Abstract: A high aspect ratio nanocell lattice was fabricated on the InSb semiconductor surface using the migration of point defects induced by ion beam irradiation. The fabrication technique consisting of the top-down (formation of voids and holes) and bottom-up (growth of voids and holes into nanocells) processes was performed using a focused ion beam (FIB) system. A cell aspect ratio of 2 (cell height/cell diameter) was achieved for the nanocell lattice with a 100 nm dot interval The intermediate-flux ion irradiation during the bottom-up process was found to be optimal for the fabrication of a high aspect ratio nanocell.

  18. Fabrication and operation methods of a one-time programmable (OTP) nonvolatile memory (NVM) based on a metal-oxide-semiconductor structure

    International Nuclear Information System (INIS)

    Cho, Seongjae; Lee, Junghoon; Jung, Sunghun; Park, Sehwan; Park, Byunggook

    2011-01-01

    In this paper, a novel one-time programmable (OTP) nonvolatile memory (NVM) device and its array based on a metal-insulator-semiconductor (MIS) structure is proposed. The Iindividual memory device has a vertical channel of a silicon diode. Historically, OTP memories were widely used for read-only-memories (ROMs), in which the most basic system architecture model was to store central processing unit (CPU) instructions. By grafting the nanoscale fabrication technology and novel structuring onto the concept of the OTP memory, innovative high-density NVM appliances for mobile storage media may be possible. The program operation is performed by breaking down the thin oxide layer between the pn diode structure and the wordline (WL). The programmed state can be identified by an operation that reads the leakage currents through the broken oxide. Since the proposed OTP NVM is based on neither a transistor structure nor a charge storing mechanism, it is highly reliable and functional for realizing the ultra-large scale integration. The operation physics and the fabrication processes are also explained in detail.

  19. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  20. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  1. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  2. ULTRATHIN SILICON MEMBRANES TO STUDY SUPERCURRENT TRANSPORT IN CRYSTALLINE SEMICONDUCTORS

    NARCIS (Netherlands)

    VANHUFFELEN, WM; DEBOER, MJ; KLAPWIJK, TM

    1991-01-01

    We have developed a two-step anisotropic etching process to fabricate thin silicon membranes, used to study supercurrent transport in semiconductor coupled weak links. The process uses a shallow BF2+ implantation, and permits easy control of membrane thickness less-than-or-equal-to 100 nm.

  3. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  4. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  5. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  6. Tunable radiation emitting semiconductor device

    NARCIS (Netherlands)

    2009-01-01

    A tunable radiation emitting semiconductor device includes at least one elongated structure at least partially fabricated from one or more semiconductor materials exhibiting a bandgap characteristic including one or more energy transitions whose energies correspond to photon energies of light

  7. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  8. Fabrication of miniaturized electrostatic deflectors using LIGA

    International Nuclear Information System (INIS)

    Jackson, K.H.; Khan-Malek, C.; Muray, L.P.

    1997-01-01

    Miniaturized electron beam columns (open-quotes microcolumnsclose quotes) have been demonstrated to be suitable candidates for scanning electron microscopy (SEM), e-beam lithography and other high resolution, low voltage applications. In the present technology, microcolumns consist of open-quotes selectively scaledclose quotes micro-sized lenses and apertures, fabricated from silicon membranes with e-beam lithography, reactive ion beam etching and other semiconductor thin-film techniques. These miniaturized electron-optical elements provide significant advantages over conventional optics in performance and ease of fabrication. Since lens aberrations scale roughly with size, it is possible to fabricate simple microcolumns with extremely high brightness sources and electrostatic objective lenses, with resolution and beam current comparable to conventional e-beam columns. Moreover since microcolumns typically operate at low voltages (1 KeV), the proximity effects encountered in e-beam lithography become negligible. For high throughput applications, batch fabrication methods may be used to build large parallel arrays of microcolumns. To date, the best reported performance with a 1 keV cold field emission cathode, is 30 nm resolution at a working distance of 2mm in a 3.5mm column. Fabrication of the microcolumn deflector and stigmator, however, have remained beyond the capabilities of conventional machining operations and semiconductor processing technology. This work examines the LIGA process as a superior alternative to fabrication of the deflectors, especially in terms of degree of miniaturization, dimensional control, placement accuracy, run-out, facet smoothness and choice of suitable materials. LIGA is a combination of deep X-ray lithography, electroplating, and injection molding processes which allow the fabrication of microstructures

  9. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  10. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  11. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  12. Cascade reactor: granule fabrication processes

    International Nuclear Information System (INIS)

    Erlandson, O.D.; Winkler, E.O.; Maya, I.; Pitts, J.H.

    1985-01-01

    A key feature of Cascade is the granular blanket. Of the many blanket material options open to Cascade, fabrication of Li 2 O granules was felt to offer the greatest challenge. The authors explored available methods for initial Li 2 O granule fabrication. They identified three cost-effective processes for fabricating Li 2 O granules: the VSM drop-melt furnace process, which is based on melting and spheroidizing irregularly shaped Li 2 O feed granules; the LiOH process, which spheroidizes liquefied LiOH and uses GA Technologies' sphere-forming procedures; and the Li 2 CO 3 sol-gel process, used for making spherical fuel particles for the high-temperature gas-cooled reactor (HTGR). Each process is described below

  13. Colloidal Sb2S3 Nanocrystals: Synthesis, Characterization and Fabrication of Solid-State Semiconductor Sensitized Solar Cell

    KAUST Repository

    Abulikemu, Mutalifu

    2015-12-26

    Inorganic nanocrystals composed of earth-abundant and non-toxic elements are crucial to fabricated sustainable photovoltaic devices in large scale. In this study, various-shaped and different phases of antimony sulfide nanocrystals, which is composed of non-scarce and non-toxic elements, are synthesized using hot-injection colloidal method. The effect of various synthetic parameters on the final morphology is explored. Also, foreign ion (Chlorine) effects on the morphology of Sb2S3 nanocrystals have been observed. Structural, optical and morphological properties of the nanocrystals were investigated, and Sb2S3 nanocrystal-based solid-state semiconductor-sensitized solar cells were fabricated using as-prepared nanocrystals. We achieved promising power conversion efficiencies of 1.48%.

  14. Colloidal Sb2S3 Nanocrystals: Synthesis, Characterization and Fabrication of Solid-State Semiconductor Sensitized Solar Cell

    KAUST Repository

    Abulikemu, Mutalifu; Del Gobbo, Silvano; Anjum, Dalaver H.; Malik, Mohammad A; Bakr, Osman

    2015-01-01

    Inorganic nanocrystals composed of earth-abundant and non-toxic elements are crucial to fabricated sustainable photovoltaic devices in large scale. In this study, various-shaped and different phases of antimony sulfide nanocrystals, which is composed of non-scarce and non-toxic elements, are synthesized using hot-injection colloidal method. The effect of various synthetic parameters on the final morphology is explored. Also, foreign ion (Chlorine) effects on the morphology of Sb2S3 nanocrystals have been observed. Structural, optical and morphological properties of the nanocrystals were investigated, and Sb2S3 nanocrystal-based solid-state semiconductor-sensitized solar cells were fabricated using as-prepared nanocrystals. We achieved promising power conversion efficiencies of 1.48%.

  15. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  16. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  17. NIOSH Field Studies Team Assessment: Worker Exposure to Aerosolized Metal Oxide Nanoparticles in a Semiconductor Fabrication Facility

    OpenAIRE

    Brenner, Sara A.; Neu-Baker, Nicole M.; Eastlake, Adrienne C.; Beaucham, Catherine C.; Geraci, Charles L.

    2016-01-01

    The ubiquitous use of engineered nanomaterials – particulate materials measuring approximately 1–100 nanometers (nm) on their smallest axis, intentionally engineered to express novel properties – in semiconductor fabrication poses unique issues for protecting worker health and safety. Use of new substances or substances in a new form may present hazards that have yet to be characterized for their acute or chronic health effects. Uncharacterized or emerging occupational health hazards may exis...

  18. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  19. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  20. Fabrication of laser-target components by semiconductor technology

    International Nuclear Information System (INIS)

    Tindall, W.E.

    1979-01-01

    This paper describes the design and fabrication of a unique silicon substrate with which laser-target components can be mass produced. Different sizes and shapes of gold foils from 50 to 3000 microns in diameter and up to 25 microns thick have been produced with this process since 1976

  1. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  2. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  3. The simulation of air recirculation and fire/explosion phenomena within a semiconductor factory

    International Nuclear Information System (INIS)

    I, Yet-Pole; Chiu, Y.-L.; Wu, S.-J.

    2009-01-01

    The semiconductor industry is the collection of capital-intensive firms that employ a variety of hazardous chemicals and engage in the design and fabrication of semiconductor devices. Owing to its processing characteristics, the fully confined structure of the fabrication area (fab) and the vertical airflow ventilation design restrict the applications of traditional consequence analysis techniques that are commonly used in other industries. The adverse situation also limits the advancement of a fire/explosion prevention design for the industry. In this research, a realistic model of a semiconductor factory with a fab, sub-fabrication area, supply air plenum, and return air plenum structures was constructed and the computational fluid dynamics algorithm was employed to simulate the possible fire/explosion range and its severity. The semiconductor factory has fan module units with high efficiency particulate air filters that can keep the airflow uniform within the cleanroom. This condition was modeled by 25 fans, three layers of porous ceiling, and one layer of porous floor. The obtained results predicted very well the real airflow pattern in the semiconductor factory. Different released gases, leak locations, and leak rates were applied to investigate their influence on the hazard range and severity. Common mitigation measures such as a water spray system and a pressure relief panel were also provided to study their potential effectiveness to relieve thermal radiation and overpressure hazards within a fab. The semiconductor industry can use this simulation procedure as a reference on how to implement a consequence analysis for a flammable gas release accident within an air recirculation cleanroom

  4. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Ion traps fabricated in a CMOS foundry

    Energy Technology Data Exchange (ETDEWEB)

    Mehta, K. K.; Ram, R. J. [Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Eltony, A. M.; Chuang, I. L. [Center for Ultracold Atoms, Research Laboratory of Electronics and Department of Physics, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Bruzewicz, C. D.; Sage, J. M., E-mail: jsage@ll.mit.edu; Chiaverini, J., E-mail: john.chiaverini@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2014-07-28

    We demonstrate trapping in a surface-electrode ion trap fabricated in a 90-nm CMOS (complementary metal-oxide-semiconductor) foundry process utilizing the top metal layer of the process for the trap electrodes. The process includes doped active regions and metal interconnect layers, allowing for co-fabrication of standard CMOS circuitry as well as devices for optical control and measurement. With one of the interconnect layers defining a ground plane between the trap electrode layer and the p-type doped silicon substrate, ion loading is robust and trapping is stable. We measure a motional heating rate comparable to those seen in surface-electrode traps of similar size. This demonstration of scalable quantum computing hardware utilizing a commercial CMOS process opens the door to integration and co-fabrication of electronics and photonics for large-scale quantum processing in trapped-ion arrays.

  6. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  7. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  8. Semiconductor laser using multimode interference principle

    Science.gov (United States)

    Gong, Zisu; Yin, Rui; Ji, Wei; Wu, Chonghao

    2018-01-01

    Multimode interference (MMI) structure is introduced in semiconductor laser used in optical communication system to realize higher power and better temperature tolerance. Using beam propagation method (BPM), Multimode interference laser diode (MMI-LD) is designed and fabricated in InGaAsP/InP based material. As a comparison, conventional semiconductor laser using straight single-mode waveguide is also fabricated in the same wafer. With a low injection current (about 230 mA), the output power of the implemented MMI-LD is up to 2.296 mW which is about four times higher than the output power of the conventional semiconductor laser. The implemented MMI-LD exhibits stable output operating at the wavelength of 1.52 μm and better temperature tolerance when the temperature varies from 283.15 K to 293.15 K.

  9. Progress in nanoscale dry processes for fabrication of high-aspect-ratio features: How can we control critical dimension uniformity at the bottom?

    Science.gov (United States)

    Ishikawa, Kenji; Karahashi, Kazuhiro; Ishijima, Tatsuo; Cho, Sung Il; Elliott, Simon; Hausmann, Dennis; Mocuta, Dan; Wilson, Aaron; Kinoshita, Keizo

    2018-06-01

    In this review, we discuss the progress of emerging dry processes for nanoscale fabrication of high-aspect-ratio features, including emerging design technology for manufacturability. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands of nanoscale deposition and etching technologies for high-aspect-ratio features. The discussion of our atomic-scale understanding of physicochemical reactions involving ion bombardment and neutral transport presents the major challenges shared across the plasma science and technology community. Focus is placed on advances in fabrication technology that control surface reactions on three-dimensional features, as well as state-of-the-art techniques used in semiconductor manufacturing with a brief summary of future challenges.

  10. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  11. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  12. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  13. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  14. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  15. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  16. Plasmonic doped semiconductor nanocrystals: Properties, fabrication, applications and perspectives

    Science.gov (United States)

    Kriegel, Ilka; Scotognella, Francesco; Manna, Liberato

    2017-02-01

    Degenerately doped semiconductor nanocrystals (NCs) are of recent interest to the NC community due to their tunable localized surface plasmon resonances (LSPRs) in the near infrared (NIR). The high level of doping in such materials with carrier densities in the range of 1021cm-3 leads to degeneracy of the doping levels and intense plasmonic absorption in the NIR. The lower carrier density in degenerately doped semiconductor NCs compared to noble metals enables LSPR tuning over a wide spectral range, since even a minor change of the carrier density strongly affects the spectral position of the LSPR. Two classes of degenerate semiconductors are most relevant in this respect: impurity doped semiconductors, such as metal oxides, and vacancy doped semiconductors, such as copper chalcogenides. In the latter it is the density of copper vacancies that controls the carrier concentration, while in the former the introduction of impurity atoms adds carriers to the system. LSPR tuning in vacancy doped semiconductor NCs such as copper chalcogenides occurs by chemically controlling the copper vacancy density. This goes in hand with complex structural modifications of the copper chalcogenide crystal lattice. In contrast the LSPR of degenerately doped metal oxide NCs is modified by varying the doping concentration or by the choice of host and dopant atoms, but also through the addition of capacitive charge carriers to the conduction band of the metal oxide upon post-synthetic treatments, such as by electrochemical- or photodoping. The NIR LSPRs and the option of their spectral fine-tuning make accessible important new features, such as the controlled coupling of the LSPR to other physical signatures or the enhancement of optical signals in the NIR, sensing application by LSPR tracking, energy production from the NIR plasmon resonance or bio-medical applications in the biological window. In this review we highlight the recent advances in the synthesis of various different plasmonic

  17. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  18. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  19. Flexible MEMS: A novel technology to fabricate flexible sensors and electronics

    Science.gov (United States)

    Tu, Hongen

    This dissertation presents the design and fabrication techniques used to fabricate flexible MEMS (Micro Electro Mechanical Systems) devices. MEMS devices and CMOS(Complementary Metal-Oxide-Semiconductor) circuits are traditionally fabricated on rigid substrates with inorganic semiconductor materials such as Silicon. However, it is highly desirable that functional elements like sensors, actuators or micro fluidic components to be fabricated on flexible substrates for a wide variety of applications. Due to the fact that flexible substrate is temperature sensitive, typically only low temperature materials, such as polymers, metals, and organic semiconductor materials, can be directly fabricated on flexible substrates. A novel technology based on XeF2(xenon difluoride) isotropic silicon etching and parylene conformal coating, which is able to monolithically incorporate high temperature materials and fluidic channels, was developed at Wayne State University. The technology was first implemented in the development of out-of-plane parylene microneedle arrays that can be individually addressed by integrated flexible micro-channels. These devices enable the delivery of chemicals with controlled temporal and spatial patterns and allow us to study neurotransmitter-based retinal prosthesis. The technology was further explored by adopting the conventional SOI-CMOS processes. High performance and high density CMOS circuits can be first fabricated on SOI wafers, and then be integrated into flexible substrates. Flexible p-channel MOSFETs (Metal-Oxide-Semiconductor Field-Effect-Transistors) were successfully integrated and tested. Integration of pressure sensors and flow sensors based on single crystal silicon has also been demonstrated. A novel smart yarn technology that enables the invisible integration of sensors and electronics into fabrics has been developed. The most significant advantage of this technology is its post-MEMS and post-CMOS compatibility. Various high

  20. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  1. Fabrication and Characterisation of Low-noise Monolithic Mode-locked Lasers

    DEFF Research Database (Denmark)

    Larsson, David

    2007-01-01

    This thesis deals with the fabrication and characterisation of monolithic semiconductor mode-locked lasers for use in optical communication systems. Other foreseeable applications may be as sources in microwave photonics and optical sampling. The thesis also deals with the design and fabrication...... of intracavity monolithically integrated filters. The common dnominator among the diffrent parts of the thesis is how to achieve and measure the lowest possible noise. Achieving low noise has been pinpointed as one of the most important and difficult challenges for semiconductor mode-locked lasers. The main...... result of this thesis are a fabrication process of a monolithic and deeply etched distributed Bragg reflector and a characterisation system for measurement of quantum limitid timing noise at high repetition rates. The Bragg reflector is a key component in achieving transform limited pulses with low noise...

  2. Wafer-scale laser pantography: Fabrication of n-metal-oxide-semiconductor transistors and small-scale integrated circuits by direct-write laser-induced pyrolytic reactions

    International Nuclear Information System (INIS)

    McWilliams, B.M.; Herman, I.P.; Mitlitsky, F.; Hyde, R.A.; Wood, L.L.

    1983-01-01

    A complete set of processes sufficient for manufacture of n-metal-oxide-semiconductor (n-MOS) transistors by a laser-induced direct-write process has been demonstrated separately, and integrated to yield functional transistors. Gates and interconnects were fabricated of various combinations of n-doped and intrinsic polysilicon, tungsten, and tungsten silicide compounds. Both 0.1-μm and 1-μm-thick gate oxides were micromachined with and without etchant gas, and the exposed p-Si [100] substrate was cleaned and, at times, etched. Diffusion regions were doped by laser-induced pyrolytic decomposition of phosphine followed by laser annealing. Along with the successful manufacture of working n-MOS transistors and a set of elementary digital logic gates, this letter reports the successful use of several laser-induced surface reactions that have not been reported previously

  3. Optimum processing parameters for the fabrication of twill flax fabric-reinforced polypropylene (PP) composites

    Science.gov (United States)

    Zuhudi, Nurul Zuhairah Mahmud; Minhat, Mulia; Shamsuddin, Mohd Hafizi; Isa, Mohd Dali; Nur, Nurhayati Mohd

    2017-12-01

    In recent years, natural fabric thermoplastic composites such as flax have received much attention due to its attractive capabilities for structural applications. It is crucial to study the processing of flax fabric materials in order to achieve good quality and cost-effectiveness in fibre reinforced composites. Though flax fabric has been widely utilized for several years in composite applications due to its high strength and abundance in nature, much work has been concentrated on short flax fibre and very little work focused on using flax fabric. The effectiveness of the flax fabric is expected to give higher strength performance due to its structure but the processing needs to be optimised. Flax fabric composites were fabricated using compression moulding due to its simplicity, gives good surface finish and relatively low cost in terms of labour and production. Further, the impregnation of the polymer into the fabric is easier in this process. As the fabric weave structure contributes to the impregnation quality which leads to the overall performance, the processing parameters of consolidation i.e. pressure, time, and weight fraction of fabric were optimized using the Taguchi method. This optimization enhances the consolidation quality of the composite by improving the composite mechanical properties, three main tests were conducted i.e. tensile, flexural and impact test. It is observed that the processing parameter significantly affected the consolidation and quality of composite.

  4. Vertically contacting ultrathin semiconductor nanomembranes by rolled-up metallic contacts incorporating selective etching techniques

    Energy Technology Data Exchange (ETDEWEB)

    Thurmer, Dominic J.; Bof Bufon, Carlos Cesar; Deneke, Christoph [IFW Dresden, Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, Dresden (Germany); TU Chemnitz, Chemnitz (Germany)

    2011-07-01

    Merging modern self-assembly techniques with well established top-down processing methods is paving the way for more sophisticated device generations in the future. Nanomembranes, composed of many different material classes, have already been shown to provide the necessary framework for a diverse range of structures and devices incorporating wrinkling, buckling, folding and rolling of thin films. In the past decade, an elegant symbiosis of bottom-up and top-down methods has emerged to fabricate hybrid layer systems incorporating the controlled release and rearrangement of inherently strained layers. Using selective III-V etchants in combination with inherently strained layers we are able to fabricate structures which allow us to contact through single and multi-material semiconductor nanomembrane creating many devices in parallel and on the original semiconductor substrate. We demonstrate this technique by creating hybrid superconducting junctions created by sandwiching the semiconductor nanomembrane between two superconducting contacts. Using solely optical lithography techniques we are able to form junctions with lateral dimensions of a few micrometers and a semiconductor barrier thickness of down to 5 nm.

  5. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  6. Fabrication and Characterization of Copper System Compound Semiconductor Solar Cells

    Directory of Open Access Journals (Sweden)

    Ryosuke Motoyoshi

    2010-01-01

    Full Text Available Copper system compound semiconductor solar cells were produced by a spin-coating method, and their cell performance and structures were investigated. Copper indium disulfide- (CIS- based solar cells with titanium dioxide (TiO2 were produced on F-doped SnO2 (FTO. A device based on an FTO/CIS/TiO2 structure provided better cell performance compared to that based on FTO/TiO2/CIS structure. Cupric oxide- (CuO- and cuprous oxide- (Cu2O- based solar cells with fullerene (C60 were also fabricated on FTO and indium tin oxide (ITO. The microstructure and cell performance of the CuO/C60 heterojunction and the Cu2O:C60 bulk heterojunction structure were investigated. The photovoltaic devices based on FTO/CuO/C60 and ITO/Cu2O:C60 structures provided short-circuit current density of 0.015 mAcm−2 and 0.11 mAcm−2, and open-circuit voltage of 0.045 V and 0.17 V under an Air Mass 1.5 illumination, respectively. The microstructures of the active layers were examined by X-ray diffraction and transmission electron microscopy.

  7. Progress in semiconductor laser diodes: SPIE volume 723

    International Nuclear Information System (INIS)

    Eichen, E.

    1987-01-01

    This book contains proceedings arranged under the following session headings: High power diode lasers; single emitters and arrays; Ultrahigh speed modulation of semiconductor diode lasers; Coherence and linewidth stabilized semiconductor lasers; and Growth, fabrication, and evaluation of laser diodes

  8. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  9. Quantum control and process tomography of a semiconductor quantum dot hybrid qubit.

    Science.gov (United States)

    Kim, Dohun; Shi, Zhan; Simmons, C B; Ward, D R; Prance, J R; Koh, Teck Seng; Gamble, John King; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, Mark A

    2014-07-03

    The similarities between gated quantum dots and the transistors in modern microelectronics--in fabrication methods, physical structure and voltage scales for manipulation--have led to great interest in the development of quantum bits (qubits) in semiconductor quantum dots. Although quantum dot spin qubits have demonstrated long coherence times, their manipulation is often slower than desired for important future applications, such as factoring. Furthermore, scalability and manufacturability are enhanced when qubits are as simple as possible. Previous work has increased the speed of spin qubit rotations by making use of integrated micromagnets, dynamic pumping of nuclear spins or the addition of a third quantum dot. Here we demonstrate a qubit that is a hybrid of spin and charge. It is simple, requiring neither nuclear-state preparation nor micromagnets. Unlike previous double-dot qubits, the hybrid qubit enables fast rotations about two axes of the Bloch sphere. We demonstrate full control on the Bloch sphere with π-rotation times of less than 100 picoseconds in two orthogonal directions, which is more than an order of magnitude faster than any other double-dot qubit. The speed arises from the qubit's charge-like characteristics, and its spin-like features result in resistance to decoherence over a wide range of gate voltages. We achieve full process tomography in our electrically controlled semiconductor quantum dot qubit, extracting high fidelities of 85 per cent for X rotations (transitions between qubit states) and 94 per cent for Z rotations (phase accumulation between qubit states).

  10. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  11. Low-voltage organic electronics based on a gate-tunable injection barrier in vertical graphene-organic semiconductor heterostructures.

    Science.gov (United States)

    Hlaing, Htay; Kim, Chang-Hyun; Carta, Fabio; Nam, Chang-Yong; Barton, Rob A; Petrone, Nicholas; Hone, James; Kymissis, Ioannis

    2015-01-14

    The vertical integration of graphene with inorganic semiconductors, oxide semiconductors, and newly emerging layered materials has recently been demonstrated as a promising route toward novel electronic and optoelectronic devices. Here, we report organic thin film transistors based on vertical heterojunctions of graphene and organic semiconductors. In these thin heterostructure devices, current modulation is accomplished by tuning of the injection barriers at the semiconductor/graphene interface with the application of a gate voltage. N-channel devices fabricated with a thin layer of C60 show a room temperature on/off ratio >10(4) and current density of up to 44 mAcm(-2). Because of the ultrashort channel intrinsic to the vertical structure, the device is fully operational at a driving voltage of 200 mV. A complementary p-channel device is also investigated, and a logic inverter based on two complementary transistors is demonstrated. The vertical integration of graphene with organic semiconductors via simple, scalable, and low-temperature fabrication processes opens up new opportunities to realize flexible, transparent organic electronic, and optoelectronic devices.

  12. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  13. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  14. High performance printed oxide field-effect transistors processed using photonic curing

    Science.gov (United States)

    Garlapati, Suresh Kumar; Cadilha Marques, Gabriel; Gebauer, Julia Susanne; Dehm, Simone; Bruns, Michael; Winterer, Markus; Baradaran Tahoori, Mehdi; Aghassi-Hagmann, Jasmin; Hahn, Horst; Dasgupta, Subho

    2018-06-01

    Oxide semiconductors are highly promising candidates for the most awaited, next-generation electronics, namely, printed electronics. As a fabrication route for the solution-processed/printed oxide semiconductors, photonic curing is becoming increasingly popular, as compared to the conventional thermal curing method; the former offers numerous advantages over the latter, such as low process temperatures and short exposure time and thereby, high throughput compatibility. Here, using dissimilar photonic curing concepts (UV–visible light and UV-laser), we demonstrate facile fabrication of high performance In2O3 field-effect transistors (FETs). Beside the processing related issues (temperature, time etc.), the other known limitation of oxide electronics is the lack of high performance p-type semiconductors, which can be bypassed using unipolar logics from high mobility n-type semiconductors alone. Interestingly, here we have found that our chosen distinct photonic curing methods can offer a large variation in threshold voltage, when they are fabricated from the same precursor ink. Consequently, both depletion and enhancement-mode devices have been achieved which can be used as the pull-up and pull-down transistors in unipolar inverters. The present device fabrication recipe demonstrates fast processing of low operation voltage, high performance FETs with large threshold voltage tunability.

  15. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  16. Process for fabrication of cermets

    Science.gov (United States)

    Landingham, Richard L [Livermore, CA

    2011-02-01

    Cermet comprising ceramic and metal components and a molten metal infiltration method and process for fabrication thereof. The light weight cermets having improved porosity, strength, durability, toughness, elasticity fabricated from presintered ceramic powder infiltrated with a molten metal or metal alloy. Alumina titanium cermets biocompatible with the human body suitable for bone and joint replacements.

  17. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  19. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  20. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  1. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  2. U-10Mo Baseline Fuel Fabrication Process Description

    Energy Technology Data Exchange (ETDEWEB)

    Hubbard, Lance R. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Arendt, Christina L. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Dye, Daniel F. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Clayton, Christopher K. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Lerchen, Megan E. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Lombardo, Nicholas J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Lavender, Curt A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Zacher, Alan H. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2017-09-27

    This document provides a description of the U.S. High Power Research Reactor (USHPRR) low-enriched uranium (LEU) fuel fabrication process. This document is intended to be used in conjunction with the baseline process flow diagram (PFD) presented in Appendix A. The baseline PFD is used to document the fabrication process, communicate gaps in technology or manufacturing capabilities, convey alternatives under consideration, and as the basis for a dynamic simulation model of the fabrication process. The simulation model allows for the assessment of production rates, costs, and manufacturing requirements (manpower, fabrication space, numbers and types of equipment, etc.) throughout the lifecycle of the USHPRR program. This document, along with the accompanying PFD, is updated regularly

  3. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  4. Semiconductor X-ray spectrometers

    International Nuclear Information System (INIS)

    Muggleton, A.H.F.

    1978-02-01

    An outline is given of recent developments in particle and photon induced x-ray fluorescence (XRF) analysis. Following a brief description of the basic mechanism of semiconductor detector operation a comparison is made between semiconductor detectors, scintillators and gas filled proportional devices. Detector fabrication and cryostat design are described in more detail and the effects of various device parameters on system performance, such as energy resolution, count rate capability, efficiency, microphony, etc. are discussed. The main applications of these detectors in x-ray fluorescence analysis, electron microprobe analysis, medical and pollution studies are reviewed

  5. Graphene photodetectors with a bandwidth  >76 GHz fabricated in a 6″ wafer process line

    Science.gov (United States)

    Schall, Daniel; Porschatis, Caroline; Otto, Martin; Neumaier, Daniel

    2017-03-01

    In recent years, the data traffic has grown exponentially and the forecasts indicate a huge market that could be addressed by communication infrastructure and service providers. However, the processing capacity, space, and energy consumption of the available technology is a serious bottleneck for the exploitation of these markets. Chip-integrated optical communication systems hold the promise of significantly improving these issues related to the current technology. At the moment, the answer to the question which material is best suited for ultrafast chip integrated communication systems is still open. In this manuscript we report on ultrafast graphene photodetectors with a bandwidth of more than 76 GHz well suitable for communication links faster than 100 GBit s-1 per channel. We extract an upper value of 7.2 ps for the timescale in which the bolometric photoresponse in graphene is generated. The photodetectors were fabricated on 6″ silicon-on-insulator wafers in a semiconductor pilot line, demonstrating the scalable fabrication of high-performance graphene based devices.

  6. Fabrication of assembled ZnO/TiO2 heterojunction thin film transistors using solution processing technique

    Science.gov (United States)

    Liau, Leo Chau-Kuang; Lin, Yun-Guo

    2015-01-01

    Ceramic-based metal-oxide-semiconductor (MOS) field-effect thin film transistors (TFTs), which were assembled by ZnO and TiO2 heterojunction films coated using solution processing technique, were fabricated and characterized. The fabrication of the device began with the preparation of ZnO and TiO2 films by spin coating. The ZnO and TiO2 films that were stacked together and annealed at 450 °C were characterized as a p-n junction diode. Two types of the devices, p-channel and n-channel TFTs, were produced using different assemblies of ZnO and TiO2 films. Results show that the p-channel TFTs (p-TFTs) and n-channel TFTs (n-TFTs) using the assemblies of ZnO and TiO2 films were demonstrated by source-drain current vs. drain voltage (IDS-VDS) measurements. Several electronic properties of the p- and n- TFTs, such as threshold voltage (Vth), on-off ratio, channel mobility, and subthreshold swing (SS), were determined by current-voltage (I-V) data analysis. The ZnO/TiO2-based TFTs can be produced using solution processing technique and an assembly approach.

  7. Characterization of a fully resonant, 1-MHz, 25-watt, DC/DC converter fabricated in a rad-hard BiCMOS/high-voltage process

    International Nuclear Information System (INIS)

    Titus, J.L.; Gehlhausen, M.A.; Desko, J.C. Jr.; Nguyen, T.T.; Roberts, D.J.; Shibib, M.A.; Hollenbach, K.E.

    1995-01-01

    This paper presents the characterization of a DC/DC converter prototype when its power integrated circuit (PIC) chip is exposed to total dose, dose rate, neutron, and heavy ion environments. This fully resonant, 1-MHZ, 25-Watt, DC/DC converter is composed of a brassboard, populated with input/output filters, isolation transformers, output rectifier, capacitors, resistors, and PIC chip, integrating the primary-side control circuitry, secondary-side control circuitry, power switch, gate-drive circuitry, and voltage references. The brassboard is built using commercial off-the-shelf components; and the PIC chip is fabricated using AT and T's rad-hard, bipolar complementary metal-oxide semiconductor (BiCMOS)/high-voltage process. The intent of this paper is to demonstrate that the PIC chip is fabricated with a radiation-hardened process and to demonstrate that various analog, digital, and power functions can be effectively integrated

  8. Fabricating an S&OP Process

    DEFF Research Database (Denmark)

    Lichen, Alex Yu

    , constituents of the S&OP process are dispersed in diverse local times and spaces rather than being coordinated in a single time and space by the group demand chain. Accounting is a set of matters of concern. The S&OP process and its purpose of integration come from an “absolute nothingness” – its minimal......Inspired by Latour’s (2005a) notion of matters of concern and M.C. Escher’s Circle Limit III as a representation of the Poincaré Disk, this study follows how an S&OP process was fabricated in a large Swedish manufacturing company. The study claims that when actors are fabricating the S&OP process......, local actors create emergent, ongoing and multiple matters of concern around it. The group demand chain, the actor who is responsible for guiding the implementation of the process, delegates the attempts to close these matters of concern to local actors located in separate times and spaces. As a result...

  9. Optimizing The DSSC Fabrication Process Using Lean Six Sigma

    Science.gov (United States)

    Fauss, Brian

    Alternative energy technologies must become more cost effective to achieve grid parity with fossil fuels. Dye sensitized solar cells (DSSCs) are an innovative third generation photovoltaic technology, which is demonstrating tremendous potential to become a revolutionary technology due to recent breakthroughs in cost of fabrication. The study here focused on quality improvement measures undertaken to improve fabrication of DSSCs and enhance process efficiency and effectiveness. Several quality improvement methods were implemented to optimize the seven step individual DSSC fabrication processes. Lean Manufacturing's 5S method successfully increased efficiency in all of the processes. Six Sigma's DMAIC methodology was used to identify and eliminate each of the root causes of defects in the critical titanium dioxide deposition process. These optimizations resulted with the following significant improvements in the production process: 1. fabrication time of the DSSCs was reduced by 54 %; 2. fabrication procedures were improved to the extent that all critical defects in the process were eliminated; 3. the quantity of functioning DSSCs fabricated was increased from 17 % to 90 %.

  10. Use of radioactive tracers in the semiconductor industry

    International Nuclear Information System (INIS)

    Akerman, Karol

    1975-01-01

    Manufacture of the semiconductor materials comprises production and purification of the raw materials (GeC14 or SiHC13), purification of the elemental semiconductors by metallurgical methods (including zone melting), production and doping of single crystals, dividing the crystals into slices of suitable size, formation of p-n junctions and fabrication of the finished semiconductor devices. In the sequence of operations, the behavior of very small quantities of an element must be monitored, and radioactive tracers are often used to solve these problems. Examples are given of the use of radioactive tracers in the semiconductor industry

  11. A soft lithographic approach to fabricate InAs nanowire field-effect transistors

    DEFF Research Database (Denmark)

    Lee, S. H.; Shin, S.-H.; Madsen, Morten

    2018-01-01

    The epitaxial layer transfer process was previously introduced to integrate high-quality and ultrathin III-V compound semiconductor layers on any substrate. However, this technique has limitation for fabrication of sub-micron nanoribbons due to the diffraction limit of photolithography. In order ...

  12. Fabrication of Circuit QED Quantum Processors, Part 2: Advanced Semiconductor Manufacturing Perspectives

    Science.gov (United States)

    Michalak, D. J.; Bruno, A.; Caudillo, R.; Elsherbini, A. A.; Falcon, J. A.; Nam, Y. S.; Poletto, S.; Roberts, J.; Thomas, N. K.; Yoscovits, Z. R.; Dicarlo, L.; Clarke, J. S.

    Experimental quantum computing is rapidly approaching the integration of sufficient numbers of quantum bits for interesting applications, but many challenges still remain. These challenges include: realization of an extensible design for large array scale up, sufficient material process control, and discovery of integration schemes compatible with industrial 300 mm fabrication. We present recent developments in extensible circuits with vertical delivery. Toward the goal of developing a high-volume manufacturing process, we will present recent results on a new Josephson junction process that is compatible with current tooling. We will then present the improvements in NbTiN material uniformity that typical 300 mm fabrication tooling can provide. While initial results on few-qubit systems are encouraging, advanced processing control is expected to deliver the improvements in qubit uniformity, coherence time, and control required for larger systems. Research funded by Intel Corporation.

  13. Pump spot size dependent lasing threshold in organic semiconductor DFB lasers fabricated via nanograting transfer.

    Science.gov (United States)

    Liu, Xin; Klinkhammer, Sönke; Wang, Ziyao; Wienhold, Tobias; Vannahme, Christoph; Jakobs, Peter-Jürgen; Bacher, Andreas; Muslija, Alban; Mappes, Timo; Lemmer, Uli

    2013-11-18

    Optically excited organic semiconductor distributed feedback (DFB) lasers enable efficient lasing in the visible spectrum. Here, we report on the rapid and parallel fabrication of DFB lasers via transferring a nanograting structure from a flexible mold onto an unstructured film of the organic gain material. This geometrically well-defined structure allows for a systematic investigation of the laser threshold behavior. The laser thresholds for these devices show a strong dependence on the pump spot diameter. This experimental finding is in good qualitative agreement with calculations based on coupled-wave theory. With further investigations on various DFB laser geometries prepared by different routes and based on different organic gain materials, we found that these findings are quite general. This is important for the comparison of threshold values of various devices characterized under different excitation areas.

  14. Pump spot size dependent lasing threshold in organic semiconductor DFB lasers fabricated via nanograting transfer

    DEFF Research Database (Denmark)

    Liu, Xin; Klinkhammer, Sönke; Wang, Ziyao

    2013-01-01

    material. This geometrically well-defined structure allows for a systematic investigation of the laser threshold behavior. The laser thresholds for these devices show a strong dependence on the pump spot diameter. This experimental finding is in good qualitative agreement with calculations based on coupled......Optically excited organic semiconductor distributed feedback (DFB) lasers enable efficient lasing in the visible spectrum. Here, we report on the rapid and parallel fabrication of DFB lasers via transferring a nanograting structure from a flexible mold onto an unstructured film of the organic gain......-wave theory. With further investigations on various DFB laser geometries prepared by different routes and based on different organic gain materials, we found that these findings are quite general. This is important for the comparison of threshold values of various devices characterized under different...

  15. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    International Nuclear Information System (INIS)

    Li Chensha; Loutfy, Rafik O; Li Yuning; Wu Yiliang; Ong, Beng S

    2008-01-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process

  16. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Li Chensha; Loutfy, Rafik O [Department of Chemical Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario L8S 4L7 (Canada); Li Yuning; Wu Yiliang; Ong, Beng S [Materials Design and Integration Laboratory, Xerox Research Centre of Canada, 2660 Speakman Drive, Mississauga, Ontario L5K 2L1 (Canada)], E-mail: lichnsa@163.com

    2008-06-21

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  17. Semiconductor lasers and herterojunction leds

    CERN Document Server

    Kressel, Henry

    2012-01-01

    Semiconductor Lasers and Heterojunction LEDs presents an introduction to the subject of semiconductor lasers and heterojunction LEDs. The book reviews relevant basic solid-state and electromagnetic principles; the relevant concepts in solid state physics; and the p-n junctions and heterojunctions. The text also describes stimulated emission and gain; the relevant concepts in electromagnetic field theory; and the modes in laser structures. The relation between electrical and optical properties of laser diodes; epitaxial technology; binary III-V compounds; and diode fabrication are also consider

  18. Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding.

    Science.gov (United States)

    Yu, Huiwu; Li, Xiangyou; Hao, Zhongqi; Xiong, Wei; Guo, Lianbo; Lu, Yongfeng; Yi, Rongxing; Li, Jiaming; Yang, Xinyan; Zeng, Xiaoyan

    2017-06-01

    A green and simple method to prepare metal/semiconductor nanocomposites by selective laser nano-welding metal and semiconductor nanoparticles was presented, in which the sizes, phases, and morphologies of the components can be maintained. Many types of nanocomposites (such as Ag/TiO 2 , Ag/SnO 2 , Ag/ZnO 2 , Pt/TiO 2 , Pt/SnO 2 , and Pt/ZnO) can be prepared by this method and their corresponding performances were enhanced.

  19. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  20. Utilization of process TEG for fabrication of HTS circuits

    International Nuclear Information System (INIS)

    Hato, T.; Okada, Y.; Maruyama, M.; Suzuki, H.; Wakana, H.; Adachi, S.; Kawabe, U.; Tanabe, K.

    2006-01-01

    We improved the fabrication process of high-temperature superconducting (HTS) sampler circuits with multilayer structures by utilizing a test elements group (TEG). Among a lot of difficulties in the HTS circuit fabrication process, loss of oxygen is one of the most significant problems. Since the film transition temperature (T c ) has a strong relationship with the resistance at room temperature, we fabricated a test pattern on the same wafer of the circuits and measured the resistance at room temperature by using a prober to estimate the T c of each layer. By introducing the measurement of the normal resistance after each process, we found better process conditions without a T c drop. Moreover, we constructed a low-temperature probing system, in which we can measure the junction TEG. The system enabled feedback of the fabrication condition soon after the junction process. The utilization of the process TEG contributed to reproducible fabrication of HTS circuits and that is a promising advance of the HTS circuit technology

  1. Process for fabricating mixed-oxide powders

    International Nuclear Information System (INIS)

    Elmaleh, D.; Giraudel, A.

    1975-01-01

    A physical-chemical process for fabricating homogeneous powders suitable for sintering is described. It can be applied to the synthesis of all mixed oxides having mutually compatible and water soluble salts. As a specific example, the fabrication of lead titanate-zirconate powders used to make hot pressed ceramics is described. These ceramics show improved piezoelectric properties [fr

  2. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  3. Coherent diffractive imaging methods for semiconductor manufacturing

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajeev, Rajendran; Fernandez, Sara; Ekinci, Yasin

    2017-12-01

    The paradigm shift of the semiconductor industry moving from deep ultraviolet to extreme ultraviolet lithography (EUVL) brought about new challenges in the fabrication of illumination and projection optics, which constitute one of the core sources of cost of ownership for many of the metrology tools needed in the lithography process. For this reason, lensless imaging techniques based on coherent diffractive imaging started to raise interest in the EUVL community. This paper presents an overview of currently on-going research endeavors that use a number of methods based on lensless imaging with coherent light.

  4. Technology of fabrication of silicon-lithium detector with superficial junction

    International Nuclear Information System (INIS)

    Cabal Rodriguez, A.E.; Diaz Garcia, A.; Noriega Scull, C.

    1997-01-01

    The Silicon nuclear radiation detectors transform the charge produced within the semiconductor crystal, product of the impinges of particles and X rays, in pulses of voltage at the output of the preamplifier. The planar Silicon-Lithium (Si(Li)) detector with superficial junction is basically a Pin structure diode. By mean of the diffusion and drift of Lithium in the Silicon a compensated or depletion region was created. There the incident radiation interacts with the Silicon, producing an electric signal proportional to the detector's energy deposited in the semiconductor. The technological process of fabrication this kind of detectors comprises several stages, some of them complex and of long duration. They also demand a systematic control. The technological process of Si(Li) detector's fabrication was carried out. The detector's fabrication electric characteristics were measured in some steps. An obtained device was mounted in the holder within a cryostat, in order to work to temperature of the liquid nitrogen. The energy resolution of the detector was measured and the value was 180 eV for the line of 5.9 KeV of an Fe-55 source. This value has allowed to work with the detector in energy disperse X-rays fluorescence. (author) [es

  5. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  6. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  7. Boosting the ambipolar performance of solution-processable polymer semiconductors via hybrid side-chain engineering.

    Science.gov (United States)

    Lee, Junghoon; Han, A-Reum; Yu, Hojeong; Shin, Tae Joo; Yang, Changduk; Oh, Joon Hak

    2013-06-26

    Ambipolar polymer semiconductors are highly suited for use in flexible, printable, and large-area electronics as they exhibit both n-type (electron-transporting) and p-type (hole-transporting) operations within a single layer. This allows for cost-effective fabrication of complementary circuits with high noise immunity and operational stability. Currently, the performance of ambipolar polymer semiconductors lags behind that of their unipolar counterparts. Here, we report on the side-chain engineering of conjugated, alternating electron donor-acceptor (D-A) polymers using diketopyrrolopyrrole-selenophene copolymers with hybrid siloxane-solubilizing groups (PTDPPSe-Si) to enhance ambipolar performance. The alkyl spacer length of the hybrid side chains was systematically tuned to boost ambipolar performance. The optimized three-dimensional (3-D) charge transport of PTDPPSe-Si with pentyl spacers yielded unprecedentedly high hole and electron mobilities of 8.84 and 4.34 cm(2) V(-1) s(-1), respectively. These results provide guidelines for the molecular design of semiconducting polymers with hybrid side chains.

  8. Simple process to fabricate nitride alloy powders

    International Nuclear Information System (INIS)

    Yang, Jae Ho; Kim, Dong-Joo; Kim, Keon Sik; Rhee, Young Woo; Oh, Jang-Soo; Kim, Jong Hun; Koo, Yang Hyun

    2013-01-01

    Uranium mono-nitride (UN) is considered as a fuel material [1] for accident-tolerant fuel to compensate for the loss of fissile fuel material caused by adopting a thickened cladding such as SiC composites. Uranium nitride powders can be fabricated by a carbothermic reduction of the oxide powders, or the nitriding of metal uranium. Among them, a direct nitriding process of metal is more attractive because it has advantages in the mass production of high-purity powders and the reusing of expensive 15 N 2 gas. However, since metal uranium is usually fabricated in the form of bulk ingots, it has a drawback in the fabrication of fine powders. The Korea Atomic Energy Research Institute (KAERI) has a centrifugal atomisation technique to fabricate uranium and uranium alloy powders. In this study, a simple reaction method was tested to fabricate nitride fuel powders directly from uranium metal alloy powders. Spherical powder and flake of uranium metal alloys were fabricated using a centrifugal atomisation method. The nitride powders were obtained by thermal treating the metal particles under nitrogen containing gas. The phase and morphology evolutions of powders were investigated during the nitriding process. A phase analysis of nitride powders was also part of the present work. KAERI has developed the centrifugal rotating disk atomisation process to fabricate spherical uranium metal alloy powders which are used as advanced fuel materials for research reactors. The rotating disk atomisation system involves the tasks of melting, atomising, and collecting. A nozzle in the bottom of melting crucible introduces melt at the center of a spinning disk. The centrifugal force carries the melt to the edge of the disk and throws the melt off the edge. Size and shape of droplets can be controlled by changing the nozzle size, the disk diameter and disk speed independently or simultaneously. By adjusting the processing parameters of the centrifugal atomiser, a spherical and flake shape

  9. FINAL PROCESS DEPENDENT DIMENSIONAL CHANGES OF DOUBLE KNIT FABRICS

    Directory of Open Access Journals (Sweden)

    Vedat ÖZYAZGAN

    2012-01-01

    Full Text Available In this paper Ne 30/1 cotton yarn obtained by using pure cotton fibers is employed. 1x1, 2x1 and 3x1 Rib fabrics were knitted with yarns at different gauges. During the knitting process, the tension was kept constant. In order to investigate the relaxation on the knitting process fabric samples were treated using three relaxation processes; dry, wet and full respectively. After each relaxation process, stitches dimensions were measured. As a result of these measurements, it is observed that as the relaxation increases the stitches length decreases while the stitches width increases. In rib knitting, As the fabric stretches increases the stitch length increases. As a result it is observed that as the stitch length increases, the width of the stitches increases linearly. In all rib fabrics, increase in the stitch density leads to an increase in the weight of the fabric.

  10. Graphene photodetectors with a bandwidth  >76 GHz fabricated in a 6″ wafer process line

    International Nuclear Information System (INIS)

    Schall, Daniel; Porschatis, Caroline; Otto, Martin; Neumaier, Daniel

    2017-01-01

    In recent years, the data traffic has grown exponentially and the forecasts indicate a huge market that could be addressed by communication infrastructure and service providers. However, the processing capacity, space, and energy consumption of the available technology is a serious bottleneck for the exploitation of these markets. Chip-integrated optical communication systems hold the promise of significantly improving these issues related to the current technology. At the moment, the answer to the question which material is best suited for ultrafast chip integrated communication systems is still open. In this manuscript we report on ultrafast graphene photodetectors with a bandwidth of more than 76 GHz well suitable for communication links faster than 100 GBit s −1 per channel. We extract an upper value of 7.2 ps for the timescale in which the bolometric photoresponse in graphene is generated. The photodetectors were fabricated on 6″ silicon-on-insulator wafers in a semiconductor pilot line, demonstrating the scalable fabrication of high-performance graphene based devices. (paper)

  11. Exploring graphene field effect transistor devices to improve spectral resolution of semiconductor radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, Richard Karl [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Howell, Stephen Wayne [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Martin, Jeffrey B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Hamilton, Allister B. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2013-12-01

    Graphene, a planar, atomically thin form of carbon, has unique electrical and material properties that could enable new high performance semiconductor devices. Graphene could be of specific interest in the development of room-temperature, high-resolution semiconductor radiation spectrometers. Incorporating graphene into a field-effect transistor architecture could provide an extremely high sensitivity readout mechanism for sensing charge carriers in a semiconductor detector, thus enabling the fabrication of a sensitive radiation sensor. In addition, the field effect transistor architecture allows us to sense only a single charge carrier type, such as electrons. This is an advantage for room-temperature semiconductor radiation detectors, which often suffer from significant hole trapping. Here we report on initial efforts towards device fabrication and proof-of-concept testing. This work investigates the use of graphene transferred onto silicon and silicon carbide, and the response of these fabricated graphene field effect transistor devices to stimuli such as light and alpha radiation.

  12. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  13. Fabrication and characterization of active nanostructures

    Science.gov (United States)

    Opondo, Noah F.

    Three different nanostructure active devices have been designed, fabricated and characterized. Junctionless transistors based on highly-doped silicon nanowires fabricated using a bottom-up fabrication approach are first discussed. The fabrication avoids the ion implantation step since silicon nanowires are doped in-situ during growth. Germanium junctionless transistors fabricated with a top down approach starting from a germanium on insulator substrate and using a gate stack of high-k dielectrics and GeO2 are also presented. The levels and origin of low-frequency noise in junctionless transistor devices fabricated from silicon nanowires and also from GeOI devices are reported. Low-frequency noise is an indicator of the quality of the material, hence its characterization can reveal the quality and perhaps reliability of fabricated transistors. A novel method based on low-frequency noise measurement to envisage trap density in the semiconductor bandgap near the semiconductor/oxide interface of nanoscale silicon junctionless transistors (JLTs) is presented. Low-frequency noise characterization of JLTs biased in saturation is conducted at different gate biases. The noise spectrum indicates either a Lorentzian or 1/f. A simple analysis of the low-frequency noise data leads to the density of traps and their energy within the semiconductor bandgap. The level of noise in silicon JLT devices is lower than reported values on transistors fabricated using a top-down approach. This noise level can be significantly improved by improving the quality of dielectric and the channel interface. A micro-vacuum electron device based on silicon field emitters for cold cathode emission is also presented. The presented work utilizes vertical Si nanowires fabricated by means of self-assembly, standard lithography and etching techniques as field emitters in this dissertation. To obtain a high nanowire density, hence a high current density, a simple and inexpensive Langmuir Blodgett technique

  14. Fabrication process of expanded cooling jackets

    International Nuclear Information System (INIS)

    Weber, C.M.

    1980-01-01

    The present invention concerns the fabrication process of heat exchangers and in particular, the fabrication and assembly process of cooling jackets of the system driving the control rods used in nuclear reactors. The cooling jackets are assembled for cooling the stator of a tubular motor displacing the control rods. The fabrication and assembling of the cooling jacket is made up by the following operations: - a sleeve has an inner fluid inlet and outlet ways, - an external socket is fitted to the sleeve, - on the external socket a continuous welding is realized, which join the socket to the sleeve, and define a serie of parallel welded turns, - a pressure is established between the sleeve and the socket by a fluid through the outlet or inlet ways of the sleeve. When the other way is sealed up, the socket expands between the welded turns, and the fluid can pass through the jacket [fr

  15. Leukemia and non-Hodgkin lymphoma in semiconductor industry workers in Korea.

    Science.gov (United States)

    Kim, Inah; Kim, Hyun J; Lim, Sin Y; Kongyoo, Jungok

    2012-01-01

    Reports of leukemia and non-Hodgkin lymphoma (NHL), cancers known to have a similar pathophysiology, among workers in the semiconductor industry have generated much public concern in Korea. This paper describes cases reported to the NGO Supporters for the Health and Rights of People in the Semiconductor Industry (SHARPs). We identified demographic characteristics, occupational, and disease history, for 17 leukemia and NHL cases from the Giheung Samsung semiconductor plant, diagnosed from November 2007 to January 2011. Patients were relatively young (mean = 28·5 years, SD = 6·5) at the time of diagnosis and the mean latency period was 104·3 months (SD = 65·8). Majority of the cases were fabrication operators (11 workers among 17) and 12 were hired before 2000. Six cases worked in the etching or diffusion process. The evidence to confirm the causal relationship between exposures in the semiconductor industry and leukemia or NHL remains insufficient and a more formal, independent study of the exposure-disease relationship in this occupation is needed. However, workers should be protected from the potential exposures immediately.

  16. Quality in the fabrication process

    International Nuclear Information System (INIS)

    Romano, A.; Aguirre, F.

    2010-01-01

    Enusa commitment to quality in the manufacture process materializes in the application of the most advanced product quality control technologies such as not-destructive inspection techniques, like artificial vision, X-ray or UT inspection, or process parameter statistical control systems. Quality inspectors are trained and certified by the main National Quality Organizations and receive periodic training under a formal company training program that constantly updates their qualification. Fabrication quality control reliability is based on a strategy that prioritizes redundancy of critical inspection equipment's and inspection personnel knowledge polyvalence. Furthermore, improvement in fabrication quality is obtained by a systematic application of the six sigma methodology where added value is created in projects integrating crosscutting company knowledge, reinforcing the global company vision that the fuel business is based on quality. (Author)

  17. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  18. Facile synthesis and enhanced visible-light photocatalysis of graphitic carbon nitride composite semiconductors.

    Science.gov (United States)

    Li, Huiquan; Liu, Yuxing; Gao, Xing; Fu, Cong; Wang, Xinchen

    2015-04-13

    The semiconductor heterojunction has been an effective architecture to enhance photocatalytic activity by promoting photogenerated charge separation. Here, graphitic carbon nitride (CN) and B-modified graphitic carbon nitride (CNB) composite semiconductors were fabricated by a facile calcination process using cheap, sustainable, and easily available sodium tetraphenylboron and urea as precursors. The synthetic CN-CNB-25 semiconductor with a suitable CNB content showed the highest visible-light activity. Its degradation ratio for methyl orange and phenol was more than twice that of CN and CNB and its H2 evolution rate was ∼3.4 and ∼1.8 times higher than that of CN and CNB, respectively. It also displayed excellent stability and reusability. The enhanced activity of CN-CNB-25 was attributed predominantly to the efficient separation of photoinduced electrons and holes. This paper describes a visible-light-responsive CN composite semiconductor with great potential in environmental and energy applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  20. High-performance green semiconductor devices: materials, designs, and fabrication

    Science.gov (United States)

    Jung, Yei Hwan; Zhang, Huilong; Gong, Shaoqin; Ma, Zhenqiang

    2017-06-01

    From large industrial computers to non-portable home appliances and finally to light-weight portable gadgets, the rapid evolution of electronics has facilitated our daily pursuits and increased our life comforts. However, these rapid advances have led to a significant decrease in the lifetime of consumer electronics. The serious environmental threat that comes from electronic waste not only involves materials like plastics and heavy metals, but also includes toxic materials like mercury, cadmium, arsenic, and lead, which can leak into the ground and contaminate the water we drink, the food we eat, and the animals that live around us. Furthermore, most electronics are comprised of non-renewable, non-biodegradable, and potentially toxic materials. Difficulties in recycling the increasing amount of electronic waste could eventually lead to permanent environmental pollution. As such, discarded electronics that can naturally degrade over time would reduce recycling challenges and minimize their threat to the environment. This review provides a snapshot of the current developments and challenges of green electronics at the semiconductor device level. It looks at the developments that have been made in an effort to help reduce the accumulation of electronic waste by utilizing unconventional, biodegradable materials as components. While many semiconductors are classified as non-biodegradable, a few biodegradable semiconducting materials exist and are used as electrical components. This review begins with a discussion of biodegradable materials for electronics, followed by designs and processes for the manufacturing of green electronics using different techniques and designs. In the later sections of the review, various examples of biodegradable electrical components, such as sensors, circuits, and batteries, that together can form a functional electronic device, are discussed and new applications using green electronics are reviewed.

  1. Organic semiconductors for organic field-effect transistors

    International Nuclear Information System (INIS)

    Yamashita, Yoshiro

    2009-01-01

    The advantages of organic field-effect transistors (OFETs), such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed. (topical review)

  2. Organic semiconductors for organic field-effect transistors

    Directory of Open Access Journals (Sweden)

    Yoshiro Yamashita

    2009-01-01

    Full Text Available The advantages of organic field-effect transistors (OFETs, such as low cost, flexibility and large-area fabrication, have recently attracted much attention due to their electronic applications. Practical transistors require high mobility, large on/off ratio, low threshold voltage and high stability. Development of new organic semiconductors is key to achieving these parameters. Recently, organic semiconductors have been synthesized showing comparable mobilities to amorphous-silicon-based FETs. These materials make OFETs more attractive and their applications have been attempted. New organic semiconductors resulting in high-performance FET devices are described here and the relationship between transistor characteristics and chemical structure is discussed.

  3. Neural manufacturing: a novel concept for processing modeling, monitoring, and control

    Science.gov (United States)

    Fu, Chi Y.; Petrich, Loren; Law, Benjamin

    1995-09-01

    Semiconductor fabrication lines have become extremely costly, and achieving a good return from such a high capital investment requires efficient utilization of these expensive facilities. It is highly desirable to shorten processing development time, increase fabrication yield, enhance flexibility, improve quality, and minimize downtime. We propose that these ends can be achieved by applying recent advances in the areas of artificial neural networks, fuzzy logic, machine learning, and genetic algorithms. We use the term neural manufacturing to describe such applications. This paper describes our use of artificial neural networks to improve the monitoring and control of semiconductor process.

  4. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  5. Re-qualification of MTR-type fuel plates fabrication process

    International Nuclear Information System (INIS)

    Elseaidy, I.M.; Ghoneim, M.M.

    2010-01-01

    The fabricability issues with increased uranium loading due to use low enrichment of uranium (LEU), i.e. less than 20 % of U 235 , increase the problems which occur during compact manufacturing, roll bonding of the fuel plates, potential difficulty in forming during rolling process, mechanical integrity of the core during fabrication, potential difficulty in meat homogeneity, and the ability to fabricate plates with thicker core as a means of increasing total uranium loading. To produce MTR- type fuel plates with high uranium loading (HUL) and keep the required quality of these plates, many of qualification process must be done in the commissioning step of fuel fabrication plant. After that any changing of the fabrication parameters, for example changing of any of the raw materials, devises, operators, and etc., a re- qualification process should be done in order to keep the quality of produced plates. Objective of the present work is the general description of the activities to be accomplished for re-qualification of manufacturing MTR- type nuclear fuel plates. For each process to be re-qualified, a detailed of re-qualification process were established. (author)

  6. Fabrication and characterization of the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors

    Science.gov (United States)

    Qing-Wen, Song; Xiao-Yan, Tang; Yan-Jing, He; Guan-Nan, Tang; Yue-Hu, Wang; Yi-Meng, Zhang; Hui, Guo; Ren-Xu, Jia; Hong-Liang, Lv; Yi-Men, Zhang; Yu-Ming, Zhang

    2016-03-01

    In this paper, the normally-off N-channel lateral 4H-SiC metal-oxide-semiconductor field-effect transistors (MOSFFETs) have been fabricated and characterized. A sandwich- (nitridation-oxidation-nitridation) type process was used to grow the gate dielectric film to obtain high channel mobility. The interface properties of 4H-SiC/SiO2 were examined by the measurement of HF I-V, G-V, and C-V over a range of frequencies. The ideal C-V curve with little hysteresis and the frequency dispersion were observed. As a result, the interface state density near the conduction band edge of 4H-SiC was reduced to 2 × 1011 eV-1·cm-2, the breakdown field of the grown oxides was about 9.8 MV/cm, the median peak field-effect mobility is about 32.5 cm2·V-1·s-1, and the maximum peak field-effect mobility of 38 cm2·V-1·s-1 was achieved in fabricated lateral 4H-SiC MOSFFETs. Projcet supported by the National Natural Science Foundation of China (Grant Nos. 61404098, 61176070, and 61274079), the Doctoral Fund of Ministry of Education of China (Grant Nos. 20110203110010 and 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), and the Key Specific Projects of Ministry of Education of China (Grant No. 625010101).

  7. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  8. Influence of semiconductor/metal interface geometry in an EMR sensor

    KAUST Repository

    Sun, Jian

    2013-02-01

    The extraordinary magnetoresistance (EMR) is well known to be strongly dependent on geometric parameters. While the influence of the aspect ratios of the metal and semiconductor areas has been thoroughly investigated, the geometry of the semiconductor/metal interface has been neglected so far. However, from a fabrication point of view, this part plays a crucial role. In this paper, the performance of a bar-type hybrid EMR sensor is investigated by means of finite element method and experiments with respect to the hybrid interface geometry. A 3-D model has been developed, which simulates the EMR effect in case of fields in different directions. The semiconductor/metal interface has been investigated in terms of different layer thicknesses and overlaps. The results show that those parameters can cause a change in the output sensitivity of 2%-10%. In order to maintain a high sensitivity and keep the fabrication relatively simple and at low cost, a device with a thin metal shunt having a large overlap on the top of the semiconductor bar would provide the best solution. © 2001-2012 IEEE.

  9. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    Science.gov (United States)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    2017-12-05

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  10. Solution-processable precursor route for fabricating ultrathin silica film for high performance and low voltage organic transistors

    Institute of Scientific and Technical Information of China (English)

    Shujing Guo; Liqiang Li; Zhongwu Wang; Zeyang Xu; Shuguang Wang; Kunjie Wu; Shufeng Chen; Zongbo Zhang; Caihong Xu; Wenfeng Qiu

    2017-01-01

    Silica is one of the most commonly used materials for dielectric layer in organic thin-film transistors due to its excellent stability,excellent electrical properties,mature preparation process,and good compatibility with organic semiconductors.However,most of conventional preparation methods for silica film are generally performed at high temperature and/or high vacuum.In this paper,we introduce a simple solution spin-coating method to fabricate silica thin film from precursor route,which possesses a low leakage current,high capacitance,and low surface roughness.The silica thin film can be produced in the condition of low temperature and atmospheric environment.To meet various demands,the thickness of film can be adjusted by means of preparation conditions such as the speed of spin-coating and the concentration of solution.The p-type and n-type organic field effect transistors fabricated by using this film as gate electrodes exhibit excellent electrical performance including low voltage and high performance.This method shows great potential for industrialization owing to its characteristic of low consumption and energy saving,time-saving and easy to operate.

  11. Radiation doping methods of semiconductor materials: the nuclear doping by charged particles

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.

    1996-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) by charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monograths and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. (author)

  12. Fast optical detecting media based on semiconductor nanostructures for recording images obtained using charges of free photocarriers

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.; Beregulin, E. V.

    2011-01-01

    Available published data on the properties of optical recording media based on semiconductor structures are reviewed. The principles of operation, structure, parameters, and the range of application for optical recording media based on MIS structures formed of photorefractive crystals with a thick layer of insulator and MIS structures with a liquid crystal as the insulator (the MIS LC modulators), as well as the effect of optical bistability in semiconductor structures (semiconductor MIS structures with nanodimensionally thin insulator (TI) layer, M(TI)S nanostructures). Special attention is paid to recording media based on the M(TI)S nanostructures promising for fast processing of highly informative images and to fabrication of optoelectronic correlators of images for noncoherent light.

  13. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  14. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  15. Fabrication of cone-shaped boron doped diamond and gold nanoelectrodes for AFM-SECM

    Energy Technology Data Exchange (ETDEWEB)

    Avdic, A; Lugstein, A; Bertagnolli, E [Solid State Electronics Institute, Vienna University of Technology, Floragasse 7, 1040 Vienna (Austria); Wu, M; Gollas, B [Competence Centre for Electrochemical Surface Technology, Viktor Kaplan Strasse 2, 2700 Wiener Neustadt (Austria); Pobelov, I; Wandlowski, T [Department of Chemistry and Biochemistry, University of Bern, Freiestrasse 3, 3012 Bern (Switzerland); Leonhardt, K; Denuault, G, E-mail: alois.lugstein@tuwien.ac.at [School of Chemistry, University of Southampton, Highfield, Southampton SO17 1BJ (United Kingdom)

    2011-04-08

    We demonstrate a reliable microfabrication process for a combined atomic force microscopy (AFM) and scanning electrochemical microscopy (SECM) measurement tool. Integrated cone-shaped sensors with boron doped diamond (BDD) or gold (Au) electrodes were fabricated from commercially available AFM probes. The sensor formation process is based on mature semiconductor processing techniques, including focused ion beam (FIB) machining, and highly selective reactive ion etching (RIE). The fabrication approach preserves the geometry of the original AFM tips resulting in well reproducible nanoscaled sensors. The feasibility and functionality of the fully featured tips are demonstrated by cyclic voltammetry, showing good agreement between the measured and calculated currents of the cone-shaped AFM-SECM electrodes.

  16. Electronic Properties of Metallic Nanoclusters on Semiconductor Surfaces: Implications for Nanoelectronic Device Applications

    International Nuclear Information System (INIS)

    Lee, Takhee; Liu Jia; Chen, N.-P.; Andres, R.P.; Janes, D.B.; Reifenberger, R.

    2000-01-01

    We review current research on the electronic properties of nanoscale metallic islands and clusters deposited on semiconductor substrates. Reported results for a number of nanoscale metal-semiconductor systems are summarized in terms of their fabrication and characterization. In addition to the issues faced in large-area metal-semiconductor systems, nano-systems present unique challenges in both the realization of well-controlled interfaces at the nanoscale and the ability to adequately characterize their electrical properties. Imaging by scanning tunneling microscopy as well as electrical characterization by current-voltage spectroscopy enable the study of the electrical properties of nanoclusters/semiconductor systems at the nanoscale. As an example of the low-resistance interfaces that can be realized, low-resistance nanocontacts consisting of metal nanoclusters deposited on specially designed ohmic contact structures are described. To illustrate a possible path to employing metal/semiconductor nanostructures in nanoelectronic applications, we also describe the fabrication and performance of uniform 2-D arrays of such metallic clusters on semiconductor substrates. Using self-assembly techniques involving conjugated organic tether molecules, arrays of nanoclusters have been formed in both unpatterned and patterned regions on semiconductor surfaces. Imaging and electrical characterization via scanning tunneling microscopy/spectroscopy indicate that high quality local ordering has been achieved within the arrays and that the clusters are electronically coupled to the semiconductor substrate via the low-resistance metal/semiconductor interface

  17. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  18. Method of Promoting Single Crystal Growth During Melt Growth of Semiconductors

    Science.gov (United States)

    Su, Ching-Hua (Inventor)

    2013-01-01

    The method of the invention promotes single crystal growth during fabrication of melt growth semiconductors. A growth ampoule and its tip have a semiconductor source material placed therein. The growth ampoule is placed in a first thermal environment that raises the temperature of the semiconductor source material to its liquidus temperature. The growth ampoule is then transitioned to a second thermal environment that causes the semiconductor source material in the growth ampoule's tip to attain a temperature that is below the semiconductor source material's solidus temperature. The growth ampoule so-transitioned is then mechanically perturbed to induce single crystal growth at the growth ampoule's tip.

  19. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  20. Electrolytic charge inversion at the liquid-solid interface in a nanopore in a doped semiconductor membrane

    Energy Technology Data Exchange (ETDEWEB)

    Gracheva, Maria E [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Leburton, Jean-Pierre [Beckman Institute for Advanced Science and Technology, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States)

    2007-04-11

    The electrostatics of a nanopore in a doped semiconductor membrane immersed in an electrolyte is studied with a numerical model. Unlike dielectric membranes that always attract excess positive ion charges at the electrolyte/membrane interface whenever a negative surface charge is present, semiconductor membranes exhibit more versatility in controlling the double layer at the membrane surface. The presence of dopant charge in the semiconductor membrane, the shape of the nanopore and the negative surface charge resulting from the pore fabrication process have competing influences on the double layer formation. The inversion of the electrolyte surface charge from negative to positive is observed for n-Si membranes as a function of the membrane surface charge density, while no such inversion occurs for dielectric and p-Si membranes.

  1. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  2. An acetone microsensor with a ring oscillator circuit fabricated using the commercial 0.18 μm CMOS process.

    Science.gov (United States)

    Yang, Ming-Zhi; Dai, Ching-Liang; Shih, Po-Jen

    2014-07-17

    This study investigates the fabrication and characterization of an acetone microsensor with a ring oscillator circuit using the commercial 0.18 μm complementary metal oxide semiconductor (CMOS) process. The acetone microsensor contains a sensitive material, interdigitated electrodes and a polysilicon heater. The sensitive material is α-Fe2O3 synthesized by the hydrothermal method. The sensor requires a post-process to remove the sacrificial oxide layer between the interdigitated electrodes and to coat the α-Fe2O3 on the electrodes. When the sensitive material adsorbs acetone vapor, the sensor produces a change in capacitance. The ring oscillator circuit converts the capacitance of the sensor into the oscillation frequency output. The experimental results show that the output frequency of the acetone sensor changes from 128 to 100 MHz as the acetone concentration increases 1 to 70 ppm.

  3. Thermal and radiation process for nano-/micro-fabrication of crosslinked PTFE

    International Nuclear Information System (INIS)

    Kobayashi, Akinobu; Oshima, Akihiro; Okubo, Satoshi; Tsubokura, Hidehiro; Takahashi, Tomohiro; Oyama, Tomoko Gowa; Tagawa, Seiichi; Washio, Masakazu

    2013-01-01

    Nano-/micro-fabrication process of crosslinked poly(tetrafluoroethylene) (RX-PTFE) is proposed as a novel method using combined process which is thermal and radiation process for fabrication of RX-PTFE (TRaf process). Nano- and micro-scale patterns of silicon wafers fabricated by EB lithography were used as the molds for TRaf process. Poly(tetrafluoroethylene) (PTFE) dispersion was dropped on the fabricated molds, and then PTFE was crosslinked with doses from 105 kGy to 1500 kGy in its molten state at 340 °C in nitrogen atmosphere. The obtained nano- and micro-structures by TRaf process were compared with those by the conventional thermal fabrication process. Average surface roughness (R a ) of obtained structures was evaluated with atomic force microscope (AFM) and scanning electron microscope (SEM). R a of obtained structures with the crosslinking dose of 600 kGy showed less than 1.2 nm. The fine nano-/micro-structures of crosslinked PTFE were successfully obtained by TRaf process

  4. Optimal fabrication processes for unidirectional metal-matrix composites: A computational simulation

    Science.gov (United States)

    Saravanos, D. A.; Murthy, P. L. N.; Morel, M.

    1990-01-01

    A method is proposed for optimizing the fabrication process of unidirectional metal matrix composites. The temperature and pressure histories are optimized such that the residual microstresses of the composite at the end of the fabrication process are minimized and the material integrity throughout the process is ensured. The response of the composite during the fabrication is simulated based on a nonlinear micromechanics theory. The optimal fabrication problem is formulated and solved with non-linear programming. Application cases regarding the optimization of the fabrication cool-down phases of unidirectional ultra-high modulus graphite/copper and silicon carbide/titanium composites are presented.

  5. Optimal fabrication processes for unidirectional metal-matrix composites - A computational simulation

    Science.gov (United States)

    Saravanos, D. A.; Murthy, P. L. N.; Morel, M.

    1990-01-01

    A method is proposed for optimizing the fabrication process of unidirectional metal matrix composites. The temperature and pressure histories are optimized such that the residual microstresses of the composite at the end of the fabrication process are minimized and the material integrity throughout the process is ensured. The response of the composite during the fabrication is simulated based on a nonlinear micromechanics theory. The optimal fabrication problem is formulated and solved with nonlinear programming. Application cases regarding the optimization of the fabrication cool-down phases of unidirectional ultra-high modulus graphite/copper and silicon carbide/titanium composites are presented.

  6. Circuit design techniques for non-crystalline semiconductors

    CERN Document Server

    Sambandan, Sanjiv

    2012-01-01

    Despite significant progress in materials and fabrication technologies related to non-crystalline semiconductors, fundamental drawbacks continue to limit real-world application of these devices in electronic circuits. To help readers deal with problems such as low mobility and intrinsic time variant behavior, Circuit Design Techniques for Non-Crystalline Semiconductors outlines a systematic design approach, including circuit theory, enabling users to synthesize circuits without worrying about the details of device physics. This book: Offers examples of how self-assembly can be used as a powerf

  7. USHPRR FUEL FABRICATION PILLAR: FABRICATION STATUS, PROCESS OPTIMIZATIONS, AND FUTURE PLANS

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Jared M.; Joshi, Vineet V.; Lavender, Curt A.

    2018-03-12

    The Fuel Fabrication (FF) Pillar, a project within the U.S. High Performance Research Reactor Conversion program of the National Nuclear Security Administration’s Office of Material Management and Minimization, is tasked with the scale-up and commercialization of high-density monolithic U-Mo fuel for the conversion of appropriate research reactors to use of low-enriched fuel. The FF Pillar has made significant steps to demonstrate and optimize the baseline co-rolling process using commercial-scale equipment at both the Y-12 National Security Complex (Y-12) and BWX Technologies (BWXT). These demonstrations include the fabrication of the next irradiation experiment, Mini-Plate 1 (MP-1), and casting optimizations at Y-12. The FF Pillar uses a detailed process flow diagram to identify potential gaps in processing knowledge or demonstration, which helps direct the strategic research agenda of the FF Pillar. This paper describes the significant progress made toward understanding the fuel characteristics, and models developed to make informed decisions, increase process yield, and decrease lifecycle waste and costs.

  8. CMOS MEMS Fabrication Technologies and Devices

    Directory of Open Access Journals (Sweden)

    Hongwei Qu

    2016-01-01

    Full Text Available This paper reviews CMOS (complementary metal-oxide-semiconductor MEMS (micro-electro-mechanical systems fabrication technologies and enabled micro devices of various sensors and actuators. The technologies are classified based on the sequence of the fabrication of CMOS circuitry and MEMS elements, while SOI (silicon-on-insulator CMOS MEMS are introduced separately. Introduction of associated devices follows the description of the respective CMOS MEMS technologies. Due to the vast array of CMOS MEMS devices, this review focuses only on the most typical MEMS sensors and actuators including pressure sensors, inertial sensors, frequency reference devices and actuators utilizing different physics effects and the fabrication processes introduced. Moreover, the incorporation of MEMS and CMOS is limited to monolithic integration, meaning wafer-bonding-based stacking and other integration approaches, despite their advantages, are excluded from the discussion. Both competitive industrial products and state-of-the-art research results on CMOS MEMS are covered.

  9. Cryogenic Dark Matter Search detector fabrication process and recent improvements

    Energy Technology Data Exchange (ETDEWEB)

    Jastram, A., E-mail: akjastram@tamu.edu [Department of Physics and Astronomy, Texas A and M University, College Station, TX 77843 (United States); Harris, H.R.; Mahapatra, R.; Phillips, J.; Platt, M.; Prasad, K. [Department of Physics and Astronomy, Texas A and M University, College Station, TX 77843 (United States); Sander, J. [Department of Physics and Astronomy, Texas A and M University, College Station, TX 77843 (United States); Department of Physics, University of South Dakota, Vermillion, SD 57069 (United States); Upadhyayula, S. [Department of Physics and Astronomy, Texas A and M University, College Station, TX 77843 (United States)

    2015-02-01

    A dedicated facility has been commissioned for Cryogenic Dark Matter Search (CDMS) detector fabrication at Texas A and M University (TAMU). The fabrication process has been carefully tuned using this facility and its equipment. Production of successfully tested detectors has been demonstrated. Significant improvements in detector performance have been made using new fabrication methods/equipment and tuning of process parameters.

  10. A new fabrication technique for back-to-back varactor diodes

    Science.gov (United States)

    Smith, R. Peter; Choudhury, Debabani; Martin, Suzanne; Frerking, Margaret A.; Liu, John K.; Grunthaner, Frank A.

    1992-01-01

    A new varactor diode process has been developed in which much of the processing is done from the back of an extremely thin semiconductor wafer laminated to a low-dielectric substrate. Back-to-back BNN diodes were fabricated with this technique; excellent DC and low-frequency capacitance measurements were obtained. Advantages of the new technique relative to other techniques include greatly reduced frontside wafer damage from exposure to process chemicals, improved capability to integrate devices (e.g. for antenna patterns, transmission lines, or wafer-scale grids), and higher line yield. BNN diodes fabricated with this technique exhibit approximately the expected capacitance-voltage characteristics while showing leakage currents under 10 mA at voltages three times that needed to deplete the varactor. This leakage is many orders of magnitude better than comparable Schottky diodes.

  11. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  12. Metal/Semiconductor and Transparent Conductor/Semiconductor Heterojunctions in High Efficient Photoelectric Devices: Progress and Features

    Directory of Open Access Journals (Sweden)

    M. Melvin David Kumar

    2014-01-01

    Full Text Available Metal/semiconductor and transparent conductive oxide (TCO/semiconductor heterojunctions have emerged as an effective modality in the fabrication of photoelectric devices. This review is following a recent shift toward the engineering of TCO layers and structured Si substrates, incorporating metal nanoparticles for the development of next-generation photoelectric devices. Beneficial progress which helps to increase the efficiency and reduce the cost, has been sequenced based on efficient technologies involved in making novel substrates, TCO layers, and electrodes. The electrical and optical properties of indium tin oxide (ITO and aluminum doped zinc oxide (AZO thin films can be enhanced by structuring the surface of TCO layers. The TCO layers embedded with Ag nanoparticles are used to enhance the plasmonic light trapping effect in order to increase the energy harvesting nature of photoelectric devices. Si nanopillar structures which are fabricated by photolithography-free technique are used to increase light-active surface region. The importance of the structure and area of front electrodes and the effect of temperature at the junction are the value added discussions in this review.

  13. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  14. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  15. Very low drift and high sensitivity of nanocrystal-TiO2 sensing membrane on pH-ISFET fabricated by CMOS compatible process

    International Nuclear Information System (INIS)

    Bunjongpru, W.; Sungthong, A.; Porntheeraphat, S.; Rayanasukha, Y.; Pankiew, A.; Jeamsaksiri, W.; Srisuwan, A.; Chaisriratanakul, W.; Chaowicharat, E.; Klunngien, N.; Hruanun, C.; Poyai, A.; Nukeaw, J.

    2013-01-01

    High sensitivity and very low drift rate pH sensors are successfully prepared by using nanocrystal-TiO 2 as sensing membrane of ion sensitive field effect transistor (ISFET) device fabricated via CMOS process. This paper describes the physical properties and sensing characteristics of the TiO 2 membrane prepared by annealing Ti and TiN thin films that deposited on SiO 2 /p-Si substrates through reactive DC magnetron sputtering system. The X-ray diffraction, scanning electron microscopy and Auger electron spectroscopy were used to investigate the structural and morphological features of deposited films after they had been subjected to annealing at various temperatures. The experimental results are interpreted in terms of the effects of amorphous-to-crystalline phase transition and subsequent oxidation of the annealed films. The electrolyte–insulator–semiconductor (EIS) device incorporating Ti-O-N membrane that had been obtained by annealing of TiN thin film at 850 °C exhibited a higher sensitivity (57 mV/pH), a higher linearity (1), a lower hysteresis voltage (1 mV in the pH cycle of 7 → 4 → 7 → 10 → 7), and a smaller drift rate (0.246 mV/h) than did those devices prepared at the other annealing temperatures. Furthermore, this pH-sensing device fabrication process is fully compatible with CMOS fabrication process technology.

  16. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  17. Packaged semiconductor laser optical phase locked loop for photonic generation, processing and transmission of microwave signals

    DEFF Research Database (Denmark)

    Langley, L.N.; Elkin, M.D.; Edege, C.

    1999-01-01

    In this paper, we present the first fully packaged semiconductor laser optical phase-locked loop (OPLL) microwave photonic transmitter. The transmitter is based on semiconductor lasers that are directly phase locked without the use of any other phase noise-reduction mechanisms. In this transmitter......, the lasers have a free-running summed linewidth of 6 MHz and the OPLL has a feedback bandwidth of 70 MHz, A state-of-the-art performance is obtained, with a total phase-error variance of 0.05 rad(2) (1-GHz bandwidth) and a carrier phase-error variance of 7x10(-4) rad(2) in a 15-MHz bandwidth. Carriers...... are generated in the range of 7-14 GHz. The OPLL transmitter has been fully packaged for practical use in field trials. This is the first time this type of transmitter has been fabricated in a packaged state which is a significant advance on the route to practical application....

  18. Bacteria inside semiconductors as potential sensor elements: biochip progress.

    Science.gov (United States)

    Sah, Vasu R; Baier, Robert E

    2014-06-24

    It was discovered at the beginning of this Century that living bacteria-and specifically the extremophile Pseudomonas syzgii-could be captured inside growing crystals of pure water-corroding semiconductors-specifically germanium-and thereby initiated pursuit of truly functional "biochip-based" biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs) and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities' features at the time of first production of these potential biochips.

  19. CMOS compatible fabrication process of MEMS resonator for timing reference and sensing application

    Science.gov (United States)

    Huynh, Duc H.; Nguyen, Phuong D.; Nguyen, Thanh C.; Skafidas, Stan; Evans, Robin

    2015-12-01

    Frequency reference and timing control devices are ubiquitous in electronic applications. There is at least one resonator required for each of this device. Currently electromechanical resonators such as crystal resonator, ceramic resonator are the ultimate choices. This tendency will probably keep going for many more years. However, current market demands for small size, low power consumption, cheap and reliable products, has divulged many limitations of this type of resonators. They cannot be integrated into standard CMOS (Complement metaloxide- semiconductor) IC (Integrated Circuit) due to material and fabrication process incompatibility. Currently, these devices are off-chip and they require external circuitries to interface with the ICs. This configuration significantly increases the overall size and cost of the entire electronic system. In addition, extra external connection, especially at high frequency, will potentially create negative impacts on the performance of the entire system due to signal degradation and parasitic effects. Furthermore, due to off-chip packaging nature, these devices are quite expensive, particularly for high frequency and high quality factor devices. To address these issues, researchers have been intensively studying on an alternative for type of resonator by utilizing the new emerging MEMS (Micro-electro-mechanical systems) technology. Recent progress in this field has demonstrated a MEMS resonator with resonant frequency of 2.97 GHz and quality factor (measured in vacuum) of 42900. Despite this great achievement, this prototype is still far from being fully integrated into CMOS system due to incompatibility in fabrication process and its high series motional impedance. On the other hand, fully integrated MEMS resonator had been demonstrated but at lower frequency and quality factor. We propose a design and fabrication process for a low cost, high frequency and a high quality MEMS resonator, which can be integrated into a standard

  20. Fabrication and Characterization of Polyaniline/PVA Humidity Microsensors

    Directory of Open Access Journals (Sweden)

    Ming-Zhi Yang

    2011-08-01

    Full Text Available This study presents the fabrication and characterization of a humidity microsensor that consists of interdigitated electrodes and a sensitive film. The area of the humidity microsensor is about 2 mm2. The sensitive film is polyaniline doping polyvinyl alcohol (PVA that is prepared by the sol-gel method, and the film has nanofiber and porous structures that help increase the sensing reaction. The commercial 0.35 mm Complimentary Metal Oxide Semiconductor (CMOS process is used to fabricate the humidity microsensor. The sensor needs a post-CMOS process to etch the sacrificial layer and to coat the sensitive film on the interdigitated electrodes. The sensor produces a change in resistance as the polyaniline/PVA film absorbs or desorbs vapor. Experimental results show that the sensitivity of the humidity sensor is about 12.6 kΩ/%RH at 25 °C.

  1. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  2. Rapid Three-Dimensional Printing in Water Using Semiconductor-Metal Hybrid Nanoparticles as Photoinitiators.

    Science.gov (United States)

    Pawar, Amol Ashok; Halivni, Shira; Waiskopf, Nir; Ben-Shahar, Yuval; Soreni-Harari, Michal; Bergbreiter, Sarah; Banin, Uri; Magdassi, Shlomo

    2017-07-12

    Additive manufacturing processes enable fabrication of complex and functional three-dimensional (3D) objects ranging from engine parts to artificial organs. Photopolymerization, which is the most versatile technology enabling such processes through 3D printing, utilizes photoinitiators that break into radicals upon light absorption. We report on a new family of photoinitiators for 3D printing based on hybrid semiconductor-metal nanoparticles. Unlike conventional photoinitiators that are consumed upon irradiation, these particles form radicals through a photocatalytic process. Light absorption by the semiconductor nanorod is followed by charge separation and electron transfer to the metal tip, enabling redox reactions to form radicals in aerobic conditions. In particular, we demonstrate their use in 3D printing in water, where they simultaneously form hydroxyl radicals for the polymerization and consume dissolved oxygen that is a known inhibitor. We also demonstrate their potential for two-photon polymerization due to their giant two-photon absorption cross section.

  3. Low-power-consumption and high-sensitivity NO2 micro gas sensors based on a co-planar micro-heater fabricated by using a CMOS-MEMS process

    International Nuclear Information System (INIS)

    Moon, S. E.; Lee, J. W.; Park, S. J.; Park, J.; Park, K. H.; Kim, J.

    2010-01-01

    Small-scale, low-power-consumption, and high-sensitivity NO 2 gas sensors based on ZnO nanorods are reported in this work. To activate the chemical absorption and desorption for NO 2 gas, we embedded co-planar micro-heater in a micro gas sensor, that was made by using a Complementary Metal-oxide-semiconductor compatible Microelectromechanical Systems(CMOS-MEMS) process. To acquire simple fabrication and low fabrication cost, we located the micro-heater and the sensing electrode in the same plane. High-quality single-crystalline ZnO nanorods were selectively grown by using photolithography and a hydrothermal method. Their structural properties were confirmed by using X-ray diffractometry (XRD), energy dispersive X-ray spectroscopy microanalysis (EDAX), Scanning Electron Microscopy (SEM), and Transmission Electron Microscopy (TEM). The temperature-dependent current-voltage characteristics were measured to optimize the operating temperature of the fabricated devices as a chemical gas sensor. To test the possibility for use as chemical sensor, we measured the NO 2 gas response.

  4. Fabrication of a Lateral Polarity GaN MESFET: An Exploratory Study

    National Research Council Canada - National Science Library

    Sitar, Zlatko; Collazo, Ramon

    2007-01-01

    This report describes exploratory studies in the fabrication of the GaN LPH structures and their application in the fabrication of a depletion-mode metal semiconductor field effect transistors (MESFETs...

  5. Adaptive Control of Freeze-Form Extrusion Fabrication Processes (Preprint)

    National Research Council Canada - National Science Library

    Zhao, Xiyue; Landers, Robert G; Leu, Ming C

    2008-01-01

    Freeze-form Extrusion Fabrication (FEF) is an additive manufacturing process that extrudes high solids loading aqueous ceramic pastes in a layer-by-layer fashion below the paste freezing temperature for component fabrication...

  6. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  7. Development of the fabrication process of SiC composite by polycarbosilane

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju; Kim, Jung Il; Ryu, Woo Seog

    2004-11-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the PIP process, and applications of SiC f /SiC composite to develop a silicon carbide composite by PIP method. Additionally, characteristics and thermal behaviors of a PCS+SiC powder slurry and infiltration behaviors of slurry into the SiC fabric was evaluated. The stacking behaviors of SiC fabrics infiltrated a PCS+SiC powder slurry was also investigated. Using this stacked preforms, SiC f /SiC composites were fabricated by the electron beam curing and pyrolysis process and the thermal oxidation curing and pyrolysis process, respectively. And the characteristics of both composites were compared

  8. Composition-graded nanowire solar cells fabricated in a single process for spectrum-splitting photovoltaic systems.

    Science.gov (United States)

    Caselli, Derek; Liu, Zhicheng; Shelhammer, David; Ning, Cun-Zheng

    2014-10-08

    Nanomaterials such as semiconductor nanowires have unique features that could enable novel optoelectronic applications such as novel solar cells. This paper aims to demonstrate one such recently proposed concept: Monolithically Integrated Laterally Arrayed Multiple Band gap (MILAMB) solar cells for spectrum-splitting photovoltaic systems. Two cells with different band gaps were fabricated simultaneously in the same process on a single substrate using spatially composition-graded CdSSe alloy nanowires grown by the Dual-Gradient Method in a chemical vapor deposition system. CdSSe nanowire ensemble devices tested under 1 sun AM1.5G illumination achieved open-circuit voltages up to 307 and 173 mV and short-circuit current densities as high as 0.091 and 0.974 mA/cm(2) for the CdS- and CdSe-rich cells, respectively. The open-circuit voltages were roughly three times those of similar CdSSe film cells fabricated for comparison due to the superior optical quality of the nanowires. I-V measurements were also performed using optical filters to simulate spectrum-splitting. The open-circuit voltages and fill factors of the CdS-rich subcells were uniformly larger than the corresponding CdSe-rich cells for similar photon flux, as expected. This suggests that if all wires can be contacted, the wide-gap cell is expected to have greater output power than the narrow-gap cell, which is the key to achieving high efficiencies with spectrum-splitting. This paper thus provides the first proof-of-concept demonstration of simultaneous fabrication of MILAMB solar cells. This approach to solar cell fabrication using single-crystal nanowires for spectrum-splitting photovoltaics could provide a future low-cost high-efficiency alternative to the conventional high-cost high-efficiency tandem cells.

  9. Mask fabrication process

    Science.gov (United States)

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  10. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  11. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  12. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  13. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  14. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    Science.gov (United States)

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  15. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  16. Mixed U/Pu oxide fuel fabrication facility co-processed feed, pelletized fuel

    International Nuclear Information System (INIS)

    1978-09-01

    Two conceptual MOX fuel fabrication facilities are discussed in this study. The first facility in the main body of the report is for the fabrication of LWR uranium dioxide - plutonium dioxide (MOX) fuel using co-processed feed. The second facility in the addendum is for the fabrication of co-processed MOX fuel spiked with 60 Co. Both facilities produce pellet fuel. The spiked facility uses the same basic fabrication process as the conventional MOX plant but the fuel feed incorporates a high energy gamma emitter as a safeguard measure against diversion; additional shielding is added to protect personnel from radiation exposure, all operations are automated and remote, and normal maintenance is performed remotely. The report describes the fuel fabrication process and plant layout including scrap and waste processing; and maintenance, ventilation and safety measures

  17. Fabrication of In(0.75)Zn(1.5)Sn(1.0) (IZTO) Thin-Film Transistors Using Solution-Processable Materials and PZT Inkjet-Printing.

    Science.gov (United States)

    Lee, Tai-Kuang; Liuand, Chao-Te; Lee, Wen-Hsi

    2017-01-01

    Recently, Thin Film Transistors (TFTs) have been studied widely because of potential applications in low cost, low-temperature process and flexible displays. They can be fabricated by easy processes based on solution methods. But the mobility of organic TFTs is lower and the threshold voltage is higher than amorphous Si TFTs. In order to enhance the channel mobility and satisfy with the requirement of low-cost fabrication, we prepare a low-cost, mask-free, reduced material wastage, deposited technology using transparent, directly printable, air-stable semiconductor slurries and dielectric solutions. In our investigations, we attempt to obtain a high performance and low-cost TFT via preparing materials, designing device structure, and using PZT inkjet-printing technology. A stable and non-precipitated metal oxide ink with appropriate doping was prepared for the fabrication of an InxZn1.5Sn1.0 (IZTO) by PZT inkjet-printing. The soluble direct-printing process is a powerful tool for material research and implies that the printable materials and the printing technology enable the use of all-printed low-cost flexible displays and other transparent electronic applications. Transparent materials including dielectric PVP, conductive carbon nanotube (CNT) and active IZTO were employed into the fabrication of our PZT inkjet-printing process. After annealed at 180 °C, The experimental all-printed TFT exhibit the carrier mobility of 0.194 cm2/Vs, sub-threshold slope of 20 V/decade, and the threshold voltage of 5 V, initially. All-inkjet-printed films have great transparency, potentially in transparent electronics and the transmittance pattern in visible part of the spectrum (400–700 nm) is over 80%.

  18. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  19. A Solution-Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics

    KAUST Repository

    Kiefer, David; Yu, Liyang; Fransson, Erik; Gó mez, André s; Primetzhofer, Daniel; Amassian, Aram; Campoy-Quiles, Mariano; Mü ller, Christian

    2016-01-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution-doped conjugated polymer poly(3-hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer-thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm−1 and Seebeck coefficient from 100 to 60 μV K−1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m−1 K−1 gives rise to a thermoelectric Figure of merit ZT ∼ 10−4 that remains unaltered for an insulator content of more than 60 wt%. Free-standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends.

  20. A Solution-Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics

    KAUST Repository

    Kiefer, David

    2016-09-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution-doped conjugated polymer poly(3-hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer-thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm−1 and Seebeck coefficient from 100 to 60 μV K−1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m−1 K−1 gives rise to a thermoelectric Figure of merit ZT ∼ 10−4 that remains unaltered for an insulator content of more than 60 wt%. Free-standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends.

  1. Property-process relationships in nuclear fuel fabrication

    International Nuclear Information System (INIS)

    Tikare, V.

    2015-01-01

    Nuclear fuels are fabricated using many different techniques as they come in a large variety of shapes and compositions. The design and composition of nuclear fuels are predominantly dictated by the engineering requirements necessary for their function in reactors of various designs. Other engineering properties requirements originate from safety and security concerns, and the easy of handling, storing, transporting and disposing of the radioactive materials. In this chapter, the more common of these fuels will be briefly reviewed and the methods used to fabricate them will be presented. The fuels considered in this paper are oxide fuels used in LWRs and FRs, metal fuels in FRs and particulate fuels used in HTGRs. Fabrication of alternative fuel forms and use of standard fuels in alternative reactors will be discussed briefly. The primary motivation to advance fuel fabrication is to improve performance, reduce cost, reduce waste or enhance safety and security of the fuels. To achieve optimal performance, developing models to advance fuel fabrication has to be done in concert with developing fuel performance models. The specific properties and microstructures necessary for improved fuel performance must be identified using fuel performance models, while fuel fabrication models that can determine processing variables to give the desired microstructure and materials properties must be developed. (author)

  2. High Gain Hybrid Graphene-Organic Semiconductor Phototransistors

    NARCIS (Netherlands)

    Huisman, Everardus H.; Shulga, Artem G.; Zomer, Paul J.; Tombros, Nikolaos; Bartesaghi, Davide; Bisri, Satria Zulkarnaen; Loi, Maria A.; Koster, L. Jan Anton; van Wees, Bart J.

    2015-01-01

    Hybrid phototransistors of graphene and the organic semiconductor poly(3-hexylthiophene-2,5-diyl) (P3HT) are presented. Two types of phototransistors are demonstrated with a charge carrier transit time that differs by more than 6 orders of magnitude. High transit time devices are fabricated using a

  3. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei

    2016-02-16

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  4. Recent Developments in p-Type Oxide Semiconductor Materials and Devices

    KAUST Repository

    Wang, Zhenwei; Nayak, Pradipta K.; Caraveo-Frescas, Jesus Alfonso; Alshareef, Husam N.

    2016-01-01

    The development of transparent p-type oxide semiconductors with good performance may be a true enabler for a variety of applications where transparency, power efficiency, and greater circuit complexity are needed. Such applications include transparent electronics, displays, sensors, photovoltaics, memristors, and electrochromics. Hence, here, recent developments in materials and devices based on p-type oxide semiconductors are reviewed, including ternary Cu-bearing oxides, binary copper oxides, tin monoxide, spinel oxides, and nickel oxides. The crystal and electronic structures of these materials are discussed, along with approaches to enhance valence-band dispersion to reduce effective mass and increase mobility. Strategies to reduce interfacial defects, off-state current, and material instability are suggested. Furthermore, it is shown that promising progress has been made in the performance of various types of devices based on p-type oxides. Several innovative approaches exist to fabricate transparent complementary metal oxide semiconductor (CMOS) devices, including novel device fabrication schemes and utilization of surface chemistry effects, resulting in good inverter gains. However, despite recent developments, p-type oxides still lag in performance behind their n-type counterparts, which have entered volume production in the display market. Recent successes along with the hurdles that stand in the way of commercial success of p-type oxide semiconductors are presented.

  5. Fabrication and characterization of 6,13-bis(triisopropylsilylethynyl)-pentacene active semiconductor thin films prepared by flow-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Mohamad, Khairul Anuar; Rusnan, Fara Naila; Seria, Dzulfahmi Mohd Husin; Saad, Ismail; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia); Katsuhiro, Uesugi; Hisashi, Fukuda [Division of Engineering for Composite Functions, Muroran Institute of Technology 27-1 Mizumoto, Muroran 050-8585 Hokkaido (Japan)

    2015-08-28

    Investigation on the physical characterization and comparison of organic thin film based on a soluble 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene is reported. Oriented thin-films of pentacene have been successfully deposited by flow-coating method, in which the chloroform solution is sandwiched between a transparent substrate and a slide glass, followed by slow-drawing of the substrate with respect to the slide glass. Molecular orientation of flow-coated TIPS-pentacene is comparable to that of the thermal-evaporated pentacene thin film by the X-ray diffraction (XRD) results. XRD results showed that the morphology of flow-coated soluble pentacene is similar to that of the thermal-evaporated pentacene thin films in series of (00l) diffraction peaks where the (001) diffraction peaks are strongest in the nominally out-of-plane intensity and interplanar spacing located at approximately 2θ = 5.33° (d-spacing, d{sub 001} = 16 Å). Following that, ITO/p-TIPS-pentacene/n-ZnO/Au vertical diode was fabricated. The diode exhibited almost linear characteristics at low voltage with nonlinear characteristics at higher voltage which similar to a pn junction behavior. The results indicated that the TIPS-pentacene semiconductor active thin films can be used as a hole injection layer for fabrication of a vertical organic transistor.

  6. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  7. Semiconductor Mode-Locked Lasers for Optical Communication Systems

    DEFF Research Database (Denmark)

    Yvind, Kresten

    2003-01-01

    The thesis deals with the design and fabrication of semiconductor mode-locked lasers for use in optical communication systems. The properties of pulse sources and characterization methods are described as well as requirements for application in communication systems. Especially, the importance of...

  8. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  9. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  10. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  11. An improved fabrication process for Si-detector-compatible JFETs

    International Nuclear Information System (INIS)

    Piemonte, Claudio; Dalla Betta, Gian-Franco; Boscardin, Maurizio; Gregori, Paolo; Zorzi, Nicola; Ratti, Lodovico

    2006-01-01

    We report on JFET devices fabricated on high-resistivity silicon with a radiation detector technology. The problems affecting previous versions of these devices have been thoroughly investigated and solved by developing an improved fabrication process, which allows for a sizeable enhancement in the JFET performance. In this paper, the main features of the fabrication technology are presented and selected results from the electrical and noise characterization of transistors are discussed

  12. Fabrication Process Development for Light Deformable Mirrors

    Data.gov (United States)

    National Aeronautics and Space Administration — The project objective is to develop robust, reproductibble fabrication processes to realize functional deformable membrane mirrors (DM) for a space mission in which...

  13. Relation between sick leave and selected exposure variables among women semiconductor workers in Malaysia

    Science.gov (United States)

    Chee, H; Rampal, K

    2003-01-01

    Aims: To determine the relation between sick leave and selected exposure variables among women semiconductor workers. Methods: This was a cross sectional survey of production workers from 18 semiconductor factories. Those selected had to be women, direct production operators up to the level of line leader, and Malaysian citizens. Sick leave and exposure to physical and chemical hazards were determined by self reporting. Three sick leave variables were used; number of sick leave days taken in the past year was the variable of interest in logistic regression models where the effects of age, marital status, work task, work schedule, work section, and duration of work in factory and work section were also explored. Results: Marital status was strongly linked to the taking of sick leave. Age, work schedule, and duration of work in the factory were significant confounders only in certain cases. After adjusting for these confounders, chemical and physical exposures, with the exception of poor ventilation and smelling chemicals, showed no significant relation to the taking of sick leave within the past year. Work section was a good predictor for taking sick leave, as wafer polishing workers faced higher odds of taking sick leave for each of the three cut off points of seven days, three days, and not at all, while parts assembly workers also faced significantly higher odds of taking sick leave. Conclusion: In Malaysia, the wafer fabrication factories only carry out a limited portion of the work processes, in particular, wafer polishing and the processes immediately prior to and following it. This study, in showing higher illness rates for workers in wafer polishing compared to semiconductor assembly, has implications for the governmental policy of encouraging the setting up of wafer fabrication plants with the full range of work processes. PMID:12660374

  14. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  15. Evolution of biofunctional semiconductor nanocrystals: a calorimetric investigation.

    Science.gov (United States)

    Ghosh, Debasmita; Mondal, Somrita; Roy, Chandra Nath; Saha, Abhijit

    2013-12-14

    Semiconductor nanomaterials have found numerous applications in optoelectronic device fabrication and in platforms for drug delivery and hyperthermia cancer treatment, and in various other biomedical fields because of their high photochemical stability and size-tunable photoluminescence (PL). However, little attention has been paid to exploring the energetics of formation of these semiconductor nanoparticles. We demonstrate that formation of nanocrystals with biofunctionalization supported by widely used groups, BSA and cysteine, is an exothermic spontaneous process driven by enthalpy. The whole energetics of the reaction shows that formation of smaller particles is favored with lower synthesis temperature. Further, it is shown that the thermodynamics of nanoparticle formation is strongly influenced by the conformation of the protein matrix. We also demonstrate that protein supported formation of nanocrystals is thermodynamically more favorable compared to that involving smaller organic thiol groups. The favorable enthalpy of formation compensates unfavorable entropy, resulting in favorable Gibbs free energy. Thus, this study can open up new avenues for establishing a thermodynamic basis for the design of nanosystems with new and tunable properties.

  16. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  17. Process development and fabrication for sphere-pac fuel rods

    International Nuclear Information System (INIS)

    Welty, R.K.; Campbell, M.H.

    1981-06-01

    Uranium fuel rods containing sphere-pac fuel have been fabricated for in-reactor tests and demonstrations. A process for the development, qualification, and fabrication of acceptable sphere-pac fuel rods is described. Special equipment to control fuel contamination with moisture or air and the equipment layout needed for rod fabrication is described and tests for assuring the uniformity of the fuel column are discussed. Fuel retainers required for sphere-pac fuel column stability and instrumentation to measure fuel column smear density are described. Results of sphere-pac fuel rod fabrication campaigns are reviewed and recommended improvements for high throughput production are noted

  18. Semiconductor quantum dot-sensitized solar cells.

    Science.gov (United States)

    Tian, Jianjun; Cao, Guozhong

    2013-10-31

    Semiconductor quantum dots (QDs) have been drawing great attention recently as a material for solar energy conversion due to their versatile optical and electrical properties. The QD-sensitized solar cell (QDSC) is one of the burgeoning semiconductor QD solar cells that shows promising developments for the next generation of solar cells. This article focuses on recent developments in QDSCs, including 1) the effect of quantum confinement on QDSCs, 2) the multiple exciton generation (MEG) of QDs, 3) fabrication methods of QDs, and 4) nanocrystalline photoelectrodes for solar cells. We also make suggestions for future research on QDSCs. Although the efficiency of QDSCs is still low, we think there will be major breakthroughs in developing QDSCs in the future.

  19. Fabrication and characterization of melt-processed YBCO

    International Nuclear Information System (INIS)

    Sengupta, S.; Corpus, J.; Gaines, J.R. Jr.; Todt, V.R.; Zhang, X.F.; Miller, D.J.; Varanasi, C.; McGinn, P.J.

    1996-01-01

    Large domain YBCO are fabricated by using a melt processing technique for magnetic levitation applications. A Nd 1+x Ba 2-x Cu 3 O y seed is used to initiate grain growth and to control the orientation of YBCO grains. Samples as large as 2 inch have been fabricated by utilizing this method. Microstructural studies reveals two distinct regions in these levitators due to different growth mechanism along a/b and c axis. Some initial results on the mass production of these levitators are also reported

  20. Ag-based semiconductor photocatalysts in environmental purification

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jiade; Fang, Wen [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Yu, Changlin, E-mail: yuchanglinjx@163.com [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); School of Environment Engineering and biology Engineering, Guangdong University of Petrochemical Technology, Maoming, 525000 Guangdong Province (China); Zhou, Wanqin [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); State Key Laboratory of Photocatalysis on Energy and Environment, Fuzhou University, Fuzhou, 350002 (China); Zhu, Lihua [School of Metallurgy and Chemical Engineering, Jiangxi University of Science and Technology, Ganzhou 341000, Jiangxi Province (China); Xie, Yu, E-mail: xieyu_121@163.com [College of Environment and Chemical Engineering, Nanchang Hangkong University, Nanchang 330063, Jiangxi (China)

    2015-12-15

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO{sub 2}, ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  1. Ag-based semiconductor photocatalysts in environmental purification

    International Nuclear Information System (INIS)

    Li, Jiade; Fang, Wen; Yu, Changlin; Zhou, Wanqin; Zhu, Lihua; Xie, Yu

    2015-01-01

    Graphical abstract: Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Formation of heterojunction could largely promote the electron/hole pair separation, resulting in highly photocatalytic activity and stability. - Highlights: • Recent research progress in the fabrication and application of Ag-based semiconductor photocatalyts. • The advantages and disadvantages of Ag-based semiconductor as photocatalysts. • Strategies in design Ag-based semiconductor photocatalysts with high performance. - Abstract: Over the past decades, with the fast development of global industrial development, various organic pollutants discharged in water have become a major source of environmental pollution in waste fields. Photocatalysis, as green and environmentally friendly technology, has attracted much attention in pollutants degradation due to its efficient degradation rate. However, the practical application of traditional semiconductor photocatalysts, e.g. TiO 2 , ZnO, is limited by their weak visible light adsorption due to their wide band gaps. Nowadays, the study in photocatalysts focuses on new and narrow band gap semiconductors. Among them, Ag-based semiconductors as promising visible light-driven photocatalysts have aroused much interesting due to their strong visible light responsibility. Most of Ag-based semiconductors could exhibit high initial photocatalytic activity. But they easy suffer from poor stability because of photochemical corrosion. Design heterojunction, increasing specific surface area, enriching pore structure, regulating morphology, controlling crystal facets, and producing plasmonic effects were considered as the effective strategies to improve the photocatalytic performance of Ag-based photocatalyts. Moreover, combining the superior properties of carbon materials (e.g. carbon quantum dots, carbon nano-tube, carbon nanofibers, graphene) with Ag

  2. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  3. Research on plant of metal fuel fabrication using casting process

    International Nuclear Information System (INIS)

    Senda, Yasuhide; Mori, Yukihide

    2003-12-01

    This document presents the plant concept of metal fuel fabrication system (38tHM/y) using casting process in electrolytic recycle, which based on recent studies of its equipment design and quality control system. And we estimate the cost of its construction and operation, including costs of maintenance, consumed hardware and management of waste. The content of this work is as follows. (1) Designing of fuel fabrication equipment: We make material flow diagrams of the fuel fabrication plant and rough designs of the injection casting furnace, demolder and inspection equipment. (2) Designing of resolution system of liquid waste, which comes from analytical process facility. Increased analytical items, we rearrange analytical process facility, estimate its chemicals and amount of waste. (3) Arrangement of equipments: We made a arrangement diagram of the metal fuel fabrication equipments in cells. (4) Estimation of cost data: We estimated cost to construct the facility and to operate it. (author)

  4. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  5. A Solder Based Self Assembly Project in an Introductory IC Fabrication Course

    Science.gov (United States)

    Rao, Madhav; Lusth, John C.; Burkett, Susan L.

    2015-01-01

    Integrated circuit (IC) fabrication principles is an elective course in a senior undergraduate and early graduate student's curriculum. Over the years, the semiconductor industry relies heavily on students with developed expertise in the area of fabrication techniques, learned in an IC fabrication theory and laboratory course. The theory course…

  6. Organic semiconductor heterojunctions and its application in organic light-emitting diodes

    CERN Document Server

    Ma, Dongge

    2017-01-01

    This book systematically introduces the most important aspects of organic semiconductor heterojunctions, including the basic concepts and electrical properties. It comprehensively discusses the application of organic semiconductor heterojunctions as charge injectors and charge generation layers in organic light-emitting diodes (OLEDs). Semiconductor heterojunctions are the basis for constructing high-performance optoelectronic devices. In recent decades, organic semiconductors have been increasingly used to fabricate heterojunction devices, especially in OLEDs, and the subject has attracted a great deal of attention and evoked many new phenomena and interpretations in the field. This important application is based on the low dielectric constant of organic semiconductors and the weak non-covalent electronic interactions between them, which means that they easily form accumulation heterojunctions. As we know, the accumulation-type space charge region is highly conductive, which is an important property for high...

  7. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    Science.gov (United States)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  8. Sol-gel process for thermal reactor fuel fabrication

    International Nuclear Information System (INIS)

    Mukerjee, S.K.

    2008-01-01

    Full text: Sol-gel processes have revolutionized conventional ceramic technology by providing extremely fine and uniform powders for the fabrication of ceramics. The use of this technology for nuclear fuel fabrication has also been explored in many countries. Unlike the conventional sol-gel process, sol-gel process for nuclear fuels tries to eliminate the preparation of powders in view of the toxic nature of the powders particularly those of plutonium and 233 U. The elimination of powder handling thus makes this process more readily amenable for use in glove boxes or for remote handling. In this process, the first step is the preparation of microspheres of the fuel material from a solution which is then followed by vibro-compaction of these microspheres of different sizes to obtain the required smear density of fuel inside a pin. The maximum achievable packing density of 92 % makes it suitable for fast reactors only. With a view to extend the applicability of sol-gel process for thermal reactor fuel fabrication the concept of converting the gel microspheres derived from sol-gel process, to the pellets, has been under investigation for several years. The unique feature of this process is that it combines the advantages of sol-gel process for the preparation of fuel oxide gel microspheres of reproducible quality with proven irradiation behavior of the pellet fuel. One of the important pre-requisite for the success of this process is the preparation of soft oxide gel microspheres suitable for conversion to dense pellets free from berry structure. Studies on the internal gelation process, one of the many variants of sol-gel process, for obtaining soft oxide gel microspheres suitable for gel pelletisation is now under investigation at BARC. Some of the recent findings related to Sol-Gel Microsphere Pelletisation (SGMP) in urania-plutonia and thoria-urania systems will be presented

  9. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  10. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    Science.gov (United States)

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852

  11. In-process fault detection for textile fabric production: onloom imaging

    Science.gov (United States)

    Neumann, Florian; Holtermann, Timm; Schneider, Dorian; Kulczycki, Ashley; Gries, Thomas; Aach, Til

    2011-05-01

    Constant and traceable high fabric quality is of high importance both for technical and for high-quality conventional fabrics. Usually, quality inspection is carried out by trained personal, whose detection rate and maximum period of concentration are limited. Low resolution automated fabric inspection machines using texture analysis were developed. Since 2003, systems for the in-process inspection on weaving machines ("onloom") are commercially available. With these defects can be detected, but not measured quantitative precisely. Most systems are also prone to inevitable machine vibrations. Feedback loops for fault prevention are not established. Technology has evolved since 2003: Camera and computer prices dropped, resolutions were enhanced, recording speeds increased. These are the preconditions for real-time processing of high-resolution images. So far, these new technological achievements are not used in textile fabric production. For efficient use, a measurement system must be integrated into the weaving process; new algorithms for defect detection and measurement must be developed. The goal of the joint project is the development of a modern machine vision system for nondestructive onloom fabric inspection. The system consists of a vibration-resistant machine integration, a high-resolution machine vision system, and new, reliable, and robust algorithms with quality database for defect documentation. The system is meant to detect, measure, and classify at least 80 % of economically relevant defects. Concepts for feedback loops into the weaving process will be pointed out.

  12. Inverted process for graphene integrated circuits fabrication.

    Science.gov (United States)

    Lv, Hongming; Wu, Huaqiang; Liu, Jinbiao; Huang, Can; Li, Junfeng; Yu, Jiahan; Niu, Jiebin; Xu, Qiuxia; Yu, Zhiping; Qian, He

    2014-06-07

    CMOS compatible 200 mm two-layer-routing technology is employed to fabricate graphene field-effect transistors (GFETs) and monolithic graphene ICs. The process is inverse to traditional Si technology. Passive elements are fabricated in the first metal layer and GFETs are formed with buried gate/source/drain in the second metal layer. Gate dielectric of 3.1 nm in equivalent oxide thickness (EOT) is employed. 500 nm-gate-length GFETs feature a yield of 80% and fT/fmax = 17 GHz/15.2 GHz RF performance. A high-performance monolithic graphene frequency multiplier is demonstrated using the proposed process. Functionality was demonstrated up to 8 GHz input and 16 GHz output. The frequency multiplier features a 3 dB bandwidth of 4 GHz and conversion gain of -26 dB.

  13. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  14. Micro and nano fabrication tools and processes

    CERN Document Server

    Gatzen, Hans H; Leuthold, Jürg

    2015-01-01

    For Microelectromechanical Systems (MEMS) and Nanoelectromechanical Systems (NEMS) production, each product requires a unique process technology. This book provides a comprehensive insight into the tools necessary for fabricating MEMS/NEMS and the process technologies applied. Besides, it describes enabling technologies which are necessary for a successful production, i.e., wafer planarization and bonding, as well as contamination control.

  15. A full description of a simple and scalable fabrication process for electrowetting displays

    International Nuclear Information System (INIS)

    Zhou, K; Heikenfeld, J; Dean, K A; Howard, E M; Johnson, M R

    2009-01-01

    Electrowetting displays provide a high white state reflectance of >50% and have attracted substantial world-wide interest, yet are primarily an industrially led effort with few details on preferred materials and fabrication processes. Reported herein is the first complete description of the electrowetting display fabrication process. The description includes materials selection, purification and all fabrication steps from substrate selection to sealing. Challenging materials and fabrication processes include dielectric optimization, fluoropolymer selection, hydrophilic grid patterning, liquid dosing, dye purification and liquid ionic content. The process described herein has produced pixel arrays that were switched at 2 . The majority of fabrication processes can conform to liquid-crystal style manufacturing equipment, and therefore can be readily adopted by many display practitioners. Also presented are additional tips and techniques, such as controlling the onset of oil film break-up in an electrowetting display. This paper should enable anyone skilled in displays or microfabrication to quickly and successfully set up research and fabrication of electrowetting displays

  16. Consumable Process Development for Chemical Mechanical Planarization of Bit Patterned Media for Magnetic Storage Fabrication

    Science.gov (United States)

    Bonivel, Joseph T., Jr.

    2010-09-01

    As the superparamagnetic limit is reached, the magnetic storage industry looks to circumvent the barrier by implementing patterned media (PM) as a viable means to store and access data. Chemical mechanical polishing (CMP) is a semiconductor fabrication technique used to planarize surfaces and is investigated as a method to ensure that the PM is polished to surface roughness parameters that allow the magnetic read/write head to move seamlessly across the PM. Results from this research have implications in feasibility studies of utilizing CMP as the main planarization technique for PM fabrication. Benchmark data on the output parameters of the CMP process, for bit patterned media (BPM), based on the machine process parameters, pad properties, and slurry characteristics are optimized. The research was conducted in a systematic manner in which the optimized parameters for each phase are utilized in future phases. The optimum results from each of the phases provide an overall optimum characterization for BPM CMP. Results on the CMP machine input parameters indicate that for optimal surface roughness and material removal, low polish pressures and high velocities should be used on the BPM. Pad characteristics were monitored by non destructive technique and results indicate much faster deterioration of all padcharacteristics versus polish time of BPM when compared to IC CMP. The optimum pad for PM polishing was the IC 1400 dual layer Suba V pad with a shore hardness of 57, and a k-groove pattern. The final phase of polishing evaluated the slurry polishing properties and novel nanodiamond (ND) slurry was created and benchmarked on BPM. The resulting CMP output parameters were monitored and neither the ND slurry nor the thermally responsive polymer slurry performed better than the commercially available Cabot iCue slurry for MRR or surface roughness. Research results indicate CMP is a feasible planarization technique for PM fabrication, but successful implementation of CMP

  17. Highly stable and imperceptible electronics utilizing photoactivated heterogeneous sol-gel metal-oxide dielectrics and semiconductors.

    Science.gov (United States)

    Jo, Jeong-Wan; Kim, Jaekyun; Kim, Kyung-Tae; Kang, Jin-Gu; Kim, Myung-Gil; Kim, Kwang-Ho; Ko, Hyungduk; Kim, Jiwan; Kim, Yong-Hoon; Park, Sung Kyu

    2015-02-18

    Incorporation of Zr into an AlOx matrix generates an intrinsically activated ZAO surface enabling the formation of a stable semiconducting IGZO film and good interfacial properties. Photochemically annealed metal-oxide devices and circuits with the optimized sol-gel ZAO dielectric and IGZO semiconductor layers demonstrate the high performance and electrically/mechanically stable operation of flexible electronics fabricated via a low-temperature solution process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  19. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  20. Semiconductor@metal-organic framework core-shell heterostructures: a case of ZnO@ZIF-8 nanorods with selective photoelectrochemical response.

    Science.gov (United States)

    Zhan, Wen-wen; Kuang, Qin; Zhou, Jian-zhang; Kong, Xiang-jian; Xie, Zhao-xiong; Zheng, Lan-sun

    2013-02-06

    Metal-organic frameworks (MOFs) and related material classes are attracting considerable attention for their applications in gas storage/separation as well as catalysis. In contrast, research concerning potential uses in electronic devices (such as sensors) is in its infancy, which might be due to a great challenge in the fabrication of MOFs and semiconductor composites with well-designed structures. In this paper, we proposed a simple self-template strategy to fabricate metal oxide semiconductor@MOF core-shell heterostructures, and successfully obtained freestanding ZnO@ZIF-8 nanorods as well as vertically standing arrays (including nanorod arrays and nanotube arrays). In this synthetic process, ZnO nanorods not only act as the template but also provide Zn(2+) ions for the formation of ZIF-8. In addition, we have demonstrated that solvent composition and reaction temperature are two crucial factors for successfully fabricating well-defined ZnO@ZIF-8 heterostructures. As we expect, the as-prepared ZnO@ZIF-8 nanorod arrays display distinct photoelectrochemical response to hole scavengers with different molecule sizes (e.g., H(2)O(2) and ascorbic acid) owing to the limitation of the aperture of the ZIF-8 shell. Excitingly, such ZnO@ZIF-8 nanorod arrays were successfully applied to the detection of H(2)O(2) in the presence of serous buffer solution. Therefore, it is reasonable to believe that the semiconductor@MOFs heterostructure potentially has promising applications in many electronic devices including sensors.

  1. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  2. Fabrication of long REBCO coated conductors by PLD process in China

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yijie, E-mail: yjli@sjtu.edu.cn [Key Laboratory of Artificial Structure and Quantum Control, Ministry of Education, Department of Physics and Astronomy, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 20040 (China); Shanghai Superconductor Technology Corporation, Ltd, 28 Jiang Chuan Road, Shanghai 200240 (China); Liu, Linfei; Wu, Xiang [Key Laboratory of Artificial Structure and Quantum Control, Ministry of Education, Department of Physics and Astronomy, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 20040 (China)

    2015-11-15

    Highlights: • SJTU fabricated 100 m long class CC tapes with over 300 A/cm on RABiTS tapes in 2011. • 100 m long CC tapes with 500 A/cm have been routinely fabricated on IBAD-MgO tapes. • The process optimization for kilometer long coated conductor tapes is underway. - Abstract: In China, the First National Key Project on CC Program started in 2009, which was focused on developing hundred meter long class CC tapes based on PLD/RABiTS processes. In this project, SJTU mainly worked on all of functional layer deposition process development. Northwest Institute for Non-ferrous Metal Research worked on RABiTS tape fabrication. At the end of the project in 2011, SJTU successfully fabricated hundred meter long CC tapes with over 300 A/cm (at 77 K, self field) on RABiTS tapes. To develop high performance CC tapes by PLD/IBAD-MgO processes, a pilot CC fabrication line was set up at Shanghai Superconductor Technology Corporation, Ltd. in 2013. High quality long REBCO coated conductors have been successfully fabricated on flexible polycrystalline metal tapes by PLD plus magnetron sputter and IBAD processes. Under optimized conditions, the IBAD-MgO layers showed pure (0 0 1) orientation and excellent in-plane texture. The in-plane phi-scan rocking curve is 4–6 degrees. AFM observation showed MgO layer had very smooth surface. The RMS is less 1 nm. On the textured MgO layer, sputter deposited single cerium oxide cap-layer showed pure (0 0 1) orientation and excellent in-plane texture of 4–6 degree. Reel-to-reel PLD process with high deposition rate was already scaled up to 100 m/h tape speed. Hundred meters long coated conductor tapes with over 500 A/cm performance have been routinely fabricated. And now, the process optimization for kilometer long coated conductor tapes is underway.

  3. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  4. Growth of Bulk Wide Bandgap Semiconductor Crystals and Their Potential Applications

    Science.gov (United States)

    Chen, Kuo-Tong; Shi, Detang; Morgan, S. H.; Collins, W. Eugene; Burger, Arnold

    1997-01-01

    Developments in bulk crystal growth research for electro-optical devices in the Center for Photonic Materials and Devices since its establishment have been reviewed. Purification processes and single crystal growth systems employing physical vapor transport and Bridgman methods were assembled and used to produce high purity and superior quality wide bandgap materials such as heavy metal halides and II-VI compound semiconductors. Comprehensive material characterization techniques have been employed to reveal the optical, electrical and thermodynamic properties of crystals, and the results were used to establish improved material processing procedures. Postgrowth treatments such as passivation, oxidation, chemical etching and metal contacting during the X-ray and gamma-ray device fabrication process have also been investigated and low noise threshold with improved energy resolution has been achieved.

  5. Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS equipment

    Directory of Open Access Journals (Sweden)

    Ann-Kathrin Kleinschmidt

    2016-11-01

    Full Text Available Reflectance anisotropy spectroscopy (RAS equipment is applied to monitor dry-etch processes (here specifically reactive ion etching (RIE of monocrystalline multilayered III–V semiconductors in situ. The related accuracy of etch depth control is better than 16 nm. Comparison with results of secondary ion mass spectrometry (SIMS reveals a deviation of only about 4 nm in optimal cases. To illustrate the applicability of the reported method in every day settings for the first time the highly etch depth sensitive lithographic process to form a film lens on the waveguide ridge of a broad area laser (BAL is presented. This example elucidates the benefits of the method in semiconductor device fabrication and also suggests how to fulfill design requirements for the sample in order to make RAS control possible.

  6. Ultrawide band gap amorphous oxide semiconductor, Ga–Zn–O

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Junghwan, E-mail: JH.KIM@lucid.msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Miyokawa, Norihiko; Sekiya, Takumi; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Toda, Yoshitake [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio [Materials and Structures Laboratory, Tokyo Institute of Technology, Mailbox R3-4, 4259 Nagatsuta, Midori-ku, Yokohama (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama (Japan)

    2016-09-01

    We fabricated amorphous oxide semiconductor films, a-(Ga{sub 1–x}Zn{sub x})O{sub y}, at room temperature on glass, which have widely tunable band gaps (E{sub g}) ranging from 3.47–4.12 eV. The highest electron Hall mobility ~ 7 cm{sup 2} V{sup −1} s{sup −1} was obtained for E{sub g} = ~ 3.8 eV. Ultraviolet photoemission spectroscopy revealed that the increase in E{sub g} with increasing the Ga content comes mostly from the deepening of the valence band maximum level while the conduction band minimum level remains almost unchanged. These characteristics are explained by their electronic structures. As these films can be fabricated at room temperature on plastic, this achievement extends the applications of flexible electronics to opto-electronic integrated circuits associated with deep ultraviolet region. - Highlights: • Incorporation of H/H{sub 2}O stabilizes the amorphous phase. • Ultrawide band gap (~ 3.8 eV) amorphous oxide semiconductor was fabricated. • The increase in band gap comes mostly from the deepening of the valence band maximum level. • Donor level is more likely aligned to the valence band maximum level.

  7. Fabrication and characteristics of a 4H-SiC junction barrier Schottky diode

    International Nuclear Information System (INIS)

    Chen Fengping; Zhang Yuming; Lue Hongliang; Zhang Yimen; Guo Hui; Guo Xin

    2011-01-01

    4H-SiC junction barrier Schottky (JBS) diodes with four kinds of design have been fabricated and characterized using two different processes in which one is fabricated by making the P-type ohmic contact of the anode independently, and the other is processed by depositing a Schottky metal multi-layer on the whole anode. The reverse performances are compared to find the influences of these factors. The results show that JBS diodes with field guard rings have a lower reverse current density and a higher breakdown voltage, and with independent P-type ohmic contact manufacturing, the reverse performance of 4H-SiC JBS diodes can be improved effectively. Furthermore, the P-type ohmic contact is studied in this work. (semiconductor devices)

  8. Time effectiveness of capillary effect improvement of ramie fabrics processed by RF glow discharging

    International Nuclear Information System (INIS)

    Wang Zhiwen; Wei Weixing; He Yanhe; Zhao Yuanqing; Pan Liyiji; Li Xuemei; Shi Shaodui; Li Guangxin

    2010-01-01

    The time effectiveness of capillary effect improvement of ramie fabrics processed by RF glow discharging was studied. The ramie fabrics were processed in fulfilling with different gas (O 2 , N 2 , Ar) by different parameters (such as pressure,power and time) plasma. The capillary effect of the ramie fabrics processed by RF glow discharging was tested at different time. The results indicate that the capillary effect of ramie fabrics processed by RF glow discharging has been improved, the improvement of the capillary effect firstly decrease rapidly, then slowly, and become stable after 15 day, it indicate that improvement of the ramie fabrics capillary has good time effectiveness, and the plasma parameter for the best capillary effect improvement of ramie fabric is 100 W and 40 Pa processed 20 min by oxygen plasma. (authors)

  9. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    International Nuclear Information System (INIS)

    Chou, Yi-Sin; Yen, Shi-Chern; Jeng, King-Tsai

    2015-01-01

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface

  10. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yi-Sin [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Yen, Shi-Chern, E-mail: scyen@ntu.edu.tw [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Jeng, King-Tsai [Research Division I, TIER, 7F, No. 16-8, Dehuei St., Taipei 10461, Taiwan (China)

    2015-07-15

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface.

  11. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  12. Schottky barrier MOSFET systems and fabrication thereof

    Science.gov (United States)

    Welch, J.D.

    1997-09-02

    (MOS) device systems-utilizing Schottky barrier source and drain to channel region junctions are disclosed. Experimentally derived results which demonstrate operation of fabricated N-channel and P-channel Schottky barrier (MOSFET) devices, and of fabricated single devices with operational characteristics similar to (CMOS) and to a non-latching (SRC) are reported. Use of essentially non-rectifying Schottky barriers in (MOS) structures involving highly doped and the like and intrinsic semiconductor to allow non-rectifying interconnection of, and electrical accessing of device regions is also disclosed. Insulator effected low leakage current device geometries and fabrication procedures therefore are taught. Selective electrical interconnection of drain to drain, source to drain, or source to source, of N-channel and/or P-channel Schottky barrier (MOSFET) devices formed on P-type, N-type and Intrinsic semiconductor allows realization of Schottky Barrier (CMOS), (MOSFET) with (MOSFET) load, balanced differential (MOSFET) device systems and inverting and non-inverting single devices with operating characteristics similar to (CMOS), which devices can be utilized in modulation, as well as in voltage controlled switching and effecting a direction of rectification. 89 figs.

  13. Conductance switching in Ag(2)S devices fabricated by in situ sulfurization.

    Science.gov (United States)

    Morales-Masis, M; van der Molen, S J; Fu, W T; Hesselberth, M B; van Ruitenbeek, J M

    2009-03-04

    We report a simple and reproducible method to fabricate switchable Ag(2)S devices. The alpha-Ag(2)S thin films are produced by a sulfurization process after silver deposition on an Si substrate. Structure and composition of the Ag(2)S are characterized using XRD and RBS. Our samples show semiconductor behaviour at low bias voltages, whereas they exhibit reproducible bipolar resistance switching at higher bias voltages. The transition between both types of behaviour is observed by hysteresis in the I-V curves, indicating decomposition of the Ag(2)S, increasing the Ag(+) ion mobility. The as-fabricated Ag(2)S samples are a good candidate for future solid state memory devices, as they show reproducible memory resistive properties and they are fabricated by an accessible and reliable method.

  14. Conductance switching in Ag2S devices fabricated by in situ sulfurization

    International Nuclear Information System (INIS)

    Morales-Masis, M; Molen, S J van der; Hesselberth, M B; Ruitenbeek, J M van; Fu, W T

    2009-01-01

    We report a simple and reproducible method to fabricate switchable Ag 2 S devices. The α-Ag 2 S thin films are produced by a sulfurization process after silver deposition on an Si substrate. Structure and composition of the Ag 2 S are characterized using XRD and RBS. Our samples show semiconductor behaviour at low bias voltages, whereas they exhibit reproducible bipolar resistance switching at higher bias voltages. The transition between both types of behaviour is observed by hysteresis in the I-V curves, indicating decomposition of the Ag 2 S, increasing the Ag + ion mobility. The as-fabricated Ag 2 S samples are a good candidate for future solid state memory devices, as they show reproducible memory resistive properties and they are fabricated by an accessible and reliable method.

  15. Methods of forming semiconductor devices and devices formed using such methods

    Science.gov (United States)

    Fox, Robert V; Rodriguez, Rene G; Pak, Joshua

    2013-05-21

    Single source precursors are subjected to carbon dioxide to form particles of material. The carbon dioxide may be in a supercritical state. Single source precursors also may be subjected to supercritical fluids other than supercritical carbon dioxide to form particles of material. The methods may be used to form nanoparticles. In some embodiments, the methods are used to form chalcopyrite materials. Devices such as, for example, semiconductor devices may be fabricated that include such particles. Methods of forming semiconductor devices include subjecting single source precursors to carbon dioxide to form particles of semiconductor material, and establishing electrical contact between the particles and an electrode.

  16. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  17. Plastic lab-on-a-chip for fluorescence excitation with integrated organic semiconductor lasers.

    Science.gov (United States)

    Vannahme, Christoph; Klinkhammer, Sönke; Lemmer, Uli; Mappes, Timo

    2011-04-25

    Laser light excitation of fluorescent markers offers highly sensitive and specific analysis for bio-medical or chemical analysis. To profit from these advantages for applications in the field or at the point-of-care, a plastic lab-on-a-chip with integrated organic semiconductor lasers is presented here. First order distributed feedback lasers based on the organic semiconductor tris(8-hydroxyquinoline) aluminum (Alq3) doped with the laser dye 4-dicyanomethylene-2-methyl-6-(p-dimethylaminostyril)-4H-pyrane (DCM), deep ultraviolet induced waveguides, and a nanostructured microfluidic channel are integrated into a poly(methyl methacrylate) (PMMA) substrate. A simple and parallel fabrication process is used comprising thermal imprint, DUV exposure, evaporation of the laser material, and sealing by thermal bonding. The excitation of two fluorescent marker model systems including labeled antibodies with light emitted by integrated lasers is demonstrated.

  18. Bacteria Inside Semiconductors as Potential Sensor Elements: Biochip Progress

    Directory of Open Access Journals (Sweden)

    Vasu R. Sah

    2014-06-01

    Full Text Available It was discovered at the beginning of this Century that living bacteria—and specifically the extremophile Pseudomonas syzgii—could be captured inside growing crystals of pure water-corroding semiconductors—specifically germanium—and thereby initiated pursuit of truly functional “biochip-based” biosensors. This observation was first made at the inside ultraviolet-illuminated walls of ultrapure water-flowing semiconductor fabrication facilities (fabs and has since been, not as perfectly, replicated in simpler flow cell systems for chip manufacture, described here. Recognizing the potential importance of these adducts as optical switches, for example, or probes of metabolic events, the influences of the fabs and their components on the crystal nucleation and growth phenomena now identified are reviewed and discussed with regard to further research needs. For example, optical beams of current photonic circuits can be more easily modulated by integral embedded cells into electrical signals on semiconductors. Such research responds to a recently published Grand Challenge in ceramic science, designing and synthesizing oxide electronics, surfaces, interfaces and nanoscale structures that can be tuned by biological stimuli, to reveal phenomena not otherwise possible with conventional semiconductor electronics. This short review addresses only the fabrication facilities’ features at the time of first production of these potential biochips.

  19. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  20. Acceptors in II-IV Semiconductors - Incorporation and Complex Formation

    CERN Multimedia

    2002-01-01

    A strong effort is currently devoted to the investigation of defects and the electrical activation of dopant atoms in II-VI semiconductors. In particular, the knowledge about the behaviour of acceptors, prerequisite for the fabrication of p-type semiconductors, is rather limited. The perturbed $\\,{\\gamma\\gamma}$ -angular correlation technique (PAC) and the photoluminescence spectroscopy (PL) using the radioactive isotopes $^{77}\\!$Br and $^{111}\\!$Ag will be applied for investigating the behaviour of acceptor dopant atoms and their interactions with defects in II-VI semiconductors. The main topic will be the identification of the technical conditions for the incorporation of electrically active acceptors in the II-VI semiconductors ~ZnS, ZnSe, ZnTe, CdS, CdSe, and CdTe with particular emphasis on the compounds~ CdTe, ZnSe, and ZnTe. The investigations will be supplemented by first exploratory PL experiments with the group V acceptors $^{71}\\!$As and $^{121}\\!$Sb. With help of the probe $^{111}\\!$Ag, the pos...

  1. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  2. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    Science.gov (United States)

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  3. UV laser drilling of SiC for semiconductor device fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, Olaf; Schoene, Gerd; Wernicke, Tim; John, Wilfred; Wuerfl, Joachim; Traenkle, Guenther [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2007-04-15

    Pulsed UV laser processing is used to drill micro holes in silicon carbide (SiC) wafers supporting AlGaN/GaN transistor structures. Direct laser ablation using nanosecond pulses has been proven to provide an efficient way to create through and blind holes in 400 {mu}m thick SiC. When drilling through, openings in the front pads are formed, while blind holes stop {approx}40 {mu}m before the backside and were advanced to the electrical contact pad by subsequent plasma etching without an additional mask. Low induction connections (vias) between the transistor's source pads and the ground on the backside were formed by metallization of the holes. Micro vias having aspect ratios of 5-6 have been processed in 400 {mu}m SiC. The process flow from wafer layout to laser drilling is available including an automated beam alignment that allows a positioning accuracy of {+-}1 {mu}m with respect to existing patterns on the wafer. As proven by electrical dc and rf measurements the laser-assisted via technologies have successfully been implemented into fabrication of AlGaN/GaN high-power transistors.

  4. Synchrotron radiation studies of inorganic-organic semiconductor interfaces

    International Nuclear Information System (INIS)

    Evans, D.A.; Steiner, H.J.; Vearey-Roberts, A.R.; Bushell, A.; Cabailh, G.; O'Brien, S.; Wells, J.W.; McGovern, I.T.; Dhanak, V.R.; Kampen, T.U.; Zahn, D.R.T.; Batchelor, D.

    2003-01-01

    Organic semiconductors (polymers and small molecules) are widely used in electronic and optoelectronic technologies. Many devices are based on multilayer structures where interfaces play a central role in device performance and where inorganic semiconductor models are inadequate. Synchrotron radiation techniques such as photoelectron spectroscopy (PES), near-edge X-ray absorption fine structure (NEXAFS) and X-ray standing wave spectroscopy (XSW) provide a powerful means of probing the structural, electronic and chemical properties of these interfaces. The surface-specificity of these techniques allows key properties to be monitored as the heterostructure is fabricated. This methodology has been directed at the growth of hybrid organic-inorganic semiconductor interfaces involving copper phthalocyanine as the model organic material and InSb and GaAs as the model inorganic semiconductor substrates. Core level PES has revealed that these interfaces are abrupt and chemically inert due to the weak bonding between the molecules and the inorganic semiconductor. NEXAFS studies have shown that there is a preferred orientation of the molecules within the organic semiconductor layers. The valence band offsets for the heterojunctions have been directly measured using valence level PES and were found to be very different for copper phthalocyanine on InSb and GaAs (0.7 and -0.3 eV respectively) although an interface dipole is present in both cases

  5. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  6. Multiterminal semiconductor/ferromagnet probes for spin-filter scanning tunneling microscopy

    NARCIS (Netherlands)

    Vera Marun, I.J.; Jansen, R.

    2009-01-01

    We describe the fabrication of multiterminal semiconductor/ferromagnet probes for a new technique to study magnetic nanostructures: spin-filter scanning tunneling microscopy. We describe the principle of the technique, which is based on spin-polarized tunneling and subsequent analysis of the spin

  7. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  8. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  9. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    Science.gov (United States)

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  11. High Efficient THz Emission From Unbiased and Biased Semiconductor Nanowires Fabricated Using Electron Beam Lithography

    Energy Technology Data Exchange (ETDEWEB)

    Balci, Soner; Czaplewski, David A.; Jung, Il Woong; Kim, Ju-Hyung; Hatami, Fariba; Kung, Patrick; Kim, Seongsin Margaret

    2017-07-01

    Besides having perfect control on structural features, such as vertical alignment and uniform distribution by fabricating the wires via e-beam lithography and etching process, we also investigated the THz emission from these fabricated nanowires when they are applied DC bias voltage. To be able to apply a voltage bias, an interdigitated gold (Au) electrode was patterned on the high-quality InGaAs epilayer grown on InP substrate bymolecular beam epitaxy. Afterwards, perfect vertically aligned and uniformly distributed nanowires were fabricated in between the electrodes of this interdigitated pattern so that we could apply voltage bias to improve the THz emission. As a result, we achieved enhancement in the emitted THz radiation by ~four times, about 12 dB increase in power ratio at 0.25 THz with a DC biased electric field compared with unbiased NWs.

  12. Design and Fabrication of Vertically-Integrated CMOS Image Sensors

    Science.gov (United States)

    Skorka, Orit; Joseph, Dileepan

    2011-01-01

    Technologies to fabricate integrated circuits (IC) with 3D structures are an emerging trend in IC design. They are based on vertical stacking of active components to form heterogeneous microsystems. Electronic image sensors will benefit from these technologies because they allow increased pixel-level data processing and device optimization. This paper covers general principles in the design of vertically-integrated (VI) CMOS image sensors that are fabricated by flip-chip bonding. These sensors are composed of a CMOS die and a photodetector die. As a specific example, the paper presents a VI-CMOS image sensor that was designed at the University of Alberta, and fabricated with the help of CMC Microsystems and Micralyne Inc. To realize prototypes, CMOS dies with logarithmic active pixels were prepared in a commercial process, and photodetector dies with metal-semiconductor-metal devices were prepared in a custom process using hydrogenated amorphous silicon. The paper also describes a digital camera that was developed to test the prototype. In this camera, scenes captured by the image sensor are read using an FPGA board, and sent in real time to a PC over USB for data processing and display. Experimental results show that the VI-CMOS prototype has a higher dynamic range and a lower dark limit than conventional electronic image sensors. PMID:22163860

  13. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  14. A simple cost-effective and eco-friendly wet chemical process for the fabrication of superhydrophobic cotton fabrics

    International Nuclear Information System (INIS)

    Richard, Edna; Lakshmi, R.V.; Aruna, S.T.; Basu, Bharathibai J.

    2013-01-01

    Superhydrophobic surfaces were created on hydrophilic cotton fabrics by a simple wet chemical process. The fabric was immersed in a colloidal suspension of zinc hydroxide followed by subsequent hydrophobization with stearic acid. The wettability of the modified cotton fabric sample was studied by water contact angle (WCA) and water shedding angle (WSA) measurements. The modified cotton fabrics exhibited superhydrophobicity with a WCA of 151° for 8 μL water droplet and a WSA of 5–10° for 40 μL water droplet. The superhydrophobic cotton sample was also characterized by field emission scanning electron microscopy (FESEM) and energy dispersive X-ray spectroscopy (EDX). The method is simple, eco-friendly and cost-effective and can be applied to large area of cotton fabric materials. It was shown that superhydrophobicity of the fabric was due to the combined effect of surface roughness imparted by zinc hydroxide and the low surface energy of stearic acid.

  15. A simple cost-effective and eco-friendly wet chemical process for the fabrication of superhydrophobic cotton fabrics

    Energy Technology Data Exchange (ETDEWEB)

    Richard, Edna; Lakshmi, R.V.; Aruna, S.T., E-mail: aruna_reddy@nal.res.in; Basu, Bharathibai J.

    2013-07-15

    Superhydrophobic surfaces were created on hydrophilic cotton fabrics by a simple wet chemical process. The fabric was immersed in a colloidal suspension of zinc hydroxide followed by subsequent hydrophobization with stearic acid. The wettability of the modified cotton fabric sample was studied by water contact angle (WCA) and water shedding angle (WSA) measurements. The modified cotton fabrics exhibited superhydrophobicity with a WCA of 151° for 8 μL water droplet and a WSA of 5–10° for 40 μL water droplet. The superhydrophobic cotton sample was also characterized by field emission scanning electron microscopy (FESEM) and energy dispersive X-ray spectroscopy (EDX). The method is simple, eco-friendly and cost-effective and can be applied to large area of cotton fabric materials. It was shown that superhydrophobicity of the fabric was due to the combined effect of surface roughness imparted by zinc hydroxide and the low surface energy of stearic acid.

  16. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  17. Junction and circuit fabrication

    International Nuclear Information System (INIS)

    Jackel, L.D.

    1980-01-01

    Great strides have been made in Josephson junction fabrication in the four years since the first IC SQUID meeting. Advances in lithography have allowed the production of devices with planar dimensions as small as a few hundred angstroms. Improved technology has provided ultra-high sensitivity SQUIDS, high-efficiency low-noise mixers, and complex integrated circuits. This review highlights some of the new fabrication procedures. The review consists of three parts. Part 1 is a short summary of the requirements on junctions for various applications. Part 2 reviews intergrated circuit fabrication, including tunnel junction logic circuits made at IBM and Bell Labs, and microbridge radiation sources made at SUNY at Stony Brook. Part 3 describes new junction fabrication techniques, the major emphasis of this review. This part includes a discussion of small oxide-barrier tunnel junctions, semiconductor barrier junctions, and microbridge junctions. Part 3 concludes by considering very fine lithography and limitations to miniaturization. (orig.)

  18. Metal-semiconductor, composite radiation detectors

    International Nuclear Information System (INIS)

    Orvis, W.J.; Yee, J.H.; Fuess, D.

    1992-12-01

    In 1989, Naruse and Hatayama of Toshiba published a design for an increased efficiency x-ray detector. The design increased the efficiency of a semiconductor detector by interspersing layers of high-z metal within it. Semiconductors such as silicon make good, high-resolution radiation detectors, but they have low efficiency because they are low-z materials (z = 14). High-z metals, on the other hand, are good absorbers of high-energy photons. By interspersing high-z metal layers with semiconductor layers, Naruse and Hatayama combined the high absorption efficiency of the high-z metals with the good detection capabilities of a semiconductor. This project is an attempt to use the same design to produce a high-efficiency, room temperature gamma ray detector. By their nature, gamma rays require thicker metal layers to efficiently absorb them. These thicker layers change the behavior of the detector by reducing the resolution, compared to a solid state detector, and shifting the photopeak by a predictable amount. During the last year, the authors have procured and tested a commercial device with operating characteristics similar to those of a single layer of the composite device. They have modeled the radiation transport in a multi-layered device, to verify the initial calculations of layer thickness and composition. They have modeled the electrostatic field in different device designs to locate and remove high-field regions that can cause device breakdown. They have fabricated 14 single layer prototypes

  19. New era of silicon technologies due to radical reaction based semiconductor manufacturing

    International Nuclear Information System (INIS)

    Ohmi, Tadahiro; Hirayama, Masaki; Teramoto, Akinobu

    2006-01-01

    Current semiconductor technology, the so-called the molecule reaction based semiconductor manufacturing, now faces a very severe standstill due to the drastic increase of gate leakage currents and drain leakage currents. Radical reaction based semiconductor manufacturing has been developed to completely overcome the current standstill by introducing microwave excited high density plasma with very low electron temperatures and without accompanying charge-up damage. The introduction of radical reaction based semiconductor manufacturing has made it possible to fabricate LSI devices on any crystal orientation Si substrate surface as well as (100) Si substrate surfaces, and to eliminate a very severe limitation to the antenna ratio in the circuit layout patterns, which is strictly limited to less than 100-200 in order to obtain a relatively high production yield. (topical review)

  20. Study of transport properties of copper/zinc-oxide-nanorods-based Schottky diode fabricated on textile fabric

    International Nuclear Information System (INIS)

    Khan, Azam; Hussain, Mushtaque; Abbasi, Mazhar Ali; Ibupoto, Zafar Hussain; Nur, Omer; Willander, Magnus

    2013-01-01

    In this work, a copper/zinc-oxide (ZnO)-nanorods-based Schottky diode was fabricated on the textile fabric substrate. ZnO nanorods were grown on a silver-coated textile fabric substrate by using the hydrothermal route. Scanning electron microscopy and x-ray diffraction techniques were used for the structural study. The electrical characterization of copper/ZnO-nanorods-based Schottky diodes was investigated by using a semiconductor parameter analyzer and an impedance spectrometer. The current density–voltage (J–V) and capacitance–voltage (C–V) measurements were used to estimate the electrical parameters. The threshold voltage (V th ), ideality factor (η), barrier height (ϕ b ), reverse saturation current density (J s ), carrier concentration (N D ) and built-in potential (V bi ) were determined by using experimental data and (simulated) curve fitting. This study describes the possible fabrication of electronic and optoelectronic devices on textile fabric substrate with an acceptable performance. (paper)

  1. Sacrificial template method of fabricating a nanotube

    Science.gov (United States)

    Yang, Peidong [Berkeley, CA; He, Rongrui [Berkeley, CA; Goldberger, Joshua [Berkeley, CA; Fan, Rong [El Cerrito, CA; Wu, Yi-Ying [Albany, CA; Li, Deyu [Albany, CA; Majumdar, Arun [Orinda, CA

    2007-05-01

    Methods of fabricating uniform nanotubes are described in which nanotubes were synthesized as sheaths over nanowire templates, such as using a chemical vapor deposition process. For example, single-crystalline zinc oxide (ZnO) nanowires are utilized as templates over which gallium nitride (GaN) is epitaxially grown. The ZnO templates are then removed, such as by thermal reduction and evaporation. The completed single-crystalline GaN nanotubes preferably have inner diameters ranging from 30 nm to 200 nm, and wall thicknesses between 5 and 50 nm. Transmission electron microscopy studies show that the resultant nanotubes are single-crystalline with a wurtzite structure, and are oriented along the direction. The present invention exemplifies single-crystalline nanotubes of materials with a non-layered crystal structure. Similar "epitaxial-casting" approaches could be used to produce arrays and single-crystalline nanotubes of other solid materials and semiconductors. Furthermore, the fabrication of multi-sheath nanotubes are described as well as nanotubes having multiple longitudinal segments.

  2. Investigation on shortening fabrication process of instrumented irradiation capsule of JMTR

    International Nuclear Information System (INIS)

    Nagata, Hiroshi; Inoue, Shuichi; Yamaura, Takayuki; Tsuchiya, Kunihiko; Nagao, Yoshiharu

    2013-06-01

    Refurbishment of The Japan Materials Testing Reactor (JMTR) was completed in FY2010. For damage caused by the 2011 off the Pacific coast of Tohoku Earthquake, the repair of facilities was completed in October 2012. Currently, the JMTR is in preparation for restart. Irradiation tests for LWRs safety research, science and technologies and production of RI for medical diagnosis medicine, etc. are expected after the JMTR restart. On the other hand, aiming at the attractive irradiation testing reactor, the usability improvement has been discussed. As a part of the usability improvement, shortening of turnaround time to get irradiation results from an application for irradiation use was discussed focusing on the fabrication process of irradiation capsules, where the fabrication process was analyzed and reviewed by referring a trial fabrication of the mockup capsule. As a result, it was found that the turnaround time can be shortened 2 months from fabrication period of 6 months with communize of irradiation capsule parts, application of ready-made instrumentation including the sheath heater, reconsideration of inspection process, etc. (author)

  3. Fabrication and utilization of semiconductor radiation detectors

    International Nuclear Information System (INIS)

    Lemos Junior, Orlando Ferreira

    1969-01-01

    This paper describes the assembly of the equipment for the fabrication of Ge-Li drifted detectors and the technique used in the preparation of a Planar detector of 7 cm 2 x 0,5 cm for the Laboratory of the Linear Accelerator at the University of Sao Paulo, as well as the utilization of a 22 cm 3 coaxial detector for the analysis of fission product gamma rays at the Instituto de Engenharia Nuclear, Rio de Janeiro, R J, Brazil. (author)

  4. Evaluation of Novel Semiconductor Materials Potentially Useful in Solar Cells: Cooperative Research and Development Final Report, CRADA number CRD-06-00172

    Energy Technology Data Exchange (ETDEWEB)

    Geisz, J.

    2010-07-01

    Evaluation of novel semiconductor materials potentially useful in solar cells. NREL will fabricate, test and analyze solar cells from EpiWorks' wafers produced in 2-3 separate growth campaigns. NREL will also characterize material from 2-3 separate EpiWorks material development campaigns. Finally, NREL will visit EpiWorks and help establish any necessary process, such as spectral CV measurements and III-V on Si metalization processes and help validate solar cell designs and performance.

  5. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  6. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  7. Rapsodie first core manufacture. 1. part: processing plant; Fabrication du premier coeur de rapsodie. Premiere partie: l'atelier de fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Masselot, Y; Bataller, S; Ganivet, M; Guillet, H; Robillard, A; Stosskopf, F [Commissariat a l' Energie Atomique, Cadarache (France). Centre d' Etudes Nucleaires

    1968-07-01

    This report is the first in a series of three describing the processes, results and peculiar technical problems related to the manufacture of the first core of the fast reactor Rapsodie. A detailed study of manufacturing processes(pellets, pins, fissile sub-assemblies), the associated testings (raw materials, processed pellets and pins, sub-assemblies before delivery), manufacturing facilities and improvements for a second campaign are described. (author) [French] Ce rapport est le premier d'une serie de trois qui decrivent les procedes, les resultats et les problemes techniques particuliers de la fabrication du du premier coeur de la pile a neutrons rapides Rapsodie. Il comporte une etude detaillee des procedes de fabrication (pastilles, aiguilles, assemblages combustibles) et des methodes de controle associees (matieres premieres, pastilles et aiguilles en cours de fabrication, assemblages fissiles avant livraison), ainsi qu'une decription complete des installations de l'atelier de fabrication et les modifications apportees pour une deuxieme campagne. (auteur)

  8. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  9. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  10. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  11. High efficiency grating couplers based on shared process with CMOS MOSFETs

    International Nuclear Information System (INIS)

    Qiu Chao; Sheng Zhen; Wu Ai-Min; Wang Xi; Zou Shi-Chang; Gan Fu-Wan; Li Le; Albert Pang

    2013-01-01

    Grating couplers are widely investigated as coupling interfaces between silicon-on-insulator waveguides and optical fibers. In this work, a high-efficiency and complementary metal—oxide—semiconductor (CMOS) process compatible grating coupler is proposed. The poly-Si layer used as a gate in the CMOS metal—oxide—semiconductor field effect transistor (MOSFET) is combined with a normal fully etched grating coupler, which greatly enhances its coupling efficiency. With optimal structure parameters, a coupling efficiency can reach as high as ∼ 70% at a wavelength of 1550 nm as indicated by simulation. From the angle of fabrication, all masks and etching steps are shared between MOSFETs and grating couplers, thereby making the high performance grating couplers easily integrated with CMOS circuits. Fabrication errors such as alignment shift are also simulated, showing that the device is quite tolerant in fabrication. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  12. Flexible semi-transparent silicon (100) fabric with high-k/metal gate devices

    KAUST Repository

    Rojas, Jhonathan Prieto

    2013-01-07

    Can we build a flexible and transparent truly high performance computer? High-k/metal gate stack based metal-oxide-semiconductor capacitor devices are monolithically fabricated on industry\\'s most widely used low-cost bulk single-crystalline silicon (100) wafers and then released as continuous, mechanically flexible, optically semi-transparent and high thermal budget compatible silicon fabric with devices. This is the first ever demonstration with this set of materials which allows full degree of freedom to fabricate nanoelectronics devices using state-of-the-art CMOS compatible processes and then to utilize them in an unprecedented way for wide deployment over nearly any kind of shape and architecture surfaces. Electrical characterization shows uncompromising performance of post release devices. Mechanical characterization shows extra-ordinary flexibility (minimum bending radius of 1 cm) making this generic process attractive to extend the horizon of flexible electronics for truly high performance computers. Schematic and photograph of flexible high-k/metal gate MOSCAPs showing high flexibility and C-V plot showing uncompromised performance. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Development of a Multi-User Polyimide-MEMS Fabrication Process and its Application to MicroHotplates

    KAUST Repository

    Lizardo, Ernesto B.

    2013-05-08

    Micro-electro-mechanical systems (MEMS) became possible thanks to the silicon based technology used to fabricate integrated circuits. Originally, MEMS fabrication was limited to silicon based techniques and materials, but the expansion of MEMS applications brought the need of a wider catalog of materials, including polymers, now being used to fabricate MEMS. Polyimide is a very attractive polymer for MEMS fabrication due to its high temperature stability compared to other polymers, low coefficient of thermal expansion, low film stress and low cost. The goal of this thesis is to expand the Polyimide usage as structural material for MEMS by the development of a multi-user fabrication process for the integration of this polymer along with multiple metal layers on a silicon substrate. The process also integrates amorphous silicon as sacrificial layer to create free-standing structures. Dry etching is used to release the devices and avoid stiction phenomena. The developed process is used to fabricate platforms for micro-hotplate gas sensors. The fabrication steps for the platforms are described in detail, explaining the process specifics and capabilities. An initial testing of the micro-hotplate is presented. As the process was also used as educational tool, some designs made by students and fabricated with the Polyimide-MEMS process are also presented.

  14. Device fabrication, characterization, and thermal neutron detection response of LiZnP and LiZnAs semiconductor devices

    Science.gov (United States)

    Montag, Benjamin W.; Ugorowski, Philip B.; Nelson, Kyle A.; Edwards, Nathaniel S.; McGregor, Douglas S.

    2016-11-01

    Nowotny-Juza compounds continue to be explored as candidates for solid-state neutron detectors. Such a device would have greater efficiency, in a compact form, than present day gas-filled 3He and 10BF3 detectors. The 6Li(n,t)4He reaction yields a total Q-value of 4.78 MeV, larger than 10B, an energy easily identified above background radiations. Hence, devices fabricated from semiconductor compounds having either natural Li (nominally 7.5% 6Li) or enriched 6Li (usually 95% 6Li) as constituent atoms may provide a material for compact high efficiency neutron detectors. Starting material was synthesized by preparing equimolar portions of Li, Zn, and As sealed under vacuum (10-6 Torr) in quartz ampoules lined with boron nitride and subsequently reacted in a compounding furnace [1]. The raw synthesized material indicated the presence high impurity levels (material and electrical property characterizations). A static vacuum sublimation in quartz was performed to help purify the synthesized material [2,3]. Bulk crystalline samples were grown from the purified material [4,5]. Samples were cut using a diamond wire saw, and processed into devices. Bulk resistivity was determined from I-V curve measurements, ranging from 106-1011 Ω cm. Devices were characterized for sensitivity to 5.48 MeV alpha particles, 337 nm laser light, and neutron sensitivity in a thermal neutron diffracted beam at the Kansas State University TRIGA Mark II nuclear reactor. Thermal neutron reaction product charge induction was measured with a LiZnP device, and the reaction product spectral response was observed.

  15. CCST [Center for Compound Semiconductor Technology] research briefs

    International Nuclear Information System (INIS)

    Zipperian, T.E.; Voelker, E.R.

    1989-12-01

    This paper discusses the following topics: theoretical predictions of valence and conduction band offsets in III-V semiconductors; reflectance modulation of a semiconductor superlattice optical mirror; magnetoquantum oscillations of the phonon-drag thermoelectric power in quantum wells; correlation between photoluminescence line shape and device performance of p-channel strained-layer materials; control of threading dislocations in heteroepitaxial structures; improved growth of CdTe on GaAs by patterning; role of structure threading dislocations in relaxation of highly strained single-quantum-well structures; InAlAs growth optimization using reflection mass spectrometry; nonvolatile charge storage in III-V heterostructures; optically triggered thyristor switches; InAsSb strained-layer superlattice infrared detectors with high detectivities; resonant periodic gain surface-emitting semiconductor lasers; performance advantages of strained-quantum-well lasers in AlGaAs/InGaAs; optical integrated circuit for phased-array radar antenna control; and deposition and novel device fabrication from Tl 2 Ca 2 Ba 2 Cu 3 O y thin films

  16. Screen printing of a capacitive cantilever-based motion sensor on fabric using a novel sacrificial layer process for smart fabric applications

    Science.gov (United States)

    Wei, Yang; Torah, Russel; Yang, Kai; Beeby, Steve; Tudor, John

    2013-07-01

    Free-standing cantilevers have been fabricated by screen printing sacrificial and structural layers onto a standard polyester cotton fabric. By printing additional conductive layers, a complete capacitive motion sensor on fabric using only screen printing has been fabricated. This type of free-standing structure cannot currently be fabricated using conventional fabric manufacturing processes. In addition, compared to conventional smart fabric fabrication processes (e.g. weaving and knitting), screen printing offers the advantages of geometric design flexibility and the ability to simultaneously print multiple devices of the same or different designs. Furthermore, a range of active inks exists from the printed electronics industry which can potentially be applied to create many types of smart fabric. Four cantilevers with different lengths have been printed on fabric using a five-layer structure with a sacrificial material underneath the cantilever. The sacrificial layer is subsequently removed at 160 °C for 30 min to achieve a freestanding cantilever above the fabric. Two silver electrodes, one on top of the cantilever and the other on top of the fabric, are used to capacitively detect the movement of the cantilever. In this way, an entirely printed motion sensor is produced on a standard fabric. The motion sensor was initially tested on an electromechanical shaker rig at a low frequency range to examine the linearity and the sensitivity of each design. Then, these sensors were individually attached to a moving human forearm to evaluate more representative results. A commercial accelerometer (Microstrain G-link) was mounted alongside for comparison. The printed sensors have a similar motion response to the commercial accelerometer, demonstrating the potential of a printed smart fabric motion sensor for use in intelligent clothing applications.

  17. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  18. Conductance switching in Ag{sub 2}S devices fabricated by in situ sulfurization

    Energy Technology Data Exchange (ETDEWEB)

    Morales-Masis, M; Molen, S J van der; Hesselberth, M B; Ruitenbeek, J M van [Kamerlingh Onnes Laboratorium, Universiteit Leiden, PO Box 9504, 2300 RA Leiden (Netherlands); Fu, W T [Leiden Institute of Chemistry, Gorlaeus Laboratorium, Universiteit Leiden, PO Box 9502, 2300 RA Leiden (Netherlands)], E-mail: ruitenbeek@physics.leidenuniv.nl

    2009-03-04

    We report a simple and reproducible method to fabricate switchable Ag{sub 2}S devices. The {alpha}-Ag{sub 2}S thin films are produced by a sulfurization process after silver deposition on an Si substrate. Structure and composition of the Ag{sub 2}S are characterized using XRD and RBS. Our samples show semiconductor behaviour at low bias voltages, whereas they exhibit reproducible bipolar resistance switching at higher bias voltages. The transition between both types of behaviour is observed by hysteresis in the I-V curves, indicating decomposition of the Ag{sub 2}S, increasing the Ag{sup +} ion mobility. The as-fabricated Ag{sub 2}S samples are a good candidate for future solid state memory devices, as they show reproducible memory resistive properties and they are fabricated by an accessible and reliable method.

  19. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  20. Device fabrication, characterization, and thermal neutron detection response of LiZnP and LiZnAs semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Montag, Benjamin W., E-mail: bmontag@ksu.edu; Ugorowski, Philip B.; Nelson, Kyle A.; Edwards, Nathaniel S.; McGregor, Douglas S.

    2016-11-11

    Nowotny-Juza compounds continue to be explored as candidates for solid-state neutron detectors. Such a device would have greater efficiency, in a compact form, than present day gas-filled {sup 3}He and {sup 10}BF{sub 3} detectors. The {sup 6}Li(n,t){sup 4}He reaction yields a total Q-value of 4.78 MeV, larger than {sup 10}B, an energy easily identified above background radiations. Hence, devices fabricated from semiconductor compounds having either natural Li (nominally 7.5% {sup 6}Li) or enriched {sup 6}Li (usually 95% {sup 6}Li) as constituent atoms may provide a material for compact high efficiency neutron detectors. Starting material was synthesized by preparing equimolar portions of Li, Zn, and As sealed under vacuum (10{sup −6} Torr) in quartz ampoules lined with boron nitride and subsequently reacted in a compounding furnace [1]. The raw synthesized material indicated the presence high impurity levels (material and electrical property characterizations). A static vacuum sublimation in quartz was performed to help purify the synthesized material [2,3]. Bulk crystalline samples were grown from the purified material [4,5]. Samples were cut using a diamond wire saw, and processed into devices. Bulk resistivity was determined from I–V curve measurements, ranging from 10{sup 6}–10{sup 11} Ω cm. Devices were characterized for sensitivity to 5.48 MeV alpha particles, 337 nm laser light, and neutron sensitivity in a thermal neutron diffracted beam at the Kansas State University TRIGA Mark II nuclear reactor. Thermal neutron reaction product charge induction was measured with a LiZnP device, and the reaction product spectral response was observed. - Highlights: • Devices were fabricated from in-house synthesized and purified LiZnAs and LiZnP. • Devices ranged in bulk resistivity from 10{sup 6}–10{sup 11} Ω cm. • Devices showed sensitivity to 5.48 MeV alpha particles. • Devices were characterized with a 337 nm laser light. • Devices were evaluated

  1. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  2. CMOS Compatibility of a Micromachining Process Developed for Semiconductor Neural Probe

    National Research Council Canada - National Science Library

    An, S

    2001-01-01

    .... Test transistor patterns generated using standard CMOS fabrication line were exposed to a post-CMOS probe making process including dielectric deposition, gold metalization and the dry etching step...

  3. Analysis of fluctuations in semiconductor devices

    Science.gov (United States)

    Andrei, Petru

    The random nature of ion implantation and diffusion processes as well as inevitable tolerances in fabrication result in random fluctuations of doping concentrations and oxide thickness in semiconductor devices. These fluctuations are especially pronounced in ultrasmall (nanoscale) semiconductor devices when the spatial scale of doping and oxide thickness variations become comparable with the geometric dimensions of devices. In the dissertation, the effects of these fluctuations on device characteristics are analyzed by using a new technique for the analysis of random doping and oxide thickness induced fluctuations. This technique is universal in nature in the sense that it is applicable to any transport model (drift-diffusion, semiclassical transport, quantum transport etc.) and it can be naturally extended to take into account random fluctuations of the oxide (trapped) charges and channel length. The technique is based on linearization of the transport equations with respect to the fluctuating quantities. It is computationally much (a few orders of magnitude) more efficient than the traditional Monte-Carlo approach and it yields information on the sensitivity of fluctuations of parameters of interest (e.g. threshold voltage, small-signal parameters, cut-off frequencies, etc.) to the locations of doping and oxide thickness fluctuations. For this reason, it can be very instrumental in the design of fluctuation-resistant structures of semiconductor devices. Quantum mechanical effects are taken into account by using the density-gradient model as well as through self-consistent Poisson-Schrodinger computations. Special attention is paid to the presenting of the technique in a form that is suitable for implementation on commercial device simulators. The numerical implementation of the technique is discussed in detail and numerous computational results are presented and compared with those previously published in literature.

  4. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  5. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  6. 2,6-Bis(benzo[b]thiophen-2-yl-3,7-dipentadecyltetrathienoacene (DBT-TTAR2 as an Alternative of Highly Soluble p-type Organic Semiconductor for Organic Thin Film Transistor (OTFT Application

    Directory of Open Access Journals (Sweden)

    Mery B. Supriadi

    2013-03-01

    Full Text Available A new compound of organic semiconductor based on tetrathienoacene (TTA derivatives, DBT-TTAR2 was synthesized and characterized. The corporation of dibenzo[b,d]thiophene (DBT group and alkyl substituent in both ends of TTA core have a significant effect on their π-π molecular conjugation length, energy gaps value and solubility properties. DBT-TTAR2 is fabricated as p-type organic semiconductor of organic thin film transistor (OTFT by solution process at Industrial Technology Research Institute, Taiwan. A good optical, electrochemical, and thermal properties of DBT-TTAR2 showed that its exhibits a better performance as highly soluble p-type organic semiconductor.

  7. Dense Plasma Focus-Based Nanofabrication of III-V Semiconductors: Unique Features and Recent Advances.

    Science.gov (United States)

    Mangla, Onkar; Roy, Savita; Ostrikov, Kostya Ken

    2015-12-29

    The hot and dense plasma formed in modified dense plasma focus (DPF) device has been used worldwide for the nanofabrication of several materials. In this paper, we summarize the fabrication of III-V semiconductor nanostructures using the high fluence material ions produced by hot, dense and extremely non-equilibrium plasma generated in a modified DPF device. In addition, we present the recent results on the fabrication of porous nano-gallium arsenide (GaAs). The details of morphological, structural and optical properties of the fabricated nano-GaAs are provided. The effect of rapid thermal annealing on the above properties of porous nano-GaAs is studied. The study reveals that it is possible to tailor the size of pores with annealing temperature. The optical properties of these porous nano-GaAs also confirm the possibility to tailor the pore sizes upon annealing. Possible applications of the fabricated and subsequently annealed porous nano-GaAs in transmission-type photo-cathodes and visible optoelectronic devices are discussed. These results suggest that the modified DPF is an effective tool for nanofabrication of continuous and porous III-V semiconductor nanomaterials. Further opportunities for using the modified DPF device for the fabrication of novel nanostructures are discussed as well.

  8. Screen printing of a capacitive cantilever-based motion sensor on fabric using a novel sacrificial layer process for smart fabric applications

    International Nuclear Information System (INIS)

    Wei, Yang; Torah, Russel; Yang, Kai; Beeby, Steve; Tudor, John

    2013-01-01

    Free-standing cantilevers have been fabricated by screen printing sacrificial and structural layers onto a standard polyester cotton fabric. By printing additional conductive layers, a complete capacitive motion sensor on fabric using only screen printing has been fabricated. This type of free-standing structure cannot currently be fabricated using conventional fabric manufacturing processes. In addition, compared to conventional smart fabric fabrication processes (e.g. weaving and knitting), screen printing offers the advantages of geometric design flexibility and the ability to simultaneously print multiple devices of the same or different designs. Furthermore, a range of active inks exists from the printed electronics industry which can potentially be applied to create many types of smart fabric. Four cantilevers with different lengths have been printed on fabric using a five-layer structure with a sacrificial material underneath the cantilever. The sacrificial layer is subsequently removed at 160 °C for 30 min to achieve a freestanding cantilever above the fabric. Two silver electrodes, one on top of the cantilever and the other on top of the fabric, are used to capacitively detect the movement of the cantilever. In this way, an entirely printed motion sensor is produced on a standard fabric. The motion sensor was initially tested on an electromechanical shaker rig at a low frequency range to examine the linearity and the sensitivity of each design. Then, these sensors were individually attached to a moving human forearm to evaluate more representative results. A commercial accelerometer (Microstrain G-link) was mounted alongside for comparison. The printed sensors have a similar motion response to the commercial accelerometer, demonstrating the potential of a printed smart fabric motion sensor for use in intelligent clothing applications. (paper)

  9. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  10. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  11. A novel fabrication process for out-of-plane microneedle sheets of biocompatible polymer

    Science.gov (United States)

    Han, Manhee; Hyun, Dong-Hun; Park, Hyoun-Hyang; Lee, Seung S.; Kim, Chang-Hyeon; Kim, Changgyou

    2007-06-01

    This paper presents a novel process for fabricating out-of-plane microneedle sheets of biocompatible polymer using in-plane microneedles. This process comprises four steps: (1) fabrication of in-plane microneedles using inclined UV lithography and electroforming, (2) conversion of the in-plane microneedles to an out-of-plane microneedle array, (3) fabrication of a negative PDMS mold and (4) fabrication of out-of-plane microneedle sheets of biocompatible polymer by hot embossing. The in-plane microneedles are fabricated with a sharp tip for low insertion forces and are made long to ensure sufficient penetration depth. The in-plane microneedles are converted into an out-of-plane microneedle array to increase the needle density. The negative mold is fabricated for mass-production using a polymer molding technique. The final out-of-plane microneedle sheets are produced using polycarbonate for biocompatibility by employing the hot embossing process. The height of the fabricated needles ranges from 500 to 1500 µm, and the distance between the needles is 500 to 2000 µm. The radii of curvature are approximately 2 µm, while the tip angles are in the range of 39-56°. Most of the geometrical characteristics of the out-of-plane microneedles can be freely controlled for real life applications such as drug delivery, cosmetic delivery and mesotherapy. Since it is also possible to mass-produce the microneedles, this novel process holds sufficient potential for applications in industrial fields.

  12. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  13. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  14. IMPROVING KNITTED FABRICS BY A STATISTICAL CONTROL OF DIMENSIONAL CHANGES AFTER THE DYEING PROCESS

    Directory of Open Access Journals (Sweden)

    LLINARES-BERENGUER Jorge

    2017-05-01

    Full Text Available One of the most important problems that cotton knitted fabrics present during the manufacturing process is their dimensional instability, which needs to be minimised. Some of the variables that intervene in fabric shrinkage are related with its structural characteristics, use of fiber when producing yarn, the yarn count used or the dyeing process employed. Conducted under real factory conditions, the present study attempted to model the behaviour of a fabric structure after a dyeing process by contributing several algorithms that calculate dyed fabric stability after the first wash cycle. Small-diameter circular machines are used to produce garments with no side seams. This is the reason why a list of machines that produce the same fabrics for different widths needs to be made available to produce all the sizes of a given garment. Two relaxation states were distingued for interlock fabric: dyed and dry relaxation, and dyed and wash relaxation. The linear density of the yarn employed to produce sample fabric was combed cotton Ne 30. The machines used for optic bleaching were Overflow. To obtain knitting structures with optimum dimensional stability, different statistical tools were used to help us to evaluate all the production process variables (raw material, machines and process responsible for this variation. This allowed to guarantee product quality without creating costs and losses.

  15. Proceedings of the Malaysian Science and Technology Congress '94: Vol. II - new products and processes

    International Nuclear Information System (INIS)

    1994-01-01

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress '94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions

  16. Proceedings of the Malaysian Science and Technology Congress `94: Vol. II - new products and processes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-12-31

    New processes and products in the field of the Malaysian technology research were presented at the Science and Technology congress `94. Composite materials, semiconductors fabrication, optical fibers, zeolite properties etc. were discussed in 35 contributions.

  17. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    Science.gov (United States)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  18. A new planetary structure fabrication process using phosphoric acid

    Science.gov (United States)

    Buchner, Christoph; Pawelke, Roland H.; Schlauf, Thomas; Reissner, Alexander; Makaya, Advenit

    2018-02-01

    Minimising the launch mass is an important aspect of exploration mission planning. In-situ resource utilisation (ISRU) can improve this by reducing the amount of terrestrial materials needed for planetary exploration activities. We report on a recently concluded investigation into the requirements and available technologies for creating hardware on extra-terrestrial bodies, using the limited resources available on site. A trade-off of ISRU technologies for hardware manufacturing was conducted. A new additive manufacturing process suitable for fabricating structures on the Moon or Mars was developed. The process uses planetary regolith as the base material and concentrated phosphoric acid as the liquid binder. Mixing the reagents creates a sticky construction paste that slowly solidifies into a hard, rock-like material. Prior to solidification, the paste is extruded in layers, creating the desired structures in a 3D printing process. We used Martian regolith simulant JSC-Mars-1A, but the process is not selective towards regolith composition. Samples were exposed to thermal cycles and were mechanically characterised. Reduced-scale demonstrator structures were printed to demonstrate structure fabrication using the developed process.

  19. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  20. Giant Geometrically Amplified Piezoresistance in Metal-Semiconductor Hybrid Resistors

    DEFF Research Database (Denmark)

    Hansen, Ole; Reck, Kasper; Thomsen, Erik Vilain

    2008-01-01

    We show that very high geometrically amplified piezoresistance can indeed be obtained in microstructured metal-semiconductor hybrid devices, even significantly higher amplification factors than the factor of approximately 8 demonstrated recently by Rowe and co-workers may be achieved. However, we...... than the sensitivity of conventional piezoresistors fabricated in the same piezoresistive material. ©2008 American Institute of Physics...

  1. Artificially Structured Semiconductors to Model Novel Quantum Phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Pinczuk, Aron [Columbia Univ., New York, NY (United States). Dept. of Applied Physics and Applied Mathematics; Wind, Shalom J. [Columbia Univ., New York, NY (United States). Dept. of Applied Physics and Applied Mathematics

    2018-01-13

    small periods are about three times smaller than previously reported in GaAs quantum wells. This milestone establishes a new state-of-the-art in fields of research and nanofabrication. In experiments using optical scattering methods we uncovered evidence that free electrons in the small period AG lattices display novel features that arise from the symmetry of the honeycomb lattice. These achievements create semiconductor platforms for explorations of novel states and effects that offer opportunities to create quasiparticles with tunable character. The quest for the discovery of novel quantum physics by nanofabrication of ‘artificial structures’ in semiconductor quantum structures overlaps with the development of quantum simulators. Nanopatterns were created at Columbia University by the group of co-PI Shalom Wind using a 100keV e-beam nanolithography instrument (along with associated processing) that is part of the Columbia Nano Initiative. Optical experiments were carried out in the group of PI Aron Pinczuk. GaAs/AlGaAs quantum wells(QWs) of world-class perfection that serve as electron hosts are the starting material grown by molecular beam epitaxy (MBE) by our partners Dr. Loren Pfeiffer (Princeton Univ.) and Prof. Michael Manfra (Purdue Univ.). The inductively coupled plasma reactive ion etching (ICP-RIE) was carried out at the PRISM Micro/Nano Fabrication Laboratory of Princeton University. Dr. Vittorio Pellegrini (Istituto Italiano di Tecnologia, Genoa, Italy) has contributed critical insight on this research. Two graduate students in this project Sheng Wang and Diego Scarabelli, graduated in the summer/fall of 2016. Dr. Yuliya Kuznetsova has been a postdoc in the group. The current work is led by Dr. Lingjie Du, a postdoctoral scientist that joined the group of the PI on October 15th, 2016. Since the start of this project we have focused primarily on developing protocols towards the fabrication of the artificial lattices and in the implementation of

  2. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  3. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  4. Solution processed bismuth sulfide nanowire array core/silver shuffle shell solar cells

    NARCIS (Netherlands)

    Cao, Y.; Bernechea, M.; Maclachlan, A.; Zardetto, V.; Creatore, M.; Haque, S.A.; Konstantatos, G.

    2015-01-01

    Low bandgap inorganic semiconductor nanowires have served as building blocks in solution processed solar cells to improve their power conversion capacity and reduce fabrication cost. In this work, we first reported bismuth sulfide nanowire arrays grown from colloidal seeds on a transparent

  5. Transparent megahertz circuits from solution-processed composite thin films.

    Science.gov (United States)

    Liu, Xingqiang; Wan, Da; Wu, Yun; Xiao, Xiangheng; Guo, Shishang; Jiang, Changzhong; Li, Jinchai; Chen, Tangsheng; Duan, Xiangfeng; Fan, Zhiyong; Liao, Lei

    2016-04-21

    Solution-processed amorphous oxide semiconductors have attracted considerable interest in large-area transparent electronics. However, due to its relative low carrier mobility (∼10 cm(2) V(-1) s(-1)), the demonstrated circuit performance has been limited to 800 kHz or less. Herein, we report solution-processed high-speed thin-film transistors (TFTs) and integrated circuits with an operation frequency beyond the megahertz region on 4 inch glass. The TFTs can be fabricated from an amorphous indium gallium zinc oxide/single-walled carbon nanotube (a-IGZO/SWNT) composite thin film with high yield and high carrier mobility of >70 cm(2) V(-1) s(-1). On-chip microwave measurements demonstrate that these TFTs can deliver an unprecedented operation frequency in solution-processed semiconductors, including an extrinsic cut-off frequency (f(T) = 102 MHz) and a maximum oscillation frequency (f(max) = 122 MHz). Ring oscillators further demonstrated an oscillation frequency of 4.13 MHz, for the first time, realizing megahertz circuit operation from solution-processed semiconductors. Our studies represent an important step toward high-speed solution-processed thin film electronics.

  6. Metal oxide semiconductor thin-film transistors for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Petti, Luisa; Vogt, Christian; Büthe, Lars; Cantarella, Giuseppe; Tröster, Gerhard [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Münzenrieder, Niko [Electronics Laboratory, Swiss Federal Institute of Technology, Zürich (Switzerland); Sensor Technology Research Centre, University of Sussex, Falmer (United Kingdom); Faber, Hendrik; Bottacchi, Francesca; Anthopoulos, Thomas D. [Department of Physics and Centre for Plastic Electronics, Imperial College London, London (United Kingdom)

    2016-06-15

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  7. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  8. Free-form processing of near-net shapes using directed light fabrication

    International Nuclear Information System (INIS)

    Thoma, D.J.; Lewis, G.K.; Milewski, J.O.; Nemec, R.B.

    1997-05-01

    Directed light fabrication (DLF) is a rapid fabrication process that fuses gas delivered metal powders within a focal zone of a laser beam to produce fully dense, near-net shape, three-dimensional metal components from a computer generated solid model. Computer controls dictate the metal deposition pathways, and no preforms or molds are required to generate complex sample geometries with accurate and precise tolerances. The DLF technique offers unique advantages over conventional thermomechanical processes or thermal spray processes in that many labor and equipment intensive steps can be avoided to produce components with fully dense microstructures. Moreover, owing to the flexibility in power distributions of lasers, a variety of materials have been processed, ranging from aluminum alloys to tungsten, and including intermetallics such as Mo 5 Si 3 . Since DLF processing offers unique capabilities and advantages for the rapid fabrication of complex metal components, an examination of the microstructural development has been performed in order to define and optimize the processed materials. Solidification studies of DLF processing have demonstrated that a continuous liquid/solid interface is maintained while achieving high constant cooling rates that can be varied between 10 to 10 5 K s -1 and solidification growth rates ranging up to the 10 -2 m s -1

  9. A sacrificial process for fabrication of biodegradable polymer membranes with submicron thickness.

    Science.gov (United States)

    Beardslee, Luke A; Stolwijk, Judith; Khaladj, Dimitrius A; Trebak, Mohamed; Halman, Justin; Torrejon, Karen Y; Niamsiri, Nuttawee; Bergkvist, Magnus

    2016-08-01

    A new sacrificial molding process using a single mask has been developed to fabricate ultrathin 2-dimensional membranes from several biocompatible polymeric materials. The fabrication process is similar to a sacrificial microelectromechanical systems (MEMS) process flow, where a mold is created from a material that can be coated with a biodegradable polymer and subsequently etched away, leaving behind a very thin polymer membrane. In this work, two different sacrificial mold materials, silicon dioxide (SiO2 ) and Liftoff Resist (LOR) were used. Three different biodegradable materials; polycaprolactone (PCL), poly(lactic-co-glycolic acid) (PLGA), and polyglycidyl methacrylate (PGMA), were chosen as model polymers. We demonstrate that this process is capable of fabricating 200-500 nm thin, through-hole polymer membranes with various geometries, pore-sizes and spatial features approaching 2.5 µm using a mold fabricated via a single contact photolithography exposure. In addition, the membranes can be mounted to support rings made from either SU8 or PCL for easy handling after release. Cell culture compatibility of the fabricated membranes was evaluated with human dermal microvascular endothelial cells (HDMECs) seeded onto the ultrathin porous membranes, where the cells grew and formed confluent layers with well-established cell-cell contacts. Furthermore, human trabecular meshwork cells (HTMCs) cultured on these scaffolds showed similar proliferation as on flat PCL substrates, further validating its compatibility. All together, these results demonstrated the feasibility of our sacrificial fabrication process to produce biocompatible, ultra-thin membranes with defined microstructures (i.e., pores) with the potential to be used as substrates for tissue engineering applications. © 2015 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 104B: 1192-1201, 2016. © 2015 Wiley Periodicals, Inc.

  10. Process for fabricating composite material having high thermal conductivity

    Science.gov (United States)

    Colella, Nicholas J.; Davidson, Howard L.; Kerns, John A.; Makowiecki, Daniel M.

    2001-01-01

    A process for fabricating a composite material such as that having high thermal conductivity and having specific application as a heat sink or heat spreader for high density integrated circuits. The composite material produced by this process has a thermal conductivity between that of diamond and copper, and basically consists of coated diamond particles dispersed in a high conductivity metal, such as copper. The composite material can be fabricated in small or relatively large sizes using inexpensive materials. The process basically consists, for example, of sputter coating diamond powder with several elements, including a carbide forming element and a brazeable material, compacting them into a porous body, and infiltrating the porous body with a suitable braze material, such as copper-silver alloy, thereby producing a dense diamond-copper composite material with a thermal conductivity comparable to synthetic diamond films at a fraction of the cost.

  11. III - V semiconductor structures for biosensor and molecular electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Luber, S M

    2007-01-15

    thorough topographical and electrical characterization of fabricated devices which includes the electrostatic trapping of single gold nanoclusters between the electrodes. A first application to molecular electronics is presented by conductance measurements on a molecular layer of oligophenylenvinylene derivatives. Simulations on model molecules applying extended Hueckel theory and the nonequilibrium Greens function formalism reveal a good qualitative agreement between theory and experiment. Furthermore, promising extensions to the present fabrication method are discussed. These include the processing and characterization of broken T-shaped electrodes suitable for measurements on single molecules, and the transition to pure semiconductor electrodes based on indium arsenide. (orig.)

  12. III - V semiconductor structures for biosensor and molecular electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Luber, S.M.

    2007-01-15

    by a thorough topographical and electrical characterization of fabricated devices which includes the electrostatic trapping of single gold nanoclusters between the electrodes. A first application to molecular electronics is presented by conductance measurements on a molecular layer of oligophenylenvinylene derivatives. Simulations on model molecules applying extended Hueckel theory and the nonequilibrium Greens function formalism reveal a good qualitative agreement between theory and experiment. Furthermore, promising extensions to the present fabrication method are discussed. These include the processing and characterization of broken T-shaped electrodes suitable for measurements on single molecules, and the transition to pure semiconductor electrodes based on indium arsenide. (orig.)

  13. Superconducting detectors for semiconductor quantum photonics

    International Nuclear Information System (INIS)

    Reithmaier, Guenther M.

    2015-01-01

    In this thesis we present the first successful on-chip detection of quantum light, thereby demonstrating the monolithic integration of superconducting single photon detectors with individually addressable semiconductor quantum dots in a prototypical quantum photonic circuit. Therefore, we optimized both the deposition of high quality superconducting NbN thin films on GaAs substrates and the fabrication of superconducting detectors and successfully integrated these novel devices with GaAs/AlGaAs ridge waveguides loaded with self-assembled InGaAs quantum dots.

  14. A Highly Controllable Electrochemical Anodization Process to Fabricate Porous Anodic Aluminum Oxide Membranes

    Science.gov (United States)

    Lin, Yuanjing; Lin, Qingfeng; Liu, Xue; Gao, Yuan; He, Jin; Wang, Wenli; Fan, Zhiyong

    2015-12-01

    Due to the broad applications of porous alumina nanostructures, research on fabrication of anodized aluminum oxide (AAO) with nanoporous structure has triggered enormous attention. While fabrication of highly ordered nanoporous AAO with tunable geometric features has been widely reported, it is known that its growth rate can be easily affected by the fluctuation of process conditions such as acid concentration and temperature during electrochemical anodization process. To fabricate AAO with various geometric parameters, particularly, to realize precise control over pore depth for scientific research and commercial applications, a controllable fabrication process is essential. In this work, we revealed a linear correlation between the integrated electric charge flow throughout the circuit in the stable anodization process and the growth thickness of AAO membranes. With this understanding, we developed a facile approach to precisely control the growth process of the membranes. It was found that this approach is applicable in a large voltage range, and it may be extended to anodization of other metal materials such as Ti as well.

  15. Semiconductor-based, large-area, flexible, electronic devices

    Science.gov (United States)

    Goyal, Amit [Knoxville, TN

    2011-03-15

    Novel articles and methods to fabricate the same resulting in flexible, large-area, triaxially textured, single-crystal or single-crystal-like, semiconductor-based, electronic devices are disclosed. Potential applications of resulting articles are in areas of photovoltaic devices, flat-panel displays, thermophotovoltaic devices, ferroelectric devices, light emitting diode devices, computer hard disc drive devices, magnetoresistance based devices, photoluminescence based devices, non-volatile memory devices, dielectric devices, thermoelectric devices and quantum dot laser devices.

  16. Processing and characterization of multilayers for energy device fabrication (invited)

    DEFF Research Database (Denmark)

    Kaiser, Andreas; Kiebach, Wolff-Ragnar; Gurauskis, Jonas

    SOFC and tubular OTM, we present selected challenges in ceramic processing such asymmetric multilayer structures. By optimizing different steps in the ceramic processing, we improved the mechanical properties and gas permeability of porous supports and the (electrochemical) performance of electrodes......The performance of asymmetric multilayer structures in solid oxide fuel cells (SOFC)/solid oxide electrolysis cells (SOEC), tubular oxygen transport membranes (OTM) and similar high temperature energy devices is often determined by the ceramic fabrication (for given materials and design). A good...... understanding and control of different processing steps (from powder/materials selection, through shaping and sintering) is of crucial importance to achieve a defect-free multilayer microstructure with the desired properties and performance. Based on the experiences at DTU Energy with the fabrication of planar...

  17. SETEC/Semiconductor Manufacturing Technologies Program: 1999 Annual and Final Report

    Energy Technology Data Exchange (ETDEWEB)

    MCBRAYER,JOHN D.

    2000-12-01

    This report summarizes the results of work conducted by the Semiconductor Manufacturing Technologies Program at Sandia National Laboratories (Sandia) during 1999. This work was performed by one working group: the Semiconductor Equipment Technology Center (SETEC). The group's projects included Numerical/Experimental Characterization of the Growth of Single-Crystal Calcium Fluoride (CaF{sub 2}); The Use of High-Resolution Transmission Electron Microscopy (HRTEM) Imaging for Certifying Critical-Dimension Reference Materials Fabricated with Silicon Micromachining; Assembly Test Chip for Flip Chip on Board; Plasma Mechanism Validation: Modeling and Experimentation; and Model-Based Reduction of Contamination in Gate-Quality Nitride Reactor. During 1999, all projects focused on meeting customer needs in a timely manner and ensuring that projects were aligned with the goals of the National Technology Roadmap for Semiconductors sponsored by the Semiconductor Industry Association and with Sandia's defense mission. This report also provides a short history of the Sandia/SEMATECH relationship and a brief on all projects completed during the seven years of the program.

  18. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  19. Top-down Fabrication and Enhanced Active Area Electronic Characteristics of Amorphous Oxide Nanoribbons for Flexible Electronics.

    Science.gov (United States)

    Jang, Hyun-June; Joong Lee, Ki; Jo, Kwang-Won; Katz, Howard E; Cho, Won-Ju; Shin, Yong-Beom

    2017-07-18

    Inorganic amorphous oxide semiconductor (AOS) materials such as amorphous InGaZnO (a-IGZO) possess mechanical flexibility and outstanding electrical properties, and have generated great interest for use in flexible and transparent electronic devices. In the past, however, AOS devices required higher activation energies, and hence higher processing temperatures, than organic ones to neutralize defects. It is well known that one-dimensional nanowires tend to have better carrier mobility and mechanical strength along with fewer defects than the corresponding two-dimensional films, but until now it has been difficult, costly, and impractical to fabricate such nanowires in proper alignments by either "bottom-up" growth techniques or by "top-down" e-beam lithography. Here we show a top-down, cost-effective, and scalable approach for the fabrication of parallel, laterally oriented AOS nanoribbons based on lift-off and nano-imprinting. High mobility (132 cm 2 /Vs), electrical stability, and transparency are obtained in a-IGZO nanoribbons, compared to the planar films of the same a-IGZO semiconductor.

  20. Investigation of the influence of the proximity effect and randomness on a photolithographically fabricated photonic crystal nanobeam cavity

    Science.gov (United States)

    Tetsumoto, Tomohiro; Kumazaki, Hajime; Ishida, Rammaru; Tanabe, Takasumi

    2018-01-01

    Recent progress on the fabrication techniques used in silicon photonics foundries has enabled us to fabricate photonic crystal (PhC) nanocavities using a complementary metal-oxide-semiconductor (CMOS) compatible process. A high Q two-dimensional PhC nanocavity and a one-dimensional nanobeam PhC cavity with a Q exceeding 100 thousand have been fabricated using ArF excimer laser immersion lithography. These are important steps toward the fusion of silicon photonics devices and PhC devices. Although the fabrication must be reproducible for industrial applications, the properties of PhC nanocavities are sensitively affected by the proximity effect and randomness. In this study, we quantitatively investigated the influence of the proximity effect and randomness on a silicon nanobeam PhC cavity. First, we discussed the optical properties of cavities defined with one- and two-step exposure methods, which revealed the necessity of a multi-stage exposure process for our structure. Then, we investigated the impact of block structures placed next to the cavities. The presence of the blocks modified the resonant wavelength of the cavities by about 10 nm. The highest Q we obtained was over 100 thousand. We also discussed the influence of photomask misalignment, which is also a possible cause of disorders in the photolithographic fabrication process. This study will provide useful information for fabricating integrated photonic circuits with PhC nanocavities using a photolithographic process.

  1. Investigation of small scale sphere-pac fuel fabrication plant with external gelation process

    International Nuclear Information System (INIS)

    Maekawa, Kazuhiko; Yoshimura, Tadahiro; Kikuchi, Toshiaki; Hoshino, Yasushi; Munekata, Hideki; Shimizu, Makoto

    2005-02-01

    In feasibility studies on commercialized FBR cycle system, comprehensive system investigation and properties evaluation for candidate FBR cycle systems have been implemented through view point of safety, economics, environmental burden reduction, non-proliferation resistivity, etc. As part of these studies, an investigation of small scale sphere-pac fuel fabrication plant with external gelation process was conducted. Until last fiscal year, equipment layout in cells and overall layout design of the 200t-HM/y scale fuel fabrication plant were conducted as well as schematical design studies on main equipments in gelation and reagent recovery processes of the plant. System property data concerning economics and environmental burden reduction of fuel fabrication plant was also acquired. In this fiscal year, the processes from vibropacking to fuel assemblies storage were added to the investigation range, and a conceptual design of whole fuel fabrication plant was studied as well as deepening the design study on main equipments. The conceptual design study was mainly conducted for small 50t-HM/y scale plant and a revising investigation was done for 200t-HM/y scale plant. Taking the planed comparative evaluation with pellet fuel fabrication system into account, design of equipments which should be equivalent with pellet system, especially in post-vibropacking processes, were standardized in each system. Based on these design studies, system properties data concerning economics and environmental burden reduction of the plant was also acquired. In comparison with existing design, the cell height was lowered on condition that plug type pneumatic system was adopted and fuel fabrication building was downsized by applying rationalized layout design of pellet system to post-vibropacking processes. Reduction of reagent usage at gelation process and rationalization of sintering and O/M controlling processes etc., are foremost tasks. (author)

  2. Investigation of heat treatment conditions of structural material for blanket fabrication process

    International Nuclear Information System (INIS)

    Hirose, Takanori; Suzuki, Satoshi; Akiba, Masato; Shiba, Kiyoyuki; Sawai, Tomotsugu; Jitsukawa, Shiro

    2004-01-01

    This paper presents recent results of thermal hysteresis effects on ceramic breeder blanket structural material. Reduced activation ferritic/martensitic (RAF) steel is the leading candidates for the first wall structural materials of breeding blankets. RAF steel demonstrates superior resistance to high dose neutron irradiation, because the steel has tempered martensite structure which contains the number of sink site for radiation defects. This microstructure obtained by two-step heat treatment, first is normalizing at temperature above 1200 K and the second is tempering at temperature below 1100 K. Recent study revealed the thermal hysteresis has significant impacts on the post-irradiation mechanical properties. The breeding blanket has complicated structure, which consists of tungsten armor and thin first wall with cooling pipe. The blanket fabrication requires some high temperature joining processes. Especially hot isostatic pressing (HIP) is examined as a near-net-shape fabrication process for this structure. The process consists of heating above 1300 K and isostatic pressing at the pressure above 150 MPa followed by tempering. Moreover ceramics pebbles are packed into blanket module and the module is to be seamed by welding followed by post weld heat treatment in the final assemble process. Therefore the final microstructural features of RAFs strongly depend on the blanket fabrication process. The objective of this work is to evaluate the effects of thermal hysteresis corresponding to blanket fabrication process on RAFs microstructure in order to establish appropriate blanket fabrication process. Japanese RAFs F82H (Fe-0.1C-8Cr-2W-0.2V-0.05Ta) was investigated by metallurgical method after isochronal heat treatment up to 1473 K simulating high temperature bonding process. Although F82H showed significant grain growth after conventional solid HIP conditions (1313 K x 2 hr.), this coarse grained microstructure was refined by the post HIP normalizing at

  3. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  4. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  5. Amplified spontaneous emission spectrum and gain characteristic of a two-electrode semiconductor optical amplifier

    International Nuclear Information System (INIS)

    Wang Hanchao; Huang Lirong; Shi Zhongwei

    2011-01-01

    A two-electrode multi-quantum-well semiconductor optical amplifier is designed and fabricated. The amplified spontaneous emission (ASE) spectrum and gain were measured and analyzed. It is shown that the ASE spectrum and gain characteristic are greatly influencedby the distribution of the injection current density. By changing the injection current density of two electrodes, the full width at half maximum, peak wavelength, peak power of the ASE spectrum and the gain characteristic can be easily controlled. (semiconductor devices)

  6. Bioinspired superhydrophobic surfaces, fabricated through simple and scalable roll-to-roll processing.

    Science.gov (United States)

    Park, Sung-Hoon; Lee, Sangeui; Moreira, David; Bandaru, Prabhakar R; Han, InTaek; Yun, Dong-Jin

    2015-10-22

    A simple, scalable, non-lithographic, technique for fabricating durable superhydrophobic (SH) surfaces, based on the fingering instabilities associated with non-Newtonian flow and shear tearing, has been developed. The high viscosity of the nanotube/elastomer paste has been exploited for the fabrication. The fabricated SH surfaces had the appearance of bristled shark skin and were robust with respect to mechanical forces. While flow instability is regarded as adverse to roll-coating processes for fabricating uniform films, we especially use the effect to create the SH surface. Along with their durability and self-cleaning capabilities, we have demonstrated drag reduction effects of the fabricated films through dynamic flow measurements.

  7. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  8. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  9. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  10. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  11. Relationship between single-event upset immunity and fabrication processes of recent memories

    International Nuclear Information System (INIS)

    Nemoto, N.; Shindou, H.; Kuboyama, S.; Matsuda, S.; Itoh, H.; Okada, S.; Nashiyama, I.

    1999-01-01

    Single-Event upset (SEU) immunity for commercial devices were evaluated by irradiation tests using high-energy heavy ions. We show test results and describe the relationship between observed SEU and structures/fabrication processes. We have evaluated single-even upset (SEU) tolerance of recent commercial memory devices using high energy heavy ions in order to find relationship between SEU rate and their fabrication process. It was revealed that the change of the process parameter gives much effect for the SEU rate of the devices. (authors)

  12. Dustless Process for Minor Actinide-Bearing Blanket Fabrication

    International Nuclear Information System (INIS)

    Caisso, M.; Lebreton, F.; Horlait, D.; Delahaye, Th.; Picart, S.; Martin, Ph.M.; Renard, C.; Roussel, P.; Neuville, D.R.; Belin, R.C.; Dardenne, K.; Rothe, J.; Ayral, A.

    2015-01-01

    U 1-x Am x O 2±δ mixed-oxides are considered promising compounds for americium heterogeneous transmutation in fast neutron reactor. At lab-scale, the fabrication of americium bearing blankets (AmBB) under the form of ceramic pellets, required for irradiation, follows a powder metallurgy route which generates highly contaminant fine particles. Considering scale-up, dustless processes that can avoid particle dispersion in the fabrication lines are thus recommended. With this aim, the development of an innovative route called calcined resin microsphere pelletizing (CRMP) process has been initiated. The general approach consists in synthesising mixed-oxide microsphere precursors from beads of ion exchange resin through an adaptation of the weak acid resin process (WAR), and their pelletizing before sintering. This study focuses on the microsphere synthesis and particularly on the mechanisms implied during the thermal conversion of metal loaded ion exchange resin in porous mixed-oxide microspheres. The results are discussed, in a first time, on the basis of the synthesis of oxide microspheres integrating uranium and americium surrogates (Ce and Gd respectively) before a transposition to the highly active materials in a second time. (authors)

  13. Novel magnetic wire fabrication process by way of nanoimprint lithography for current induced magnetization switching

    Science.gov (United States)

    Asari, Tsukasa; Shibata, Ryosuke; Awano, Hiroyuki

    2017-05-01

    Nanoimprint lithography (NIL) is an effective method to fabricate nanowire because it does not need expensive systems and this process is easier than conventional processes. In this letter, we report the Current Induced Magnetization Switching (CIMS) in perpendicularly magnetized Tb-Co alloy nanowire fabricated by NIL. The CIMS in Tb-Co alloy wire was observed by using current pulse under in-plane external magnetic field (HL). We successfully observed the CIMS in Tb-Co wire fabricated by NIL. Additionally, we found that the critical current density (Jc) for the CIMS in the Tb-Co wire fabricated by NIL is 4 times smaller than that fabricated by conventional lift-off process under HL = 200Oe. These results indicate that the NIL is effective method for the CIMS.

  14. Progress in Group III nitride semiconductor electronic devices

    International Nuclear Information System (INIS)

    Hao Yue; Zhang Jinfeng; Shen Bo; Liu Xinyu

    2012-01-01

    Recently there has been a rapid domestic development in group III nitride semiconductor electronic materials and devices. This paper reviews the important progress in GaN-based wide bandgap microelectronic materials and devices in the Key Program of the National Natural Science Foundation of China, which focuses on the research of the fundamental physical mechanisms of group III nitride semiconductor electronic materials and devices with the aim to enhance the crystal quality and electric performance of GaN-based electronic materials, develop new GaN heterostructures, and eventually achieve high performance GaN microwave power devices. Some remarkable progresses achieved in the program will be introduced, including those in GaN high electron mobility transistors (HEMTs) and metal—oxide—semiconductor high electron mobility transistors (MOSHEMTs) with novel high-k gate insulators, and material growth, defect analysis and material properties of InAlN/GaN heterostructures and HEMT fabrication, and quantum transport and spintronic properties of GaN-based heterostructures, and high-electric-field electron transport properties of GaN material and GaN Gunn devices used in terahertz sources. (invited papers)

  15. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  16. Fabrication of HTR fuel elements by a gaseous impregnation process

    International Nuclear Information System (INIS)

    Blin, J.C.; Berthier, J.; Devillard, J.

    1976-01-01

    The results obtained with the gaseous impregnation process are described. The successive steps of the fabrication in their present state of realization are given together with the results obtained after irradiation. A comparison between this process and a classical method is presented

  17. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  18. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  19. A Novel Continuous Extrusion Process to Fabricate Wedge-Shaped Light Guide Plates

    Directory of Open Access Journals (Sweden)

    Wen-Tse Hsiao

    2013-01-01

    Full Text Available Backlight modules are key components in thin-film transistor liquid crystal displays (TFT-LCD. Among the components of a backlight module, the light guide plate (LGP plays the most important role controlling the light projected to the eyes of users. A wedge-shaped LGP, with its asymmetrical structure, is usually fabricated by an injection proces, but the fabrication time of this process is long. This study proposes a continuous extrusion process to fabricate wedge-shaped LGPs. This continuous process has advantages for mass production. Besides a T-die and rollers, this system also has an in situ monitor of the melt-bank that forms during the extrusion process, helping control the plate thickness. Results show that the melt bank has a close relationship with the plate thickness. The temperature of the bottom heater and roller was adjusted to reduce the surface deformation of the wedge-shaped plate. This continuous extrusion system can successfully manufacture wedge-shaped LGPs for mass production.

  20. A Designed Room Temperature Multilayered Magnetic Semiconductor

    Science.gov (United States)

    Bouma, Dinah Simone; Charilaou, Michalis; Bordel, Catherine; Duchin, Ryan; Barriga, Alexander; Farmer, Adam; Hellman, Frances; Materials Science Division, Lawrence Berkeley National Lab Team

    2015-03-01

    A room temperature magnetic semiconductor has been designed and fabricated by using an epitaxial antiferromagnet (NiO) grown in the (111) orientation, which gives surface uncompensated magnetism for an odd number of planes, layered with the lightly doped semiconductor Al-doped ZnO (AZO). Magnetization and Hall effect measurements of multilayers of NiO and AZO are presented for varying thickness of each. The magnetic properties vary as a function of the number of Ni planes in each NiO layer; an odd number of Ni planes yields on each NiO layer an uncompensated moment which is RKKY-coupled to the moments on adjacent NiO layers via the carriers in the AZO. This RKKY coupling oscillates with the AZO layer thickness, and it disappears entirely in samples where the AZO is replaced with undoped ZnO. The anomalous Hall effect data indicate that the carriers in the AZO are spin-polarized according to the direction of the applied field at both low temperature and room temperature. NiO/AZO multilayers are therefore a promising candidate for spintronic applications demanding a room-temperature semiconductor.

  1. Mechanical design and fabrication processes for the ALS third-harmonic cavities

    International Nuclear Information System (INIS)

    Franks, M.; Henderson, T.; Hernandez, K.; Otting, D.; Plate, D.; Rimmer, R.

    1999-01-01

    It is planned to install five third-harmonic (1.5 GHz) RF Cavities in May/June 1999 as an upgrade to the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory (LBNL). This paper presents mechanical design features, their experiences in using electronic design models to expedite the manufacturing process, and the fabrication processes employed to produce these cavities for the ALS. They discuss some of the lessons learned from the PEP-II RF Cavity design and fabrication, and outline the improvements incorporated in the new design. They also report observations from the current effort

  2. Mass production compatible fabrication techniques of single-crystalline silver metamaterials and plasmonics devices

    Science.gov (United States)

    Rodionov, Ilya A.; Baburin, Alexander S.; Zverev, Alexander V.; Philippov, Ivan A.; Gabidulin, Aidar R.; Dobronosova, Alina A.; Ryzhova, Elena V.; Vinogradov, Alexey P.; Ivanov, Anton I.; Maklakov, Sergey S.; Baryshev, Alexander V.; Trofimov, Igor V.; Merzlikin, Alexander M.; Orlikovsky, Nikolay A.; Rizhikov, Ilya A.

    2017-08-01

    During last 20 years, great results in metamaterials and plasmonic nanostructures fabrication were obtained. However, large ohmic losses in metals and mass production compatibility still represent the most serious challenge that obstruct progress in the fields of metamaterials and plasmonics. Many recent research are primarily focused on developing low-loss alternative materials, such as nitrides, II-VI semiconductor oxides, high-doped semiconductors, or two-dimensional materials. In this work, we demonstrate that our perfectly fabricated silver films can be an effective low-loss material system, as theoretically well-known. We present a fabrication technology of plasmonic and metamaterial nanodevices on transparent (quartz, mica) and non-transparent (silicon) substrates by means of e-beam lithography and ICP dry etch instead of a commonly-used focused ion beam (FIB) technology. We eliminate negative influence of litho-etch steps on silver films quality and fabricate square millimeter area devices with different topologies and perfect sub-100 nm dimensions reproducibility. Our silver non-damage fabrication scheme is tested on trial manufacture of spasers, plasmonic sensors and waveguides, metasurfaces, etc. These results can be used as a flexible device manufacture platform for a broad range of practical applications in optoelectronics, communications, photovoltaics and biotechnology.

  3. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  4. Simulation studies of current transport in metal-insulator-semiconductor Schottky barrier diodes

    International Nuclear Information System (INIS)

    Chand, Subhash; Bala, Saroj

    2007-01-01

    The current-voltage characteristics of Schottky diodes with an interfacial insulator layer are analysed by numerical simulation. The current-voltage data of the metal-insulator-semiconductor Schottky diode are simulated using thermionic emission diffusion (TED) equation taking into account an interfacial layer parameter. The calculated current-voltage data are fitted into ideal TED equation to see the apparent effect of interfacial layer parameters on current transport. Results obtained from the simulation studies shows that with mere presence of an interfacial layer at the metal-semiconductor interface the Schottky contact behave as an ideal diode of apparently high barrier height (BH), but with same ideality factor and series resistance as considered for a pure Schottky contact without an interfacial layer. This apparent BH decreases linearly with decreasing temperature. The effects giving rise to high ideality factor in metal-insulator-semiconductor diode are analysed. Reasons for observed temperature dependence of ideality factor in experimentally fabricated metal-insulator-semiconductor diodes are analysed and possible mechanisms are discussed

  5. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  6. Mono-domain YBa2Cu3Oy superconductor fabrics prepared by an infiltration process

    International Nuclear Information System (INIS)

    Sudhakar Reddy, E.; Noudem, J.G.; Tarka, M.; Schmitz, G.J.

    2000-01-01

    A novel process for the fabrication of a new form of YBa 2 Cu 3 O y (123) superconducting material, with the dimensions of a thick film and the microstructure of a melt-textured single-domain bulk is described. The process allows the fabrication of 123 as a self-supporting fabric or as a thick film on various substrate materials. The process, which is generic and economical, uses commercially available Y 2 O 3 fabrics as a precursor material. The Y 2 O 3 cloth is infiltrated with barium cuprates and copper oxides from a liquid-phase source, then converted into Y 2 BaCuO 5 (211) phase and eventually to 123. The nucleation and growth of the 123 phase is controlled by seeding the cloth with an oriented heterogeneous MgO or Nd123 seed. Interesting application areas for the new form of the 123 mono-domain fabric are discussed. (author)

  7. Novel room temperature ferromagnetic semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Amita [KTH Royal Inst. of Technology, Stockholm (Sweden)

    2004-06-01

    distribution of Mn substituting for Zn a 2+ state in the ZnO lattice. Ferromagnetic Resonance (FMR) technique is used to confirm the existence of ferromagnetic ordering at temperatures as high as 425K. The ab initio calculations were found to be consistent with the observation of ferromagnetism arising from fully polarized Mn 2+ state. The key to observed room temperature ferromagnetism in this system is the low temperature processing, which prevents formation of clusters, secondary phases and the host ZnO from becoming n-type. The electronic structure of the same Mn doped ZnO thin films studied using XAS, XES and RIXS, revealed a strong hybridization between Mn 3d and O 2p states, which is an important characteristic of a Dilute magnetic Semiconductor (DMS). It is shown that the various processing conditions like sintering temperature, dopant concentration and the properties of precursors used for making of DMS have a great influence on the final properties. Use of various experimental techniques to verify the physical properties, and to understand the mechanism involved to give rise to ferromagnetism is presented. Methods to improve the magnetic moment in Mn doped ZnO are also described. New promising DMS materials (such as Cu doped ZnO are explored). The demonstrated new capability to fabricate powder, pellets, and thin films of room temperature ferromagnetic semiconductors thus makes possible the realization of a wide range of complex elements for a variety of new multifunctional phenomena related to Spintronic devices as well as magneto-optic components.

  8. Superconductor-semiconductor-superconductor planar junctions of aluminium on DELTA-doped gallium arsenide

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Kutchinsky, jonatan

    1997-01-01

    We have fabricated and characterized planar superconductor-semiconductor-superconductor (S-Sm-S) junctions with a high quality (i.e. low barrier) interface between an n++ modulation doped conduction layer in MBE grown GaAs and in situ deposited Al electrodes. The Schottky barrier at the S...

  9. Novel magnetic wire fabrication process by way of nanoimprint lithography for current induced magnetization switching

    Directory of Open Access Journals (Sweden)

    Tsukasa Asari

    2017-05-01

    Full Text Available Nanoimprint lithography (NIL is an effective method to fabricate nanowire because it does not need expensive systems and this process is easier than conventional processes. In this letter, we report the Current Induced Magnetization Switching (CIMS in perpendicularly magnetized Tb-Co alloy nanowire fabricated by NIL. The CIMS in Tb-Co alloy wire was observed by using current pulse under in-plane external magnetic field (HL. We successfully observed the CIMS in Tb-Co wire fabricated by NIL. Additionally, we found that the critical current density (Jc for the CIMS in the Tb-Co wire fabricated by NIL is 4 times smaller than that fabricated by conventional lift-off process under HL = 200Oe. These results indicate that the NIL is effective method for the CIMS.

  10. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  11. A Review on the Fabrication of Hierarchical ZnO Nanostructures for Photocatalysis Application

    Directory of Open Access Journals (Sweden)

    Yi Xia

    2016-11-01

    Full Text Available Semiconductor photocatalysis provides potential solutions for many energy and environmental-related issues. Recently, various semiconductors with hierarchical nanostructures have been fabricated to achieve efficient photocatalysts owing to their multiple advantages, such as high surface area, porous structures, as well as enhanced light harvesting. ZnO has been widely investigated and considered as the most promising alternative photocatalyst to TiO2. Herein, we present a review on the fabrication methods, growth mechanisms and photocatalytic applications of hierarchical ZnO nanostructures. Various synthetic strategies and growth mechanisms, including multistep sequential growth routes, template-based synthesis, template-free self-organization and precursor or self-templating strategies, are highlighted. In addition, the fabrication of multicomponent ZnO-based nanocomposites with hierarchical structures is also included. Finally, the application of hierarchical ZnO nanostructures and nanocomposites in typical photocatalytic reactions, such as pollutant degradation and H2 evolution, is reviewed.

  12. Scalable, Economical Fabrication Processes for Ultra-Compact Warm-White LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Lowes, Ted [Cree, Inc., Durham, NC (United States)

    2016-01-31

    Conventional warm-white LED component fabrication consists of a large number of sequential steps which are required to incorporate electrical, mechanical, and optical functionality into the component. Each of these steps presents cost and yield challenges which multiply throughout the entire process. Although there has been significant progress in LED fabrication over the last decade, significant advances are needed to enable further reductions in cost per lumen while not sacrificing efficacy or color quality. Cree conducted a focused 18-month program to develop a new low-cost, high-efficiency light emitting diode (LED) architecture enabled by novel large-area parallel processing technologies, reduced number of fabrication steps, and minimized raw materials use. This new scheme is expected to enable ultra-compact LED components exhibiting simultaneously high efficacy and high color quality. By the end of the program, Cree fabricated warm-white LEDs with a room-temperature “instant on” efficacy of >135 lm/W at ~3500K and 90 CRI (when driven at the DOE baseline current density of 35 A/cm2). Cree modified the conventional LED fabrication process flow in a manner that is expected to translate into simultaneously high throughput and yield for ultra-compact packages. Building on its deep expertise in LED wafer fabrication, Cree developed these ultra-compact LEDs to have no compromises in color quality or efficacy compared to their conventional counterparts. Despite their very small size, the LEDs will also be robustly electrically integrated into luminaire systems with the same attach yield as conventional packages. The versatility of the prototype high-efficacy LED architecture will likely benefit solid-state lighting (SSL) luminaire platforms ranging from bulbs to troffers. We anticipate that the prototype LEDs will particularly benefit luminaires with large numbers of distributed compact packages, such as linear and area luminaires (e.g. troffers). The fraction of

  13. Modelling the metal–semiconductor band structure in implanted ohmic contacts to GaN and SiC

    International Nuclear Information System (INIS)

    Pérez-Tomás, A; Fontserè, A; Placidi, M; Jennings, M R; Gammon, P M

    2013-01-01

    Here we present a method to model the metal–semiconductor (M–S) band structure to an implanted ohmic contact to a wide band gap semiconductor (WBG) such as GaN and SiC. The performance and understanding of the M–S contact to a WBG semiconductor is of great importance as it influences the overall performance of a semiconductor device. In this work we explore in a numerical fashion the ohmic contact properties to a WBG semiconductor taking into account the partial ionization of impurities and analysing its dependence on the temperature, the barrier height, the impurity level band energy and carrier concentration. The effect of the M–S Schottky barrier lowering and the Schottky barrier inhomogeneities are discussed. The model is applied to a fabricated ohmic contact to GaN where the M–S band structure can be completely determined. (paper)

  14. Diffusion in Intrinsic and Highly Doped III-V Semiconductors

    CERN Multimedia

    Stolwijk, N

    2002-01-01

    %title\\\\ \\\\Diffusion plays a key role in the fabrication of semiconductor devices. The diffusion of atoms in crystals is mediated by intrinsic point defects. Investigations of the diffusion behaviour of self- and solute atoms on the Ga sublattice of gallium arsenide led to the conclusion that in intrinsic and n-type material charged Ga vacancies are involved in diffusion processes whereas in p-type material diffusion if governed by charged Ga self-interstitials. Concerning the As sublattice of gallium arsenide there is a severe lack of reliable diffusion data. The few available literature data on intrinsic GaAs are not mutually consistent. A systematic study of the doping dependence of diffusion is completely missing. The most basic diffusion process - self-diffusion of As and its temperature and doping dependence - is practically not known. For GaP a similar statement holds.\\\\ \\\\The aim of the present project is to perform a systematic diffusion study of As diffusion in intrinsic and doped GaAs and in GaP. P...

  15. Memory characteristics of an MOS capacitor structure with double-layer semiconductor and metal heterogeneous nanocrystals

    International Nuclear Information System (INIS)

    Ni Henan; Wu Liangcai; Song Zhitang; Hui Chun

    2009-01-01

    An MOS (metal oxide semiconductor) capacitor structure with double-layer heterogeneous nanocrystals consisting of semiconductor and metal embedded in a gate oxide for nonvolatile memory applications has been fabricated and characterized. By combining vacuum electron-beam co-evaporated Si nanocrystals and self-assembled Ni nanocrystals in a SiO 2 matrix, an MOS capacitor with double-layer heterogeneous nanocrystals can have larger charge storage capacity and improved retention characteristics compared to one with single-layer nanocrystals. The upper metal nanocrystals as an additional charge trap layer enable the direct tunneling mechanism to enhance the flat voltage shift and prolong the retention time. (semiconductor devices)

  16. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  17. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien; Amani, Matin; Desai, Sujay B.; Ahn, Geun Ho; Han, Kevin; He, Jr-Hau; Ager, Joel W.; Wu, Ming C.; Javey, Ali

    2018-01-01

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  18. Large-area and bright pulsed electroluminescence in monolayer semiconductors

    KAUST Repository

    Lien, Der-Hsien

    2018-04-04

    Transition-metal dichalcogenide monolayers have naturally terminated surfaces and can exhibit a near-unity photoluminescence quantum yield in the presence of suitable defect passivation. To date, steady-state monolayer light-emitting devices suffer from Schottky contacts or require complex heterostructures. We demonstrate a transient-mode electroluminescent device based on transition-metal dichalcogenide monolayers (MoS, WS, MoSe, and WSe) to overcome these problems. Electroluminescence from this dopant-free two-terminal device is obtained by applying an AC voltage between the gate and the semiconductor. Notably, the electroluminescence intensity is weakly dependent on the Schottky barrier height or polarity of the contact. We fabricate a monolayer seven-segment display and achieve the first transparent and bright millimeter-scale light-emitting monolayer semiconductor device.

  19. Solution coating of large-area organic semiconductor thin films with aligned single-crystalline domains

    KAUST Repository

    Diao, Ying

    2013-06-02

    Solution coating of organic semiconductors offers great potential for achieving low-cost manufacturing of large-area and flexible electronics. However, the rapid coating speed needed for industrial-scale production poses challenges to the control of thin-film morphology. Here, we report an approach - termed fluid-enhanced crystal engineering (FLUENCE) - that allows for a high degree of morphological control of solution-printed thin films. We designed a micropillar-patterned printing blade to induce recirculation in the ink for enhancing crystal growth, and engineered the curvature of the ink meniscus to control crystal nucleation. Using FLUENCE, we demonstrate the fast coating and patterning of millimetre-wide, centimetre-long, highly aligned single-crystalline organic semiconductor thin films. In particular, we fabricated thin films of 6,13-bis(triisopropylsilylethynyl) pentacene having non-equilibrium single-crystalline domains and an unprecedented average and maximum mobilities of 8.1±1.2 cm2 V-1 s -1 and 11 cm2 V-1 s-1. FLUENCE of organic semiconductors with non-equilibrium single-crystalline domains may find use in the fabrication of high-performance, large-area printed electronics. © 2013 Macmillan Publishers Limited. All rights reserved.

  20. Metal contact engineering and registration-free fabrication of complementary metal-oxide semiconductor integrated circuits using aligned carbon nanotubes.

    Science.gov (United States)

    Wang, Chuan; Ryu, Koungmin; Badmaev, Alexander; Zhang, Jialu; Zhou, Chongwu

    2011-02-22

    Complementary metal-oxide semiconductor (CMOS) operation is very desirable for logic circuit applications as it offers rail-to-rail swing, larger noise margin, and small static power consumption. However, it remains to be a challenging task for nanotube-based devices. Here in this paper, we report our progress on metal contact engineering for n-type nanotube transistors and CMOS integrated circuits using aligned carbon nanotubes. By using Pd as source/drain contacts for p-type transistors, small work function metal Gd as source/drain contacts for n-type transistors, and evaporated SiO(2) as a passivation layer, we have achieved n-type transistor, PN diode, and integrated CMOS inverter with an air-stable operation. Compared with other nanotube n-doping techniques, such as potassium doping, PEI doping, hydrazine doping, etc., using low work function metal contacts for n-type nanotube devices is not only air stable but also integrated circuit fabrication compatible. Moreover, our aligned nanotube platform for CMOS integrated circuits shows significant advantage over the previously reported individual nanotube platforms with respect to scalability and reproducibility and suggests a practical and realistic approach for nanotube-based CMOS integrated circuit applications.

  1. Improvement in semiconductor laser printing using a sacrificial protecting layer for organic thin-film transistors fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Rapp, Ludovic, E-mail: rapp@lp3.univ-mrs.fr [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Cibert, Christophe [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Nenon, Sebastien [CINaM (Centre Interdisciplinaire de Nanoscience de Marseille) - UPR 3118 CNRS - Universite Aix Marseille, Case 913, Campus de Luminy, 13288 Marseille Cedex 09 (France); Alloncle, Anne Patricia [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France); Nagel, Matthias [Empa, Swiss Federal Laboratories for Materials Testing and Reasearch, Laboratory for Functional Polymers, Uberlandstrasse 129, 8600 Duebendorf (Switzerland); Lippert, Thomas [Paul Scherrer Institut, General Energy Research Department, 5232 Villigen PSI (Switzerland); Videlot-Ackermann, Christine; Fages, Frederic [CINaM (Centre Interdisciplinaire de Nanoscience de Marseille) - UPR 3118 CNRS - Universite Aix Marseille, Case 913, Campus de Luminy, 13288 Marseille Cedex 09 (France); Delaporte, Philippe [Laboratoire LP3 (Lasers, Plasma et Procedes Photoniques) - UMR 6182 CNRS - Universite de la Mediterranee - Campus de Luminy C917, 13288 Marseille Cedex 09 (France)

    2011-04-01

    Laser-induced forward transfer (LIFT) has been used to deposit pixels of an organic semiconductor, distyryl-quaterthiophenes (DS4T). The dynamics of the process have been investigated by shadowgraphic imaging for the nanosecond (ns) and picosecond (ps) regime on a time-scale from the laser iradiation to 1.5 {mu}s. The morphology of the deposit has been studied for different conditions. Intermediate sacrificial layer of gold or triazene polymer has been used to trap the incident radiation. Its role is to protect the layer to be transferred from direct irradiation and to provide a mechanical impulse strong enough to eject the material.

  2. Fabrication of metal-matrix composites and adaptive composites using ultrasonic consolidation process

    International Nuclear Information System (INIS)

    Kong, C.Y.; Soar, R.C.

    2005-01-01

    Ultrasonic consolidation (UC) has been used to embed thermally sensitive and damage intolerant fibres within aluminium matrix structures using high frequency, low amplitude, mechanical vibrations. The UC process can induce plastic flow in the metal foils being bonded, to allow the embedding of fibres at typically 25% of the melting temperature of the base metal and at a fraction of the clamping force when compared to fusion processes. To date, the UC process has successfully embedded Sigma silicon carbide (SiC) fibres, shape memory alloy wires and optical fibres, which are presented in this paper. The eventual aim of this research is targeted at the fabrication of adaptive composite structures having the ability to measure external stimuli and respond by adapting their structure accordingly, through the action of embedded active and passive functional fibres within a freeform fabricated metal-matrix structure. This paper presents the fundamental studies of this research to identify embedding methods and working range for the fabrication of adaptive composite structures. The methods considered have produced embedded fibre specimens in which large amounts of plastic flow have been observed, within the matrix, as it is deformed around the fibres, resulting in fully consolidated specimens without damage to the fibres. The microscopic observation techniques and macroscopic functionality tests confirms that the UC process could be applied to the fabrication of metal-matrix composites and adaptive composites, where fusion techniques are not feasible and where a 'cold' process is necessary

  3. Flexible ITO-free organic solar cells applying aqueous solution-processed V2O5 hole transport layer: An outdoor stability study

    DEFF Research Database (Denmark)

    Lima, F. Anderson S.; Beliatis, Michail J.; Roth, Bérenger

    2016-01-01

    Solution processable semiconductor oxides have opened a new paradigm for theenhancement of the lifetime of thin film solar cells. Their fabrication by low-costand environmentally friendly solution-processable methods makes them ideal barrier(hole and electron) transport layers. In this work, we f...

  4. Design and fabrication of a high-damage threshold infrared Smattt interferometer

    International Nuclear Information System (INIS)

    Hammond, R.B.; Gibbs, A.J.

    1981-01-01

    It has been shown that a Smartt interferometer may be used as a very precise alignment tool for infrared lasers. This interferometer may also be used effectively to investigate the phase front of a laser pulse. To use this tool for applications to high-power, fast-pulse laser systems such as Helios and Antares; however, it has been necessary to fabricate a structure with the unique optical characteristics of the Smartt interferometer combined with a very high optical-damage threshold. We have been successful in this effort by utilizing the high technology, process control, and unique properties of semiconductor-grade, single-crystal Si

  5. Hybrid High-Temperature-Superconductor–Semiconductor Tunnel Diode

    Directory of Open Access Journals (Sweden)

    Alex Hayat

    2012-12-01

    Full Text Available We report the demonstration of hybrid high-T_{c}-superconductor–semiconductor tunnel junctions, enabling new interdisciplinary directions in condensed matter research. The devices are fabricated by our newly developed mechanical-bonding technique, resulting in high-T_{c}-superconductor–semiconductor tunnel diodes. Tunneling-spectra characterization of the hybrid junctions of Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with bulk GaAs, or a GaAs/AlGaAs quantum well, exhibits excess voltage and nonlinearity, similarly to spectra obtained in scanning-tunneling microscopy, and is in good agreement with theoretical predictions for a d-wave-superconductor–normal-material junction. Additional junctions are demonstrated using Bi_{2}Sr_{2}CaCu_{2}O_{8+δ} combined with graphite or Bi_{2}Te_{3}. Our results pave the way for new methods in unconventional superconductivity studies, novel materials, and quantum technology applications.

  6. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  7. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  8. EDITORIAL: Semiconductor lasers: the first fifty years Semiconductor lasers: the first fifty years

    Science.gov (United States)

    Calvez, S.; Adams, M. J.

    2012-09-01

    Anniversaries call for celebrations. Since it is now fifty years since the first semiconductor lasers were reported, it is highly appropriate to celebrate this anniversary with a Special Issue dedicated to the topic. The semiconductor laser now has a major effect on our daily lives since it has been a key enabler in the development of optical fibre communications (and hence the internet and e-mail), optical storage (CDs, DVDs, etc) and barcode scanners. In the early 1960s it was impossible for most people (with the exception of very few visionaries) to foresee any of these future developments, and the first applications identified were for military purposes (range-finders, target markers, etc). Of course, many of the subsequent laser applications were made possible by developments in semiconductor materials, in the associated growth and fabrication technology, and in the increased understanding of the underlying fundamental physics. These developments continue today, so that the subject of semiconductor lasers, although mature, is in good health and continues to grow. Hence, we can be confident that the pervasive influence of semiconductor lasers will continue to develop as optoelectronics technology makes further advances into other sectors such as healthcare, security and a whole host of applications based on the global imperatives to reduce energy consumption, minimise environmental impact and conserve resources. The papers in this Special Issue are intended to tell some of the story of the last fifty years of laser development as well as to provide evidence of the current state of semiconductor laser research. Hence, there are a number of papers where the early developments are recalled by authors who played prominent parts in the story, followed by a selection of papers from authors who are active in today's exciting research. The twenty-fifth anniversary of the semiconductor laser was celebrated by the publication of a number of papers dealing with the early

  9. Tunable Injection Barrier in Organic Resistive Switches Based on Phase-Separated Ferroelectric-Semiconductor Blends

    NARCIS (Netherlands)

    Asadi, Kamal; de Boer, Tom G.; Blom, Paul W. M.; de Leeuw, Dago M.

    2009-01-01

    Organic non-volatile resistive bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers are fabricated. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-electrode contact and, hence, the resistance of the comprising

  10. Tunable injection barrier in organic resistive switches based on phase-separated ferroelectric-semiconductor blends

    NARCIS (Netherlands)

    Asadi, K.; Boer, T.G. de; Blom, P.W.M.; Leeuw, D.M. de

    2009-01-01

    Organic non-volatile resistive bistable diodes based on phase-separated blends of ferroelectric and semiconducting polymers are fabricated. The polarization field of the ferroelectric modulates the injection barrier at the semiconductor-electrode contact and, hence, the resistance of the comprising

  11. Aspects for selection of materials and fabrication processes for nuclear component manufacturing

    International Nuclear Information System (INIS)

    Pernstich, K.

    1980-01-01

    For components of the Nuclear steam supply System of Light Water Reactors an extremely high safety standard is required. These requirements only can be met by adequate selection of materials and fabrication processes and their proper application in combination with strict quality assurance and control measurements. A general overview of the basic aspects to be considered in this connection is presented together with an indication of the present state of art for the main materials and fabrication processes. (author) [pt

  12. Infra-red process for colour fixation on fabrics

    International Nuclear Information System (INIS)

    Raymond, D.J.; Biau, D.

    1983-01-01

    Infra-red radiations find wide application in industrial processes as heating, drying, stoving and forming. The results are often far better than those from the other techniques: convection oven, gas IR etc ... They come from the electric IR specific advantages: energy direct transmission, emitter and product spectral coupling, possible selectivity. That is the case in the Textile Industry, where experiments showed that infra-red process heating could be efficient for colour fixation on fabrics. Shorter production cycles and energy saving are the main results

  13. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  14. Colloidal Quantum Dot Inks for Single-Step-Fabricated Field-Effect Transistors: The Importance of Postdeposition Ligand Removal.

    Science.gov (United States)

    Balazs, Daniel M; Rizkia, Nisrina; Fang, Hong-Hua; Dirin, Dmitry N; Momand, Jamo; Kooi, Bart J; Kovalenko, Maksym V; Loi, Maria Antonietta

    2018-02-14

    Colloidal quantum dots are a class of solution-processed semiconductors with good prospects for photovoltaic and optoelectronic applications. Removal of the surfactant, so-called ligand exchange, is a crucial step in making the solid films conductive, but performing it in solid state introduces surface defects and cracks in the films. Hence, the formation of thick, device-grade films have only been possible through layer-by-layer processing, limiting the technological interest for quantum dot solids. Solution-phase ligand exchange before the deposition allows for the direct deposition of thick, homogeneous films suitable for device applications. In this work, fabrication of field-effect transistors in a single step is reported using blade-coating, an upscalable, industrially relevant technique. Most importantly, a postdeposition washing step results in device properties comparable to the best layer-by-layer processed devices, opening the way for large-scale fabrication and further interest from the research community.

  15. Metal matrix composite fabrication processes for high performance aerospace structures

    Science.gov (United States)

    Ponzi, C.

    A survey is conducted of extant methods of metal matrix composite (MMC) production in order to serve as a basis for prospective MMC users' selection of a matrix/reinforcement combination, cost-effective primary fabrication methods, and secondary fabrication techniques for the achievement of desired performance levels. Attention is given to the illustrative cases of structural fittings, control-surface connecting rods, hypersonic aircraft air inlet ramps, helicopter swash plates, and turbine rotor disks. Methods for technical and cost analysis modeling useful in process optimization are noted.

  16. A Fully Contained Resin Infusion Process for Fiber-Reinforced Polymer Composite Fabrication and Repair

    Science.gov (United States)

    2013-01-01

    Figures iv  Acknowledgments v  1.  Introduction 1  2.  Experimental 2  2.1  Composite Laminate Fabrication...2 Figure 2. Image of fiberglass composite being fabricated using VARTM processing. 2. Experimental 2.1 Composite Laminate Fabrication...style 5 × 5 plain 5 weave prepreg S-2 fiberglass fabric and a honeycomb core cured in an autoclave, much like the composite parts fielded in

  17. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  18. Applications and fabrication processes of superconducting composite materials

    International Nuclear Information System (INIS)

    Gregory, E.

    1984-01-01

    This paper discusses the most recent applications and manufacturing considerations in the field of superconductivity. The constantly changing requirements of a growing number of users encourage development in fabrication and inspection techniques. For the first time, superconductors are being used commercially in large numbers and superconducting magnets are no longer just laboratory size. Although current demand for these conductors represents relatively small quantities of material, advances in the production of high-quality composites may accelerate technological growth into several new markets. Three large-scale application areas for superconductors are discussed: accelerator magnets for high-energy physics research, magnetic confinement for thermonuclear fusion, and magnetic resonance imaging for health care. Each application described is accompanied by a brief description of the conductors used and fabrication processes employed to make them

  19. THE DYEING PROCESS OF KNITTED FABRICS AT DIFFERENT TEMPERATURES USING ULTRASOUND

    Directory of Open Access Journals (Sweden)

    MITIC Jelena

    2014-05-01

    Full Text Available The dyeing of knitted fabrics made from 100 % cellulose using on-line procedure vinyl sulfonic reactive dye, with or without ultrasound energy, is carried out in this paper. The impact of temperature has been observed. The dye exhaustion is monitored using the method of absorption spectrophotometry, and the quality control of the coloration is monitored using color measurements. The acting of ultrasound on coloration consistency, as well as on some mechanical characteristics has also been examined. All examples of the ultrasound dyeing process show greater dye exhaustion in comparison to the conventional procedure. In addition, all the samples, which have been dyed with the ultrasound energy at 40°C, are significantly darker and have deeper color in comparison with the referent sample. The temperature has a great influence on kinetic energy of the dye molecules, and therefore on the diffusion processes in the dyeing system. The exhaustion chart indicates that when the temperature is lower the exhaustion degree drops. However, all the samples dyed with the ultrasound energy have bigger exhaustion. Besides that, ultrasound energy contributes to warming up the processing environment, so the additional warm up with the electricity is unnecessary, unlike the conventional way of dyeing. Since the reactive dyes chemically connect themselves with the cellulose substrate and in that way form covalent connection, the dyed fabrics have good washing consistency. Analysis results indicate that the consistencies are identical regardless the applied dyeing procedure. In other words, the dyeing method using the ultrasound energy produces the dyed fabric of the same quality. After analyzing the results of breaking force and elongation at break of knitted fabrics, it is noticeable that there is no degradation of previously mentioned knitted fabrics features (horizontally and vertically during the ultrasound wave’s activity.

  20. Development of the power control system for semiconductor lasers

    International Nuclear Information System (INIS)

    Kim, Kwang Suk; Kim, Cheol Jung

    1997-12-01

    For the first year plan of this program, we developed the power control system for semiconductor lasers. We applied the high-current switching mode techniques to fabricating a power control system. Then, we investigated the direct side pumping techniques with GaA1As diode laser bars to laser crystal without pumping optics. We obtained 0.5W average output power from this DPSSL. (author). 54 refs., 3 tabs., 18 figs

  1. Preparation of highly hydrophobic cotton fabrics by modification with bifunctional silsesquioxanes in the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Przybylak, Marcin, E-mail: marcin.przybylak@ppnt.poznan.pl [Poznań Science and Technology Park, Adam Mickiewicz University Foundation, Rubież 46, 61-612 Poznań (Poland); Maciejewski, Hieronim, E-mail: maciejm@amu.edu.pl [Poznań Science and Technology Park, Adam Mickiewicz University Foundation, Rubież 46, 61-612 Poznań (Poland); Faculty of Chemistry, Adam Mickiewicz University, Umultowska 89b, 61-614 Poznań (Poland); Dutkiewicz, Agnieszka, E-mail: agdut@interia.pl [Poznań Science and Technology Park, Adam Mickiewicz University Foundation, Rubież 46, 61-612 Poznań (Poland)

    2016-11-30

    Highlights: • Fabric hydrophobization process using bifunctional silsesquioxanes was studied. • Superhydrophobic fabric was produced using fluorofunctional silsesquioxanes. • Surface of modified fabrics was analyzed using different techniques. - Abstract: The surface modification of cotton fabrics was carried out using two types of bifunctional fluorinated silsesquioxanes with different ratios of functional groups. The modification was performed either by one- or two-step process. Two methods, the sol-gel and the dip coating method were used in different configurations. The heat treatment and the washing process were applied after modification. The wettability of cotton fabric was evaluated by measuring water contact angles (WCA). Changes in the surface morphology were examined by scanning electron microscopy (SEM, SEM-LFD) and atomic force microscopy (AFM). Moreover, the modified fabrics were subjected to analysis of elemental composition of the applied coatings using SEM-EDS techniques. Highly hydrophobic textiles were obtained in all cases studied and one of the modifications resulted in imparting superhydrophobic properties. Most of impregnated textiles remained hydrophobic even after multiple washing process which shows that the studied modification is durable.

  2. Fabrication of dense yttrium oxyfluoride ceramics by hot pressing and their mechanical, thermal, and electrical properties

    Science.gov (United States)

    Tahara, Ryuki; Tsunoura, Toru; Yoshida, Katsumi; Yano, Toyohiko; Kishi, Yukio

    2018-06-01

    Excellent corrosion-resistant materials have been strongly required to reduce particle contamination during the plasma process in semiconductor production. Yttrium oxyfluoride can be a candidate as highly corrosion-resistant material. In this study, three types of dense yttrium oxyfluoride ceramics with different oxygen contents, namely, YOF, Y5O4F7 and Y5O4F7 + YF3, were fabricated by hot pressing, and their mechanical, thermal, and electrical properties were evaluated. Y5O4F7 ceramics showed an excellent thermal stability up to 800 °C, a low loss factor, and volume resistivity comparable to conventional plasma-resistant oxides, such as Y2O3. From these results, yttrium oxyfluoride ceramics are strongly suggested to be used as electrostatic chucks in semiconductor production.

  3. 3D MEMS in Standard Processes: Fabrication, Quality Assurance, and Novel Measurement Microstructures

    Science.gov (United States)

    Lin, Gisela; Lawton, Russell A.

    2000-01-01

    Three-dimensional MEMS microsystems that are commercially fabricated require minimal post-processing and are easily integrated with CMOS signal processing electronics. Measurements to evaluate the fabrication process (such as cross-sectional imaging and device performance characterization) provide much needed feedback in terms of reliability and quality assurance. MEMS technology is bringing a new class of microscale measurements to fruition. The relatively small size of MEMS microsystems offers the potential for higher fidelity recordings compared to macrosize counterparts, as illustrated in the measurement of muscle cell forces.

  4. Digitally tunable dual wavelength emission from semiconductor ring lasers with filtered optical feedback

    International Nuclear Information System (INIS)

    Khoder, Mulham; Verschaffelt, Guy; Nguimdo, Romain Modeste; Danckaert, Jan; Leijtens, Xaveer; Bolk, Jeroen

    2013-01-01

    We report on a novel integrated approach to obtain dual wavelength emission from a semiconductor laser based on on-chip filtered optical feedback. Using this approach, we show experiments and numerical simulations of dual wavelength emission of a semiconductor ring laser. The filtered optical feedback is realized on-chip by employing two arrayed waveguide gratings to split/recombine light into different wavelength channels. Semiconductor optical amplifiers are placed in the feedback loop in order to control the feedback strength of each wavelength channel independently. By tuning the current injected into each of the amplifiers, we can effectively cancel the gain difference between the wavelength channels due to fabrication and material dichroism, thus resulting in stable dual wavelength emission. We also explore the accuracy needed in the operational parameters to maintain this dual wavelength emission. (letter)

  5. Single photon sources with single semiconductor quantum dots

    Science.gov (United States)

    Shan, Guang-Cun; Yin, Zhang-Qi; Shek, Chan Hung; Huang, Wei

    2014-04-01

    In this contribution, we briefly recall the basic concepts of quantum optics and properties of semiconductor quantum dot (QD) which are necessary to the understanding of the physics of single-photon generation with single QDs. Firstly, we address the theory of quantum emitter-cavity system, the fluorescence and optical properties of semiconductor QDs, and the photon statistics as well as optical properties of the QDs. We then review the localization of single semiconductor QDs in quantum confined optical microcavity systems to achieve their overall optical properties and performances in terms of strong coupling regime, efficiency, directionality, and polarization control. Furthermore, we will discuss the recent progress on the fabrication of single photon sources, and various approaches for embedding single QDs into microcavities or photonic crystal nanocavities and show how to extend the wavelength range. We focus in particular on new generations of electrically driven QD single photon source leading to high repetition rates, strong coupling regime, and high collection efficiencies at elevated temperature operation. Besides, new developments of room temperature single photon emission in the strong coupling regime are reviewed. The generation of indistinguishable photons and remaining challenges for practical single-photon sources are also discussed.

  6. Integrating sphere based reflectance measurements for small-area semiconductor samples

    Science.gov (United States)

    Saylan, S.; Howells, C. T.; Dahlem, M. S.

    2018-05-01

    This article describes a method that enables reflectance spectroscopy of small semiconductor samples using an integrating sphere, without the use of additional optical elements. We employed an inexpensive sample holder to measure the reflectance of different samples through 2-, 3-, and 4.5-mm-diameter apertures and applied a mathematical formulation to remove the bias from the measured spectra caused by illumination of the holder. Using the proposed method, the reflectance of samples fabricated using expensive or rare materials and/or low-throughput processes can be measured. It can also be incorporated to infer the internal quantum efficiency of small-area, research-level solar cells. Moreover, small samples that reflect light at large angles and develop scattering may also be measured reliably, by virtue of an integrating sphere insensitive to directionalities.

  7. Dissociation of Vertical Semiconductor Diatomic Artificial Molecules

    International Nuclear Information System (INIS)

    Pi, M.; Emperador, A.; Barranco, M.; Garcias, F.; Muraki, K.; Tarucha, S.; Austing, D. G.

    2001-01-01

    We investigate the dissociation of few-electron circular vertical semiconductor double quantum dot artificial molecules at 0T as a function of interdot distance. A slight mismatch introduced in the fabrication of the artificial molecules from nominally identical constituent quantum wells induces localization by offsetting the energy levels in the quantum dots by up to 2meV, and this plays a crucial role in the appearance of the addition energy spectra as a function of coupling strength particularly in the weak coupling limit

  8. Testing methodologies and systems for semiconductor optical amplifiers

    Science.gov (United States)

    Wieckowski, Michael

    Semiconductor optical amplifiers (SOA's) are gaining increased prominence in both optical communication systems and high-speed optical processing systems, due primarily to their unique nonlinear characteristics. This in turn, has raised questions regarding their lifetime performance reliability and has generated a demand for effective testing techniques. This is especially critical for industries utilizing SOA's as components for system-in-package products. It is important to note that very little research to date has been conducted in this area, even though production volume and market demand has continued to increase. In this thesis, the reliability of dilute-mode InP semiconductor optical amplifiers is studied experimentally and theoretically. The aging characteristics of the production level devices are demonstrated and the necessary techniques to accurately characterize them are presented. In addition, this work proposes a new methodology for characterizing the optical performance of these devices using measurements in the electrical domain. It is shown that optical performance degradation, specifically with respect to gain, can be directly qualified through measurements of electrical subthreshold differential resistance. This metric exhibits a linear proportionality to the defect concentration in the active region, and as such, can be used for prescreening devices before employing traditional optical testing methods. A complete theoretical analysis is developed in this work to explain this relationship based upon the device's current-voltage curve and its associated leakage and recombination currents. These results are then extended to realize new techniques for testing semiconductor optical amplifiers and other similarly structured devices. These techniques can be employed after fabrication and during packaged operation through the use of a proposed stand-alone testing system, or using a proposed integrated CMOS self-testing circuit. Both methods are capable

  9. Modeling and fabrication of 4H-SiC Schottky junction

    Science.gov (United States)

    Martychowiec, A.; Pedryc, A.; Kociubiński, A.

    2017-08-01

    The rapidly growing demand for electronic devices requires using of alternative semiconductor materials, which could replace conventional silicon. Silicon carbide has been proposed for these harsh environment applications (high temperature, high voltage, high power conditions) because of its wide bandgap, its high temperature operation ability, its excellent thermal and chemical stability, and its high breakdown electric field strength. The Schottky barrier diode (SBD) is known as one of the best refined SiC devices. This paper presents prepared model, simulations and description of technology of 4H-SiC Schottky junction as well as characterization of fabricated structures. The future aim of the application of the structures is an optical detection of an ultraviolet radiation. The model section contains a comparison of two different solutions of SBD's construction. Simulations - as a crucial process of designing electronic devices - have been performed using the ATLAS device of Silvaco TCAD software. As a final result the paper shows I-V characteristics of fabricated diodes.

  10. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M.; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C.; Lupini, Andrew R.; Borisevich, Albina Y.; Kalinin, Sergei V.

    2018-06-01

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore’s law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  11. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback.

    Science.gov (United States)

    Jesse, Stephen; Hudak, Bethany M; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C; Lupini, Andrew R; Borisevich, Albina Y; Kalinin, Sergei V

    2018-06-22

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore's law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  12. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  13. Advanced ACTPol Multichroic Polarimeter Array Fabrication Process for 150 mm Wafers

    Science.gov (United States)

    Duff, S. M.; Austermann, J.; Beall, J. A.; Becker, D.; Datta, R.; Gallardo, P. A.; Henderson, S. W.; Hilton, G. C.; Ho, S. P.; Hubmayr, J.; Koopman, B. J.; Li, D.; McMahon, J.; Nati, F.; Niemack, M. D.; Pappas, C. G.; Salatino, M.; Schmitt, B. L.; Simon, S. M.; Staggs, S. T.; Stevens, J. R.; Van Lanen, J.; Vavagiakis, E. M.; Ward, J. T.; Wollack, E. J.

    2016-08-01

    Advanced ACTPol (AdvACT) is a third-generation cosmic microwave background receiver to be deployed in 2016 on the Atacama Cosmology Telescope (ACT). Spanning five frequency bands from 25 to 280 GHz and having just over 5600 transition-edge sensor (TES) bolometers, this receiver will exhibit increased sensitivity and mapping speed compared to previously fielded ACT instruments. This paper presents the fabrication processes developed by NIST to scale to large arrays of feedhorn-coupled multichroic AlMn-based TES polarimeters on 150-mm diameter wafers. In addition to describing the streamlined fabrication process which enables high yields of densely packed detectors across larger wafers, we report the details of process improvements for sensor (AlMn) and insulator (SiN_x) materials and microwave structures, and the resulting performance improvements.

  14. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  15. Prolonged menstrual cycles in female workers exposed to ethylene glycol ethers in the semiconductor manufacturing industry.

    Science.gov (United States)

    Hsieh, G-Y; Wang, J-D; Cheng, T-J; Chen, P-C

    2005-08-01

    It has been shown that female workers exposed to ethylene glycol ethers (EGEs) in the semiconductor industry have higher risks of spontaneous abortion, subfertility, and menstrual disturbances, and prolonged waiting time to pregnancy. To examine whether EGEs or other chemicals are associated with long menstrual cycles in female workers in the semiconductor manufacturing industry. Cross-sectional questionnaire survey during the annual health examination at a wafer manufacturing company in Taiwan in 1997. A three tiered exposure-assessment strategy was used to analyse the risk. A short menstrual cycle was defined to be a cycle less than 24 days and a long cycle to be more than 35 days. There were 606 valid questionnaires from 473 workers in fabrication jobs and 133 in non-fabrication areas. Long menstrual cycles were associated with workers in fabrication areas compared to those in non-fabrication areas. Using workers in non-fabrication areas as referents, workers in photolithography and diffusion areas had higher risks for long menstrual cycles. Workers exposed to EGEs and isopropanol, and hydrofluoric acid, isopropanol, and phosphorous compounds also showed increased risks of a long menstrual cycle. Exposure to multiple chemicals, including EGEs in photolithography, might be associated with long menstrual cycles, and may play an important role in a prolonged time to pregnancy in the wafer manufacturing industry; however, the prevalence in the design, possible exposure misclassification, and chance should be considered.

  16. Layer-by-layer assembly of multicolored semiconductor quantum dots towards efficient blue, green, red and full color optical films

    International Nuclear Information System (INIS)

    Zhang Jun; Li Qian; Di Xiaowei; Liu Zhiliang; Xu Gang

    2008-01-01

    Multicolored semiconductor quantum dots have shown great promise for construction of miniaturized light-emitting diodes with compact size, low weight and cost, and high luminescent efficiency. The unique size-dependent luminescent property of quantum dots offers the feasibility of constructing single-color or full-color output light-emitting diodes with one type of material. In this paper, we have demonstrated the facile fabrication of blue-, green-, red- and full-color-emitting semiconductor quantum dot optical films via a layer-by-layer assembly technique. The optical films were constructed by alternative deposition of different colored quantum dots with a series of oppositely charged species, in particular, the new use of cationic starch on glass substrates. Semiconductor ZnSe quantum dots exhibiting blue emission were deposited for fabrication of blue-emitting optical films, while semiconductor CdTe quantum dots with green and red emission were utilized for construction of green- and red-emitting optical films. The assembly of integrated blue, green and red semiconductor quantum dots resulted in full-color-emitting optical films. The luminescent optical films showed very bright emitting colors under UV irradiation, and displayed dense, smooth and efficient luminous features, showing brighter luminescence in comparison with their corresponding quantum dot aqueous colloid solutions. The assembled optical films provide the prospect of miniaturized light-emitting-diode applications.

  17. Materials Selection And Fabrication Practices For Food Processing Equipment Manufacturers In Uganda

    Directory of Open Access Journals (Sweden)

    John Baptist Kirabira

    2017-08-01

    Full Text Available The food processing industry is one of the fast-growing sub-sectors in Uganda. The industry which is majorly composed of medium and small scale firms depends on the locally developed food processing equipment. Due to lack of effective materials selection practices employed by the equipment manufacturers the materials normally selected for most designs are not the most appropriate ones hence compromising the quality of the equipment produced. This has not only led to poor quality food products due to contamination but could also turn out health hazardous to the consumers of the food products. This study involved the assessment of the current materials selection and fabrication procedures used by the food processing equipment manufacturers with a view of devising best practices that can be used to improve the quality of the food products processed by the locally fabricated equipment. Results of the study show that designers experience biasness and desire to minimize cost compromise the materials selection procedure. In addition to failing to choose the best material for a given application most equipment manufacturers are commonly fabricating equipment with inadequate surface finish and improper weldments. This hinders the equipments ability to meet food hygiene standards.

  18. Fabrication of subwavelength metallic structures by using a metal direct imprinting process

    International Nuclear Information System (INIS)

    Hsieh, C W; Hsiung, H Y; Lu, Y T; Sung, C K; Wang, W H

    2007-01-01

    This work employs a metal direct imprinting process, which possesses the characteristics of simplicity, low-cost and high resolution, for the fabrication of subwavelength structures on a metallic thin film. Herein, the mould featuring periodic line structures is manufactured by using E-beam lithography and followed by a dry etching process; meanwhile, the thin film is fabricated by sputtering Al on a silicon substrate. AFM section analyses are employed to measure imprinting depths of the subwavelength metallic structures and it is found that the uniformity of the imprinting depths is affected by the designed patterns, the material property of thin film and mould deformation. The process temperature and the mould filling that influence the transferred quality are investigated. In addition, TEM is also utilized to examine defects in the subwavelength metallic structures. Finally, good quality subwavelength metallic structures are fabricated under a pressure of 300 MPa for 60 s at room temperature. In this study, we have demonstrated that subwavelength metallic structures with a minimum linewidth of less than 100 nm on the Al thin film are successfully constructed by the metal direct imprinting process

  19. Microstructure fabrication process induced modulations in CVD graphene

    Energy Technology Data Exchange (ETDEWEB)

    Matsubayashi, Akitomo, E-mail: amatsubayashi@albany.edu; Zhang, Zhenjun; Lee, Ji Ung; LaBella, Vincent P., E-mail: vlabella@albany.edu [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, New York 12203 (United States)

    2014-12-15

    The systematic Raman spectroscopic study of a “mimicked” graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp{sup 2} C-C bond weakening. In contrast, a thin metal oxide film deposited graphene does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.

  20. Microstructure fabrication process induced modulations in CVD graphene

    Science.gov (United States)

    Matsubayashi, Akitomo; Zhang, Zhenjun; Lee, Ji Ung; LaBella, Vincent P.

    2014-12-01

    The systematic Raman spectroscopic study of a "mimicked" graphene device fabrication is presented. Upon photoresist baking, compressive stress is induced in the graphene which disappears after it is removed. The indirect irradiation from the electron beam (through the photoresist) does not significantly alter graphene characteristic Raman peaks indicating that graphene quality is preserved upon the exposure. The 2D peak shifts and the intensity ratio of 2D and G band, I(2D)/I(G), decreases upon direct metal deposition (Co and Py) suggesting that the electronic modulation occurs due to sp2 C-C bond weakening. In contrast, a thin metal oxide film deposited graphene does not show either the significant 2D and G peaks shift or I(2D)/I(G) decrease upon the metal deposition suggesting the oxide protect the graphene quality in the fabrication process.

  1. Extraordinary Magnetoresistance Effect in Semiconductor/Metal Hybrid Structure

    KAUST Repository

    Sun, Jian

    2013-06-27

    In this dissertation, the extraordinary magnetoresistance (EMR) effect in semiconductor/metal hybrid structures is studied to improve the performance in sensing applications. Using two-dimensional finite element simulations, the geometric dependence of the output sensitivity, which is a more relevant parameter for EMR sensors than the magnetoresistance (MR), is studied. The results show that the optimal geometry in this case is different from the geometry reported before, where the MR ratio was optimized. A device consisting of a semiconductor bar with length/width ratio of 5~10 and having only 2 contacts is found to exhibit the highest sensitivity. A newly developed three-dimensional finite element model is employed to investigate parameters that have been neglected with the two dimensional simulations utilized so far, i.e., thickness of metal shunt and arbitrary semiconductor/metal interface. The simulations show the influence of those parameters on the sensitivity is up to 10 %. The model also enables exploring the EMR effect in planar magnetic fields. In case of a bar device, the sensitivity to planar fields is about 15 % to 20 % of the one to perpendicular fields. 5 A “top-contacted” structure is proposed to reduce the complexity of fabrication, where neither patterning of the semiconductor nor precise alignment is required. A comparison of the new structure with a conventionally fabricated device shows that a similar magnetic field resolution of 24 nT/√Hz is obtained. A new 3-contact device is developed improving the poor low-field sensitivity observed in conventional EMR devices, resulting from its parabolic magnetoresistance response. The 3-contact device provides a considerable boost of the low field response by combining the Hall effect with the EMR effect, resulting in an increase of the output sensitivity by 5 times at 0.01 T compared to a 2-contact device. The results of this dissertation provide new insights into the optimization of EMR devices

  2. Effects of the inversion layer thickness and 10B distribution in it on the characteristics of ion-doped semiconductor neutron counters

    International Nuclear Information System (INIS)

    Diasamidze, Eh.M.; Solov'ev, Yu.A.; Shmakov, A.N.

    1984-01-01

    The technique for calculating the dependence of energy spectrum of the 10 B(n, α) 7 Li reaction products in the thickness of the inversion layer in a semiconductor counter fabricated using the diffusion method is proposed. The inversion layer is formed as a result of the 10 B ion implantation into n-type silicon. The cases of uniform and Gaussian distributions of 10 B impurity are considered. Corrections for neutron fluence calculation by α-peak, taking into account α-particle absorption in the inversion layer are obtained. It is concluded that the suggested calculational technique can be used for semiconductor counters fabricated by the diffusion method

  3. Continuously tunable solution-processed organic semiconductor DFB lasers pumped by laser diode

    DEFF Research Database (Denmark)

    Klinkhammer, Sönke; Liu, Xin; Huska, Klaus

    2012-01-01

    The fabrication and characterization of continuously tunable, solution-processed distributed feedback (DFB) lasers in the visible regime is reported. Continuous thin film thickness gradients were achieved by means of horizontal dipping of several conjugated polymer and blended small molecule solu...

  4. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  5. Characterization of Hydrogen Complex Formation in III-V Semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Michael D

    2006-09-28

    Atomic hydrogen has been found to react with some impurity species in semiconductors. Hydrogenation is a methodology for the introduction of atomic hydrogen into the semiconductor for the express purpose of forming complexes within the material. Efforts to develop hydrogenation as an isolation technique for AlGaAs and Si based devices failed to demonstrate its commercial viability. This was due in large measure to the low activation energies of the formed complexes. Recent studies of dopant passivation in long wavelength (0.98 - 1.55m) materials suggested that for the appropriate choice of dopants much higher activation energies can be obtained. This effort studied the formation of these complexes in InP, This material is extensively used in optoelectronics, i.e., lasers, modulators and detectors. The experimental techniques were general to the extent that the results can be applied to other areas such as sensor technology, photovoltaics and to other material systems. The activation energies for the complexes have been determined and are reported in the scientific literature. The hydrogenation process has been shown by us to have a profound effect on the electronic structure of the materials and was thoroughly investigated. The information obtained will be useful in assessing the long term reliability of device structures fabricated using this phenomenon and in determining new device functionalities.

  6. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  7. Materials and fabrication processes for operation in hot hydrogen

    International Nuclear Information System (INIS)

    Tuffias, R.H.; Duffy, A.J.; Arrieta, V.M.; Abrams, W.M.; Benander, R.E.

    1997-01-01

    Operation in hot (2500 endash 3000 K) hydrogen severely limits the choice of structural materials. Rhenium is nonreactive with and has low permeability to hydrogen, and has sufficient strength up to 2800 K. Carbon, in the form of graphite or carbon composites, has excellent high temperature strength but reacts with hydrogen to form methane at a rapid rate above 2000 K. The carbides of zirconium, niobium, hafnium, and tantalum are nonreactive with and have low permeability to hydrogen, but they can be reliably fabricated only in the form of coatings. In order to demonstrate the Integrated Solar Upper Stage (ISUS) solar-thermal propulsion concept, rhenium and rhenium-coated graphite were chosen as the structural materials for the receiver-absorber-converter (RAC) component of the ISUS system. Several methods were investigated for fabricating the rhenium parts and coatings, with chemical vapor deposition (CVD) and Ultramet chosen as the most likely process and company for success. The CVD or rhenium and other refractory materials were thus applied to the ISUS program for fabrication of the RAC subsystem. copyright 1997 American Institute of Physics

  8. Green synthesis of water soluble semiconductor nanocrystals and their applications

    Science.gov (United States)

    Wang, Ying

    II-VI semiconductor nanomaterials, e.g. CdSe and CdTe, have attracted great attention over the past decades due to their fascinating optical and electrical properties. The research presented here focuses on aqueous semiconductor nanomaterials. The work can be generally divided into three parts: synthesis, property study and application. The synthetic work is devoted to develop new methods to prepare shape- and structure-controlled II-VI semiconductor nanocrystals including nanoparticles and nanowires. CdSe and CdSe CdS semiconductor nanocrystals have been synthesized using sodium citrate as a stabilizer. Upon prolonged illumination with visible light, photoluminescence quantum yield of those quantum dots can be enhanced up to 5000%. The primary reason for luminescence enhancement is considered to be the removing of specific surface states (photocorrosion) and the smoothing of the CdSe core surface (photoannealing). CdTe nanowires are prepared through self-organization of stabilizer-depleted CdTe nanoparticles. The dipolar-dipolar attraction is believed to be the driving force of nanowire formation. The rich surface chemistry of CdTe nanowire is reflected by the formation of silica shell with different morphologies when nanowires with different capping ligands are used. Te and Se nanowires are prepared by chemical decomposition of CdTe and CdSe nanoparticles in presence of an external chemical stimulus, EDTA. These results not only provide a new example of NP→NW transformation, but also lead to a better understanding of the molecular process occurring in the stabilizer-depleted nanoparticles. The applications of those semiconductor materials are primarily based on the construction of nano-structured ultrathin films with desirable functions by using layer-by-layer technique (LBL). We demonstrate that light-induced micro-scale multicolor luminescent patterns can be obtained on photoactivable CdSe/CdS nanoparticles thin films by combining the advantages of LBL as

  9. Point Defects in Two-Dimensional Layered Semiconductors: Physics and Its Applications

    Science.gov (United States)

    Suh, Joonki

    Recent advances in material science and semiconductor processing have been achieved largely based on in-depth understanding, efficient management and advanced application of point defects in host semiconductors, thus finding the relevant techniques such as doping and defect engineering as a traditional scientific and technological solution. Meanwhile, two- dimensional (2D) layered semiconductors currently draw tremendous attentions due to industrial needs and their rich physics at the nanoscale; as we approach the end of critical device dimensions in silicon-based technology, ultra-thin semiconductors have the potential as next- generation channel materials, and new physics also emerges at such reduced dimensions where confinement of electrons, phonons, and other quasi-particles is significant. It is therefore rewarding and interesting to understand and redefine the impact of lattice defects by investigating their interactions with energy/charge carriers of the host matter. Potentially, the established understanding will provide unprecedented opportunities for realizing new functionalities and enhancing the performance of energy harvesting and optoelectronic devices. In this thesis, multiple novel 2D layered semiconductors, such as bismuth and transition- metal chalcogenides, are explored. Following an introduction of conventional effects induced by point defects in semiconductors, the related physics of electronically active amphoteric defects is revisited in greater details. This can elucidate the complication of a two-dimensional electron gas coexisting with the topological states on the surface of bismuth chalcogenides, recently suggested as topological insulators. Therefore, native point defects are still one of the keys to understand and exploit topological insulators. In addition to from a fundamental science point of view, the effects of point defects on the integrated thermal-electrical transport, as well as the entropy-transporting process in

  10. Integrated MSM-FET photoreceiver fabricated on MOCVD grown Hg2-xCdxTe

    International Nuclear Information System (INIS)

    Leech, P.W.; Gwynn, P.J.; Pain, G.N.; Petkovic, N.R.; Thompson, J.; Jamieson, D.N.

    1991-01-01

    This paper reports on progress in the monolithic integration of a metal-semiconductor-metal (MSM) detector and transimpedance amplifier and of a photoconductive detector (PCD) with a metal-semiconductor field effect transistor (MESFET) in Hg 1-x Cd x Te. The layers of CdTe/n-type Hg 1-x Cd x Te were grown by MOCVD on semi-insulating GaAs substrates (2 0 misoriented 100). Fabrication of the devices was by an FET planar process; with a standard lift-off used to form Schottky metallization on both the interdigitated electrodes of the MSM detector (2 μm width, 2 μm spacing) and the gate of the MESFETs (5μm length, 100μm width). The MSM photodetectors exhibited breakdown voltages in the range 60 to 80V, a dark current of 10na at 5V bias, and responsivities of >1.0 A/W measured at 40V using CW 1.3 μm illumination

  11. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  12. A scalable fabrication process of polymer microneedles

    Directory of Open Access Journals (Sweden)

    Yang S

    2012-03-01

    Full Text Available Sixing Yang, Yan Feng, Lijun Zhang, Nixiang Chen, Weien Yuan, Tuo JinSchool of Pharmacy, Shanghai Jiao Tong University, Shanghai, People's Republic of ChinaAbstract: While polymer microneedles may easily be fabricated by casting a solution in a mold, either centrifugation or vacuumizing is needed to pull the viscous polymer solution into the microholes of the mold. We report a novel process to fabricate polymer microneedles with a one-sided vacuum using a ceramic mold that is breathable but water impermeable. A polymer solution containing polyvinyl alcohol and polysaccharide was cast in a ceramic mold and then pulled into the microholes by a vacuum applied to the opposite side of the mold. After cross-linking and solidification through freeze-thawing, the microneedle patch was detached from the mold and transferred with a specially designed instrument for the drying process, during which the patch shrank evenly to form an array of regular and uniform needles without deformation. Moreover, the shrinkage of the patches helped to reduce the needles' size to ease microfabrication of the male mold. The dried microneedle patches were finally punched to the desired sizes to achieve various properties, including sufficient strength to penetrate skin, microneedles-absorbed water-swelling ratios, and drug-release kinetics. The results showed that the microneedles were strong enough to penetrate pigskin and that their performance was satisfactory in terms of swelling and drug release.Keywords: polymer microneedles, ceramic mold, polyvinyl alcohol, swelling

  13. Controlling and modelling the wetting properties of III-V semiconductor surfaces using re-entrant nanostructures.

    Science.gov (United States)

    Ng, Wing H; Lu, Yao; Liu, Huiyun; Carmalt, Claire J; Parkin, Ivan P; Kenyon, Anthony J

    2018-02-23

    Inorganic semiconductors such as III-V materials are very important in our everyday life as they are used for manufacturing optoelectronic and microelectronic components with important applications span from energy harvesting to telecommunications. In some applications, these components are required to operate in harsh environments. In these cases, having waterproofing capability is essential. Here we demonstrate design and control of the wettability of indium phosphide based multilayer material (InP/InGaAs/InP) using re-entrant structures fabricated by a fast electron beam lithography technique. This patterning technique enabled us to fabricate highly uniform nanostructure arrays with at least one order of magnitude shorter patterning times compared to conventional electron beam lithography methods. We reduced the surface contact fraction significantly such that the water droplets may be completely removed from our nanostructured surface. We predicted the wettability of our patterned surface by modelling the adhesion energies between the water droplet and both the patterned surface and the dispensing needle. This is very useful for the development of coating-free waterproof optoelectronic and microelectronic components where the coating may hinder the performance of such devices and cause problems with semiconductor fabrication compatibility.

  14. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  15. Fabrication on a ZnO/ST-cut quartz based love wave viscosity sensor

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Tsung Tsong; Huang, Zhi Da; Chen, Yung Yu; Liu, Pei Ling [Institute of Applied Mechanics, National Taiwan University, Taipai (China)

    2006-05-15

    In this paper, we aim to propose a rugged and relatively inexpensive acoustic wave viscometer that is easy to use and to fabricate by the conventional semiconductor manufacturing processes. First, we fabricated five different Love wave acoustic devices based on ZnO/90 degree rotated ST-quartz layered structure and incorporated with Polydimethylsiloxane (PMDS) flow cell. This allows a tiny controlled amount of analyte to be confined solely upon the sensing area between the IDTs of our Love wave sensor, preventing unwanted electric interactions with IDTs. The PDMS liquid flow cell is fabricated by replica molding. Using a simple set of fixture, the flow cell can be clamped onto our Love wave sensor and a constant volume of analyte can be confined on the sensing surface. Finally, five glycerites with different viscosities were prepared for viscosity measurement. The measured results show that the frequency shifts are in good correlation with the known viscosities. We note that results of this study can further be implemented as an easy to use and inexpensive acoustic viscometer.

  16. Fabrication od a ZnO/ST-cut quartz based love wave viscosity sensor

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Tsung Tsong; Huang, Zhi Da; Chen, Yung Yu; Liu, Pei Ling [Institute of Applied Mechanics, National Taiwan University, Taipai (China)

    2006-05-15

    In this paper, we aim to propose a rugged and relatively inexpensive acoustic wave viscometer that is easy to use and to fabricate by the conventional semiconductor manufacturing processes. First, we fabricated five different Love wave acoustic devices based on ZnO/90 degree rotated ST-quartz layered structure and incorporated with Polydimethylsiloxane (PMDS) flow cell. This allows a tiny controlled amount of analyte to be confined solely upon the sensing area between the IDTs of our Love wave sensor, preventing unwanted electric interactions with IDTs. The PDMS liquid flow cell is fabricated by replica molding. Using a simple set of fixture, the flow cell can be clamped onto our Love wave sensor and a constant volume of analyte can be confined on the sensing surface. Finally, five glycerites with different viscosities were prepared for viscosity measurement. The measured results show that the frequency shifts are in good correlation with the known viscosities. We note that results of this study can further be implemented as an easy to use and inexpensive acoustic viscometer.

  17. Fabrication od a ZnO/ST-cut quartz based love wave viscosity sensor

    International Nuclear Information System (INIS)

    Wu, Tsung Tsong; Huang, Zhi Da; Chen, Yung Yu; Liu, Pei Ling

    2006-01-01

    In this paper, we aim to propose a rugged and relatively inexpensive acoustic wave viscometer that is easy to use and to fabricate by the conventional semiconductor manufacturing processes. First, we fabricated five different Love wave acoustic devices based on ZnO/90 degree rotated ST-quartz layered structure and incorporated with Polydimethylsiloxane (PMDS) flow cell. This allows a tiny controlled amount of analyte to be confined solely upon the sensing area between the IDTs of our Love wave sensor, preventing unwanted electric interactions with IDTs. The PDMS liquid flow cell is fabricated by replica molding. Using a simple set of fixture, the flow cell can be clamped onto our Love wave sensor and a constant volume of analyte can be confined on the sensing surface. Finally, five glycerites with different viscosities were prepared for viscosity measurement. The measured results show that the frequency shifts are in good correlation with the known viscosities. We note that results of this study can further be implemented as an easy to use and inexpensive acoustic viscometer.

  18. Fabrication on a ZnO/ST-cut quartz based love wave viscosity sensor

    International Nuclear Information System (INIS)

    Wu, Tsung Tsong; Huang, Zhi Da; Chen, Yung Yu; Liu, Pei Ling

    2006-01-01

    In this paper, we aim to propose a rugged and relatively inexpensive acoustic wave viscometer that is easy to use and to fabricate by the conventional semiconductor manufacturing processes. First, we fabricated five different Love wave acoustic devices based on ZnO/90 degree rotated ST-quartz layered structure and incorporated with Polydimethylsiloxane (PMDS) flow cell. This allows a tiny controlled amount of analyte to be confined solely upon the sensing area between the IDTs of our Love wave sensor, preventing unwanted electric interactions with IDTs. The PDMS liquid flow cell is fabricated by replica molding. Using a simple set of fixture, the flow cell can be clamped onto our Love wave sensor and a constant volume of analyte can be confined on the sensing surface. Finally, five glycerites with different viscosities were prepared for viscosity measurement. The measured results show that the frequency shifts are in good correlation with the known viscosities. We note that results of this study can further be implemented as an easy to use and inexpensive acoustic viscometer.

  19. White organic light-emitting devices incorporating nanoparticles of II-VI semiconductors

    International Nuclear Information System (INIS)

    Ahn, Jin H; Bertoni, Cristina; Dunn, Steve; Wang, Changsheng; Talapin, Dmitri V; Gaponik, Nikolai; Eychmueller, Alexander; Hua Yulin; Bryce, Martin R; Petty, Michael C

    2007-01-01

    A blue-green fluorescent organic dye and red-emitting nanoparticles, based on II-VI semiconductors, have been used together in the fabrication of white organic light-emitting devices. In this work, the materials were combined in two different ways: in the form of a blend, and as separate layers deposited on the opposite sides of the substrate. The blended-layer structure provided purer white emission. However, this device also exhibited a number of disadvantages, namely a high drive voltage, a low efficiency and some colour instability. These problems could be avoided by using a device structure that was fabricated using separate dye and nanoparticle layers

  20. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  1. Mid-infrared Fe2+:ZnSe semiconductor saturable absorber mirror for passively Q-switched Er3+-doped ZBLAN fiber laser

    Directory of Open Access Journals (Sweden)

    Shougui Ning

    2018-02-01

    Full Text Available A mid-infrared (mid-IR semiconductor saturable absorber mirror (SESAM based on Fe2+:ZnSe for passively Q-switched Er3+-doped ZBLAN fiber laser has been demonstrated. Fe2+:ZnSe SESAM was fabricated by electron beam evaporation method. Fe2+ was innovatively doped into the reflective Bragg stack, in which ZnSe layer served as both doped matrix and high refractive layer during the fabricating process. By using the Fe2+:ZnSe SESAM, stable passively Q-switched pulses with the minimum pulse width of 0.43 μs under a repetition rate of 160.82 kHz were obtained. The recorded maximum average output power of 873 mW with a peak power of 12.59 W and pulse energy of 5.43 μJ were achieved. The results demonstrated a new method for fabricating Fe2+:ZnSe SESAM, which can be used in compact mid-IR Q-switched fiber laser.

  2. Composite material having high thermal conductivity and process for fabricating same

    Science.gov (United States)

    Colella, Nicholas J.; Davidson, Howard L.; Kerns, John A.; Makowiecki, Daniel M.

    1998-01-01

    A process for fabricating a composite material such as that having high thermal conductivity and having specific application as a heat sink or heat spreader for high density integrated circuits. The composite material produced by this process has a thermal conductivity between that of diamond and copper, and basically consists of coated diamond particles dispersed in a high conductivity metal, such as copper. The composite material can be fabricated in small or relatively large sizes using inexpensive materials. The process basically consists, for example, of sputter coating diamond powder with several elements, including a carbide forming element and a brazeable material, compacting them into a porous body, and infiltrating the porous body with a suitable braze material, such as copper-silver alloy, thereby producing a dense diamond-copper composite material with a thermal conductivity comparable to synthetic diamond films at a fraction of the cost.

  3. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J. [Electrical Engineering Department, Technion, Haifa 3200 (Israel); Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D. [Department of Material Science and Engineering, Technion, Haifa 3200 (Israel); Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Ankonina, G. [Russell Berrie Nanotechnology Institute, Technion, Haifa 3200 (Israel); Photovoltaic Laboratory, Technion, Haifa 3200 (Israel)

    2015-10-07

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology.

  4. Optically sensitive devices based on Pt nano particles fabricated by atomic layer deposition and embedded in a dielectric stack

    International Nuclear Information System (INIS)

    Mikhelashvili, V.; Padmanabhan, R.; Eisenstein, G.; Meyler, B.; Yofis, S.; Weindling, S.; Salzman, J.; Atiya, G.; Cohen-Hyams, Z.; Kaplan, W. D.; Ankonina, G.

    2015-01-01

    We report a series of metal insulator semiconductor devices with embedded Pt nano particles (NPs) fabricated using a low temperature atomic layer deposition process. Optically sensitive nonvolatile memory cells as well as optical sensors: (i) varactors, whose capacitance-voltage characteristics, nonlinearity, and peak capacitance are strongly dependent on illumination intensity; (ii) highly linear photo detectors whose responsivity is enhanced due to the Pt NPs. Both single devices and back to back pairs of diodes were used. The different configurations enable a variety of functionalities with many potential applications in biomedical sensing, environmental surveying, simple imagers for consumer electronics and military uses. The simplicity and planar configuration of the proposed devices makes them suitable for standard CMOS fabrication technology

  5. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  6. A piezoresistive cantilever for lateral force detection fabricated by a monolithic post-CMOS process

    International Nuclear Information System (INIS)

    Ji Xu; Li Zhihong; Li Juan; Wang Yangyuan; Xi Jianzhong

    2008-01-01

    This paper presents a post-CMOS process to monolithically integrate a piezoresistive cantilever for lateral force detection and signal processing circuitry. The fabrication process includes a standard CMOS process and one more lithography step to micromachine the cantilever structure in the post-CMOS process. The piezoresistors are doped in the CMOS process but defined in the post-CMOS micromachining process without any extra process required. A partially split cantilever configuration is developed for the lateral force detection. The piezoresistors are self-aligned to the split cantilever, and therefore the width of the beam is only limited by lithography. Consequently, this kind of cantilever potentially has a high resolution. The preliminary experimental results show expected performances of the fabricated piezoresistors and electronic circuits

  7. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  8. Broadband terahertz generation using the semiconductor-metal transition in VO2

    Directory of Open Access Journals (Sweden)

    Nicholas A. Charipar

    2016-01-01

    Full Text Available We report the design, fabrication, and characterization of broadband terahertz emitters based on the semiconductor-metal transition in thin film VO2 (vanadium dioxide. With the appropriate geometry, picosecond electrical pulses are generated by illuminating 120 nm thick VO2 with 280 fs pulses from a femtosecond laser. These ultrafast electrical pulses are used to drive a simple dipole antenna, generating broadband terahertz radiation.

  9. Fabrication of SnO2-Reduced Graphite Oxide Monolayer-Ordered Porous Film Gas Sensor with Tunable Sensitivity through Ultra-Violet Light Irradiation

    Science.gov (United States)

    Xu, Shipu; Sun, Fengqiang; Yang, Shumin; Pan, Zizhao; Long, Jinfeng; Gu, Fenglong

    2015-01-01

    A new graphene-based composite structure, monolayer-ordered macroporous film composed of a layer of orderly arranged macropores, was reported. As an example, SnO2-reduced graphite oxide monolayer-ordered macroporous film was fabricated on a ceramic tube substrate under the irradiation of ultra-violet light (UV), by taking the latex microsphere two-dimensional colloid crystal as a template. Graphite oxide sheets dispersed in SnSO4 aqueous solution exhibited excellent affinity with template microspheres and were in situ incorporated into the pore walls during UV-induced growth of SnO2. The growing and the as-formed SnO2, just like other photocatalytic semiconductor, could be excited to produce electrons and holes under UV irradiation. Electrons reduced GO and holes adsorbed corresponding negative ions, which changed the properties of the composite film. This film was directly used as gas-sensor and was able to display high sensitivity in detecting ethanol gas. More interestingly, on the basis of SnO2-induced photochemical behaviours, this sensor demonstrated tunable sensitivity when UV irradiation time was controlled during the fabrication process and post in water, respectively. This study provides efficient ways of conducting the in situ fabrication of a semiconductor-reduced graphite oxide film device with uniform surface structure and controllable properties. PMID:25758292

  10. State of the art of UO2 fuel fabrication processes

    International Nuclear Information System (INIS)

    Henke, M.; Klemm, U.

    1980-01-01

    Starting from the need of UO 2 for thermal power reactors in the period from 1980 to 1990 and the role of UF 6 conversion into UO 2 within the fuel cycle, the state-of-the-art of the three established industrial processes - ADU process, AUC process, IDR process - is assessed. The number of process stages and requirements on process management are discussed. In particular, the properties of the fabricated UO 2 powders, their influence on the following pellet production and on operational behaviour of the fuel elements under reactor conditions are described. Hence, an evaluation of the three essential conversion processes is derived. (author)

  11. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    Science.gov (United States)

    Taminger, Karen M. (Inventor); Hafley, Robert A. (Inventor); Martin, Richard E. (Inventor); Hofmeister, William H. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  12. Performance evaluation of a hand-held, semiconductor (CdZnTe)-based gamma camera

    CERN Document Server

    Abe, A; Lee, J; Oka, T; Shizukuishi, K; Kikuchi, T; Inoue, T; Jimbo, M; Ryuo, H; Bickel, C

    2003-01-01

    We have designed and developed a small field of view gamma camera, the eZ SCOPE, based on use of a CdZnTe semiconductor. This device utilises proprietary signal processing technology and an interface to a computer-based imaging system. The purpose of this study was to evaluate the performance of the eZ scope in comparison with currently employed gamma camera technology. The detector is a single wafer of 5-mm-thick CdZnTe that is divided into a 16 x 16 array (256 pixels). The sensitive area of the detector is a square of dimension 3.2 cm. Two parallel-hole collimators are provided with the system and have a matching (256 hole) pattern to the CdZnTe detector array: a low-energy, high-resolution parallel-hole (LEHR) collimator fabricated of lead and a low-energy, high-sensitivity parallel-hole (LEHS) collimator fabricated of tungsten. Performance measurements and the data analysis were done according to the procedures of the NEMA standard. We also studied the long-term stability of the system with continuous use...

  13. Fabrication of Copper-Rich Cu-Al Alloy Using the Wire-Arc Additive Manufacturing Process

    Science.gov (United States)

    Dong, Bosheng; Pan, Zengxi; Shen, Chen; Ma, Yan; Li, Huijun

    2017-12-01

    An innovative wire-arc additive manufacturing (WAAM) process is used to fabricate Cu-9 at. pct Al on pure copper plates in situ, through separate feeding of pure Cu and Al wires into a molten pool, which is generated by the gas tungsten arc welding (GTAW) process. After overcoming several processing problems, such as opening the deposition molten pool on the extremely high-thermal conductive copper plate and conducting the Al wire into the molten pool with low feed speed, the copper-rich Cu-Al alloy was successfully produced with constant predesigned Al content above the dilution-affected area. Also, in order to homogenize the as-fabricated material and improve the mechanical properties, two further homogenization heat treatments at 1073 K (800 °C) and 1173 K (900 °C) were applied. The material and mechanical properties of as-fabricated and heat-treated samples were compared and analyzed in detail. With increased annealing temperatures, the content of precipitate phases decreased and the samples showed gradual improvements in both strength and ductility with little variation in microstructures. The present research opened a gate for in-situ fabrication of Cu-Al alloy with target chemical composition and full density using the additive manufacturing process.

  14. Barrier height enhancement of metal/semiconductor contact by an enzyme biofilm interlayer

    Science.gov (United States)

    Ocak, Yusuf Selim; Gul Guven, Reyhan; Tombak, Ahmet; Kilicoglu, Tahsin; Guven, Kemal; Dogru, Mehmet

    2013-06-01

    A metal/interlayer/semiconductor (Al/enzyme/p-Si) MIS device was fabricated using α-amylase enzyme as a thin biofilm interlayer. It was observed that the device showed an excellent rectifying behavior and the barrier height value of 0.78 eV for Al/α-amylase/p-Si was meaningfully larger than the one of 0.58 eV for conventional Al/p-Si metal/semiconductor (MS) contact. Enhancement of the interfacial potential barrier of Al/p-Si MS diode was realized using enzyme interlayer by influencing the space charge region of Si semiconductor. The electrical properties of the structure were executed by the help of current-voltage and capacitance-voltage measurements. The photovoltaic properties of the structure were executed under a solar simulator with AM1.5 global filter between 40 and 100 mW/cm2 illumination conditions. It was also reported that the α-amylase enzyme produced from Bacillus licheniformis had a 3.65 eV band gap value obtained from optical method.

  15. Growth of metal and semiconductor nanostructures using localized photocatalysts

    Energy Technology Data Exchange (ETDEWEB)

    Shelnutt, John A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Wang, Zhongchun [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Medforth, Craig J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2006-03-08

    Our overall goal has been to understand and develop a light-driven approach to the controlled growth of novel metal and semiconductor nanostructures and nanomaterials. In this photochemical process, bio-inspired porphyrin-based photocatalysts reduce metal salts in aqueous solutions at ambient temperatures when exposed to visible light, providing metal nucleation and growth centers. The photocatalyst molecules are pre-positioned at the nanoscale to control the location of the deposition of metal and therefore the morphology of the nanostructures that are grown. Self-assembly, chemical confinement, and molecular templating are some of the methods we are using for nanoscale positioning of the photocatalyst molecules. When exposed to light, each photocatalyst molecule repeatedly reduces metal ions from solution, leading to deposition near the photocatalyst and ultimately the synthesis of new metallic nanostructures and nanostructured materials. Studies of the photocatalytic growth process and the resulting nanostructures address a number of fundamental biological, chemical, and environmental issues and draw on the combined nanoscience characterization and multi-scale simulation capabilities of the new DOE Center for Integrated Nanotechnologies at Sandia National Laboratories and the University of Georgia. Our main goals are to elucidate the processes involved in the photocatalytic growth of metal nanomaterials and provide the scientific basis for controlled nanosynthesis. The nanomaterials resulting from these studies have applications in nanoelectronics, photonics, sensors, catalysis, and micromechanical systems. Our specific goals for the past three years have been to understand the role of photocatalysis in the synthesis of dendritic metal (Pt, Pd, Au) nanostructures grown from aqueous surfactant solutions under ambient conditions and the synthesis of photocatalytic porphyrin nanostructures (e.g., nanotubes) as templates for fabrication of photo-active metal

  16. Wire Array Solar Cells: Fabrication and Photoelectrochemical Studies

    Science.gov (United States)

    Spurgeon, Joshua Michael

    Despite demand for clean energy to reduce our addiction to fossil fuels, the price of these technologies relative to oil and coal has prevented their widespread implementation. Solar energy has enormous potential as a carbon-free resource but is several times the cost of coal-produced electricity, largely because photovoltaics of practical efficiency require high-quality, pure semiconductor materials. To produce current in a planar junction solar cell, an electron or hole generated deep within the material must travel all the way to the junction without recombining. Radial junction, wire array solar cells, however, have the potential to decouple the directions of light absorption and charge-carrier collection so that a semiconductor with a minority-carrier diffusion length shorter than its absorption depth (i.e., a lower quality, potentially cheaper material) can effectively produce current. The axial dimension of the wires is long enough for sufficient optical absorption while the charge-carriers are collected along the shorter radial dimension in a massively parallel array. This thesis explores the wire array solar cell design by developing potentially low-cost fabrication methods and investigating the energy-conversion properties of the arrays in photoelectrochemical cells. The concept was initially investigated with Cd(Se, Te) rod arrays; however, Si was the primary focus of wire array research because its semiconductor properties make low-quality Si an ideal candidate for improvement in a radial geometry. Fabrication routes for Si wire arrays were explored, including the vapor-liquid-solid growth of wires using SiCl4. Uniform, vertically aligned Si wires were demonstrated in a process that permits control of the wire radius, length, and spacing. A technique was developed to transfer these wire arrays into a low-cost, flexible polymer film, and grow multiple subsequent arrays using a single Si(111) substrate. Photoelectrochemical measurements on Si wire array

  17. Micro-fabricated integrated coil and magnetic circuit and method of manufacturing thereof

    Science.gov (United States)

    Mihailovich, Robert E.; Papavasiliou, Alex P.; Mehrotra, Vivek; Stupar, Philip A.; Borwick, III, Robert L.; Ganguli, Rahul; DeNatale, Jeffrey F.

    2017-03-28

    A micro-fabricated electromagnetic device is provided for on-circuit integration. The electromagnetic device includes a core. The core has a plurality of electrically insulating layers positioned alternatingly between a plurality of magnetic layers to collectively form a continuous laminate having alternating magnetic and electrically insulating layers. The electromagnetic device includes a coil embedded in openings of the semiconductor substrate. An insulating material is positioned in the cavity and between the coil and an inner surface of the core. A method of manufacturing the electromagnetic device includes providing a semiconductor substrate having openings formed therein. Windings of a coil are electroplated and embedded in the openings. The insulating material is coated on or around an exposed surface of the coil. Alternating magnetic layers and electrically insulating layers may be micro-fabricated and electroplated as a single and substantially continuous segment on or around the insulating material.

  18. 320-nm Flexible Solution-Processed 2,7-dioctyl[1] benzothieno[3,2-b]benzothiophene Transistors

    OpenAIRE

    Ren, Hang; Tang, Qingxin; Tong, Yanhong; Liu, Yichun

    2017-01-01

    Flexible organic thin-film transistors (OTFTs) have received extensive attention due to their outstanding advantages such as light weight, low cost, flexibility, large-area fabrication, and compatibility with solution-processed techniques. However, compared with a rigid substrate, it still remains a challenge to obtain good device performance by directly depositing solution-processed organic semiconductors onto an ultrathin plastic substrate. In this work, ultrathin flexible OTFTs are success...

  19. Towards Polarization Diversity on the SOI Platform With Simple Fabrication Process

    DEFF Research Database (Denmark)

    Ding, Yunhong; Liu, Liu; Peucheret, Christophe

    2011-01-01

    We present a polarization diversity circuit built on the silicon-on-insulator (SOI) platform, which can be fabricated by a simple process. The polarization diversity is based on two identical air-clad asymmetrical directional couplers, which simultaneously play the roles of polarization splitter...... and rotator. A silicon polarization diversity circuit with a single microring resonator is fabricated on the SOI platform. Only ${1-dB polarization-dependent loss is demonstrated. A significant improvement of the polarization dependence is obtained for 20-Gb/s nonreturn-to-zero differential phase-shift keying...

  20. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de