WorldWideScience

Sample records for self-organized near-field etching

  1. Effects of a power and photon energy of incident light on near-field etching properties

    Science.gov (United States)

    Yatsui, T.; Saito, H.; Nishioka, K.; Leuschel, B.; Soppera, O.; Nobusada, K.

    2017-12-01

    We developed a near-field etching technique for realizing an ultra-flat surfaces of various materials and structures. To elucidate the near-field etching properties, we have investigated the effects of power and the photon energy of the incident light. First, we established theoretically that an optical near-field with photon energy lower than the absorption edge of the molecules can induce molecular vibrations. We used nanodiamonds to study the power dependence of the near-field etching properties. From the topological changes of the nanodiamonds, we confirmed the linear-dependence of the etching volume with the incident power. Furthermore, we studied the photon energy dependence using TiO2 nanostriped structures, which revealed that a lower photon energy results in a lower etching rate.

  2. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  3. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  4. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  5. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  6. Fabrication of sub-diffraction-limit molecular structures by scanning near-field photolithography

    Science.gov (United States)

    Ducker, Robert E.; Montague, Matthew T.; Sun, Shuqing; Leggett, Graham J.

    2007-09-01

    Using a scanning near-field optical microscope coupled to a UV laser, an approach we term scanning near-field photolithography (SNP), structures as small as 9 nm (ca. λ/30) may be fabricated in self-assembled monolayers of alkanethiols on gold surfaces. Selective exposure of the adsorbate molecules in the near field leads to photoconversion of the alkylthiolate to a weakly bound alkylsulfonate which may be displaced readily be a contrasting thiol, leading to a chemical pattern, or used as a resist for the selective etching of the underlying metal. A novel ultra-mild etch for gold is reported, and used to etch structures as small as 9 nm. Photopatterning of oligo(ethylene glycol) (OEG) terminated selfassembled monolayers facilitates the fabrication of biomolecular nanostructures. Selective removal of the protein-resistant OEG terminated adsorbates created regions that may be functionalized with a second thiol and derivatized with a biomolecule. Finally, the application of SNP to nanopatterning on oxide surfaces is demonstrated. Selective exposure of monolayers of phosphonic acids adsorbed onto aluminum oxide leads to cleavage of the P-C bond and desorption of the adsorbate molecule. Subsequent etching, using aqueous based, yields structures as small as 100 nm.

  7. Near-field photochemical and radiation-induced chemical fabrication of nanopatterns of a self-assembled silane monolayer

    Directory of Open Access Journals (Sweden)

    Ulrich C. Fischer

    2014-09-01

    Full Text Available A general concept for parallel near-field photochemical and radiation-induced chemical processes for the fabrication of nanopatterns of a self-assembled monolayer (SAM of (3-aminopropyltriethoxysilane (APTES is explored with three different processes: 1 a near-field photochemical process by photochemical bleaching of a monomolecular layer of dye molecules chemically bound to an APTES SAM, 2 a chemical process induced by oxygen plasma etching as well as 3 a combined near-field UV-photochemical and ozone-induced chemical process, which is applied directly to an APTES SAM. All approaches employ a sandwich configuration of the surface-supported SAM, and a lithographic mask in form of gold nanostructures fabricated through colloidal sphere lithography (CL, which is either exposed to visible light, oxygen plasma or an UV–ozone atmosphere. The gold mask has the function to inhibit the photochemical reactions by highly localized near-field interactions between metal mask and SAM and to inhibit the radiation-induced chemical reactions by casting a highly localized shadow. The removal of the gold mask reveals the SAM nanopattern.

  8. Preparation of non-spherical particles by shell-shield etching for near-field nanopatterning

    International Nuclear Information System (INIS)

    Ye, Jian; Liesbet, Lagae

    2014-01-01

    The shape of polymer particles plays an important role in determining their function. In this paper, we describe a simple and unconventional method called shell-shield etching (SSE) that allows us to prepare freestanding submicrometer- or micrometer-sized polymer particles with various shapes. By precisely varying the time of ultraviolet ozone treatment under the partial shielding effect of the silica shell, we controllably reshape polymer spheres into symmetry-reduced polymer peaches, mushrooms, bowls, and plates. Finite difference time domain simulations indicate that the non-spherical particles obtained from the SSE method might have potential for near-field nanopatterning applications. (papers)

  9. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  10. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  11. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  12. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  13. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  14. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  15. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  16. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  17. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  18. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  20. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  1. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  2. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  3. Optical near-field studies of waveguiding organic nanofibers by angular dependent excitation

    DEFF Research Database (Denmark)

    Maibohm, Christian

    .                    2) Institute of General Physics, Russian Academy of Science, 119991 Moscow, Russia. Abstract:   Single crystalline organic nanofibers of para-phenylene are grown in UHV by MBE and dipole assisted self-assembly. In the optical far-field the fluorescence from a single nanofiber is spectrally well...... defined and highly polarized. By UV excitation in a fluorescence microscope it has also been shown that nanofibers have waveguiding properties. To further characterize the waveguiding properties the optical near-field has to be investigated. This is done by transferring nanofibers to an quartz half sphere...... where they are excited by the evanescent wave from a total internal reflected UV laser. The optical near-field is probed by the fiber tip of a SNOM (scanning near-field optical microscope). In the setup it is possible to change the angle of incidence of the excitation laser i.e. change the k...

  4. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  5. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  7. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  8. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    Science.gov (United States)

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    unprepared enamel. The field-emission scanning electron microscope revealed a deep interprismatic etching pattern for the total-etch adhesive, whereas the self-etching systems resulted in an etching pattern ranging from absent to moderate.

  9. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  10. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  11. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  12. Self-organization of physical fields and spin

    International Nuclear Information System (INIS)

    Pestov, I.B.

    2008-01-01

    The subject of the present investigation is the laws of intrinsic self-organization of fundamental physical fields. In the framework of the Theory of Self-Organization the geometrical and physical nature of spin phenomena is uncovered. The key points are spin symmetry (the fundamental realization of the concept of geometrical internal symmetry) and the spinning field (space of defining representation of spin symmetry). It is shown that the essence of spin is the bipolar structure of spin symmetry induced by the gravitational potentials. The bipolar structure provides natural violation of spin symmetry and leads to spinstatics (theory of spinning field outside the time) and spindynamics. The equations of spinstatics and spindynamics are derived. It is shown that Sommerfeld's formula can be derived from the equations of spindynamics and hence the correspondence principle is valid. This means that the Theory of Self-Organization provides the new understanding of spin phenomena

  13. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  14. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  15. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  16. Near-field optical nanopatterning of crystalline silicon

    International Nuclear Information System (INIS)

    Wysocki, G.; Heitz, J.; Baeuerle, D.

    2004-01-01

    Nanoscale photochemical and photophysical etching of Si in Cl 2 atmosphere is demonstrated by means of an optical near-field setup. With 351 nm Ar + -laser radiation and low intensities, the etching mechanism is purely photochemical. In this regime, the width of patterns - which is about 115 nm at full width at half maximum (FWHM) - corresponds, approximately, to the diameter of the fiber tip. The vertical etch rate is of the order of 1 nm/s. With 514.5 nm Ar + -laser light etching is observed only at significantly higher laser-light intensities. Patterns with width down to about 30 nm at FWHM have been achieved. Here, the lateral resolution corresponds to about 1/18 of the laser wavelength employed

  17. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  18. Macroscopic self-consistent model for external-reflection near-field microscopy

    International Nuclear Information System (INIS)

    Berntsen, S.; Bozhevolnaya, E.; Bozhevolnyi, S.

    1993-01-01

    The self-consistent macroscopic approach based on the Maxwell equations in two-dimensional geometry is developed to describe tip-surface interaction in external-reflection near-field microscopy. The problem is reduced to a single one-dimensional integral equation in terms of the Fourier components of the field at the plane of the sample surface. This equation is extended to take into account a pointlike scatterer placed on the sample surface. The power of light propagating toward the detector as the fiber mode is expressed by using the self-consistent field at the tip surface. Numerical results for trapezium-shaped tips are presented. The authors show that the sharper tip and the more confined fiber mode result in better resolution of the near-field microscope. Moreover, it is found that the tip-surface distance should not be too small so that better resolution is ensured. 14 refs., 10 figs

  19. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  20. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  1. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  2. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  3. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  4. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  5. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  6. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  7. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  8. Two-year water degradation of self-etching adhesives bonded to bur ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were

  9. Smear layer-deproteinizing improves bonding of one-step self-etch adhesives to dentin.

    Science.gov (United States)

    Thanatvarakorn, Ornnicha; Prasansuttiporn, Taweesak; Thittaweerat, Suppason; Foxton, Richard M; Ichinose, Shizuko; Tagami, Junji; Hosaka, Keiichi; Nakajima, Masatoshi

    2018-03-01

    Smear layer deproteinizing was proved to reduce the organic phase of smear layer covered on dentin surface. It was shown to eliminate hybridized smear layer and nanoleakage expression in resin-dentin bonding interface of two-step self-etch adhesive. This study aimed to investigate those effects on various one-step self-etch adhesives. Four different one-step self-etch adhesives were used in this study; SE One (SE), Scotchbond™ Universal (SU), BeautiBond Multi (BB), and Bond Force (BF). Flat human dentin surfaces with standardized smear layer were prepared. Smear layer deproteinizing was carried out by the application of 50ppm hypochlorous acid (HOCl) on dentin surface for 15s followed by Accel ® (p-toluenesulfinic acid salt) for 5s prior to adhesive application. No surface pretreatment was used as control. Microtensile bond strength (μTBS) and nanoleakage under TEM observation were investigated. The data were analyzed by two-way ANOVA and Tukey's post-hoc test and t-test at the significant level of 0.05. Smear layer deproteinizing significantly improved μTBS of SE, SU, and BB (player observed in control groups of SE, BB, and BF, and reticular nanoleakage presented throughout the hybridized complex in control groups of BB and BF were eliminated upon the smear layer deproteinizing. Smear layer deproteinizing by HOCl and Accel ® application could enhance the quality of dentin for bonding to one-step self-etch adhesives, resulting in the improving μTBS, eliminating hybridized smear layer and preventing reticular nanoleakage formation in resin-dentin bonding interface. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  10. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  11. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  12. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  13. Self-organized nanostructures in silicon and glass for MEMS, MOEMS and BioMEMS

    International Nuclear Information System (INIS)

    Lilienthal, K.; Fischer, M.; Stubenrauch, M.; Schober, A.

    2010-01-01

    The utilization of self-organization in the process workflows for Micro-Electro-Mechanical-Systems (MEMS) and their derivatives is a smart way to get large areas of nanostructured surfaces for various applications. The generation of nano-masking spots by self-organizing residues in the plasma can lead to needle- or tube-like structures on the surface after (deep-) reactive ion etching. With lengths of 3 up to 25 μm and 150 up to 500 nm in diameter for silicon broad applications in the fields of micro fluidics with catalysts, micro-optical or mechanical mountings or carrier wafer bonding in microelectronics are possible. Now, we also developed dry etching processes for fused silica which shows analogue properties to 'Black Silicon' and investigated these glass nanostructures by a first parameter study to identify new usable structures and hybrids. This innovative starting point allows the transfer of 'Black Silicon' technologies and its applications to another important material class in micro- and nanotechnologies, fused silica.

  14. Self-organized nanostructures in silicon and glass for MEMS, MOEMS and BioMEMS

    Energy Technology Data Exchange (ETDEWEB)

    Lilienthal, K., E-mail: katharina.lilienthal@tu-ilmenau.de [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Fischer, M. [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Stubenrauch, M. [Department of Micromechanical Systems, Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Schober, A. [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany)

    2010-05-25

    The utilization of self-organization in the process workflows for Micro-Electro-Mechanical-Systems (MEMS) and their derivatives is a smart way to get large areas of nanostructured surfaces for various applications. The generation of nano-masking spots by self-organizing residues in the plasma can lead to needle- or tube-like structures on the surface after (deep-) reactive ion etching. With lengths of 3 up to 25 {mu}m and 150 up to 500 nm in diameter for silicon broad applications in the fields of micro fluidics with catalysts, micro-optical or mechanical mountings or carrier wafer bonding in microelectronics are possible. Now, we also developed dry etching processes for fused silica which shows analogue properties to 'Black Silicon' and investigated these glass nanostructures by a first parameter study to identify new usable structures and hybrids. This innovative starting point allows the transfer of 'Black Silicon' technologies and its applications to another important material class in micro- and nanotechnologies, fused silica.

  15. Bond strength and morphology of enamel using self-etching adhesive systems with different acidities

    Directory of Open Access Journals (Sweden)

    Sandra Kiss Moura

    2009-08-01

    Full Text Available OBJECTIVES: To assess the bond strength and the morphology of enamel after application of self-etching adhesive systems with different acidities. The tested hypothesis was that the performance of the self-etching adhesive systems does not vary for the studied parameters. MATERIAL AND METHODS: Composite resin (Filtek Z250 buildups were bonded to untreated (prophylaxis and treated (bur-cut or SiC-paper enamel surfaces of third molars after application of four self-etching and two etch-and-rinse adhesive systems (n=6/condition: Clearfil SE Bond (CSE; OptiBond Solo Plus Self-Etch (OP; AdheSe (AD; Tyrian Self Priming Etching (TY, Adper Scotchbond Multi-Purpose Plus (SBMP and Adper Single Bond (SB. After storage in water (24 h/37°C, the bonded specimens were sectioned into sticks with 0.8 mm² cross-sectional area and the microtensile bond strength was tested at a crosshead speed of 0.5 mm/min. The mean bond strength values (MPa were subjected to two-way ANOVA and Tukey's test (α=0.05. The etching patterns of the adhesive systems were also observed with a scanning electron microscope. RESULTS: The main factor adhesive system was statistically significant (p<0.05. The mean bond strength values (MPa and standard deviations were: CSE (20.5±3.5, OP (11.3±2.3, AD (11.2±2.8, TY (11.1±3.0, SBMP (21.9±4.0 and SB (24.9±3.0. Different etching patterns were observed for the self-etching primers depending on the enamel treatment and the pH of the adhesive system. CONCLUSION: Although there is a tendency towards using adhesive systems with simplified application procedures, this may compromise the bonding performance of some systems to enamel, even when the prismless enamel is removed.

  16. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  17. Near-field photometry for organic light-emitting diodes

    Science.gov (United States)

    Li, Rui; Harikumar, Krishnan; Isphording, Alexandar; Venkataramanan, Venkat

    2013-03-01

    Organic Light Emitting Diode (OLED) technology is rapidly maturing to be ready for next generation of light source for general lighting. The current standard test methods for solid state lighting have evolved for semiconductor sources, with point-like emission characteristics. However, OLED devices are extended surface emitters, where spatial uniformity and angular variation of brightness and colour are important. This necessitates advanced test methods to obtain meaningful data for fundamental understanding, lighting product development and deployment. In this work, a near field imaging goniophotometer was used to characterize lighting-class white OLED devices, where luminance and colour information of the pixels on the light sources were measured at a near field distance for various angles. Analysis was performed to obtain angle dependent luminous intensity, CIE chromaticity coordinates and correlated colour temperature (CCT) in the far field. Furthermore, a complete ray set with chromaticity information was generated, so that illuminance at any distance and angle from the light source can be determined. The generated ray set is needed for optical modeling and design of OLED luminaires. Our results show that luminance non-uniformity could potentially affect the luminaire aesthetics and CCT can vary with angle by more than 2000K. This leads to the same source being perceived as warm or cool depending on the viewing angle. As OLEDs are becoming commercially available, this could be a major challenge for lighting designers. Near field measurement can provide detailed specifications and quantitative comparison between OLED products for performance improvement.

  18. Influence of hydrostatic pulpal pressure on the microtensile bond strength of all-in-one self-etching adhesives

    OpenAIRE

    Hosaka, K; Nakajima, M; Monticelli, F; Carrilho, M; Yamauti, M; Aksornmuang, J; Nishitani, Y; Tayh, FR; Pashley, DH; Tagami, J

    2007-01-01

    Purpose: To evaluate the microtensile bond strength (mu TBS) of two all-in-one self-etching adhesive systems and two self etching adhesives with and without simulated hydrostatic pulpal pressure (PP). Materials and Methods: Flat coronal dentin surfaces of extracted human molars were prepared. Two all-in-one self-etching adhesive systems, One-Up Bond F (OBF; Tokuyama) and Clearfil S-3 Bond (Tri-S, Kuraray Medical) and two self-etching primer adhesives, Clearfil Protect Bond (PB; Kuraray) and C...

  19. Self-organizing physical fields and gravity

    International Nuclear Information System (INIS)

    Pestov, I.B.

    2009-01-01

    It is shown that the Theory of Self-Organizing Physical Fields provides the adequate and consistent consideration of the gravitational phenomena. The general conclusion lies in the fact that the essence of gravidynamics is the new field concept of time and the general covariant law of energy conservation which in particular means that dark energy is simply the energy of the gravitational field. From the natural geometrical laws of gravidynamics the dynamical equations of the gravitational field are derived. Two exact solutions of these equations are obtained. One of them represents a shock gravitational wave and the other represents the Universe filled up with the gravitational energy only. These solutions are compared with the Schwarzschild and Friedmann solutions in the Einstein general theory of relativity

  20. Effect of evaporation of solvents from one-step, self-etching adhesives

    DEFF Research Database (Denmark)

    Furuse, Adilson Yoshio; Peutzfeldt, Anne; Asmussen, Erik

    2008-01-01

    PURPOSE: To investigate whether and to what extent the bonding capacity of one-step, self-etching adhesives is influenced by the degree to which solvent is evaporated. MATERIALS AND METHODS: Seven one-step, self-etching adhesives were tested (Adper Prompt L-Pop, Clearfil S3 Bond, Futurabond NR, G....... After being stored in water at 37 degrees C for 1 week, the bonded specimens were broken in shear. Failure modes were evaluated under stereomicroscope. RESULTS: Air-blowing duration and brand of adhesive both had an effect on shear bond strength. An interaction was found between adhesive and air...... failures were observed with shorter air-blowing durations. A significant negative correlation between number of adhesive failures and bond strength was found. CONCLUSION: On the basis of this in vitro study, it may be concluded that the one-step, self-etching adhesives evaluated were sensitive to degree...

  1. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  2. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    Science.gov (United States)

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  4. Effect of collagen fibrils removal on shear bond strength of total etch and self etch adhesive systems

    Directory of Open Access Journals (Sweden)

    Pishevar L.

    2009-12-01

    Full Text Available "nBackground and Aim: Sodium hypochlorite can remove the organic phase of the demineralized dentin and it produces direct resin bonding with hydroxyapatite crystals. Therefore, the hydrolytic degradation of collagen fibrils which might affect the bonding durability is removed. The aim of this study was to evaluate the effect of collagen fibrils removal by 10% NaOCl on dentin shear bond strength of two total etch and self etch adhesive systems."nMaterials and Methods: Sixty extracted human premolar teeth were used in this study. Buccal surface of teeth were grounded until dentin was exposed. Then teeth were divided into four groups. According to dentin surface treatment, experimental groups were as follows: Group I: Single Bond (3M according to manufacture instruction, Group II: 10% NaOCl+Single bond (3M, Group III: Clearfil SE Bond (Kuraray according to manufacture instruction, and Group IV: Clearfil SE Bond primer. After that, the specimens were immersed in 50% acetone solution for removing extra monomer. Then the specimens were rinsed and dried. 10% NaOCl was applied and finally adhesive was used. Then composite was bonded to the treated surfaces using a 4 2 mm cylindrical plastic mold. Specimens were thermocycled for 500 cycles (5-55ºC. A shear load was employed by a universal testing machine with a cross head speed of 1mm/min. The data were analyzed for statistical significance with One-way ANOVA, Two-way ANOVA and Tukey HSD post-hoc tests."nResults: The mean shear bond strengths of groups were as follows: Single Bond=16.8±4.2, Clearfil SE Bond=23.7±4.07, Single Bond+NaOCl=10.5±4.34, Clearfil SE Bond+NaOCl=23.3±3.65 MPa. Statistical analysis revealed that using 10% NaOCl significantly decreased the shear bond strength in Single Bond group (P=0.00, but caused no significant difference in the shear bond strength in Clearfil SE Bond group (P=0.99."nConclusion: Based on the results of this study, NaOCl treatment did not improve the bond

  5. Self-organization processes in field-invasion team sports : implications for leadership.

    Science.gov (United States)

    Passos, Pedro; Araújo, Duarte; Davids, Keith

    2013-01-01

    In nature, the interactions between agents in a complex system (fish schools; colonies of ants) are governed by information that is locally created. Each agent self-organizes (adjusts) its behaviour, not through a central command centre, but based on variables that emerge from the interactions with other system agents in the neighbourhood. Self-organization has been proposed as a mechanism to explain the tendencies for individual performers to interact with each other in field-invasion sports teams, displaying functional co-adaptive behaviours, without the need for central control. The relevance of self-organization as a mechanism that explains pattern-forming dynamics within attacker-defender interactions in field-invasion sports has been sustained in the literature. Nonetheless, other levels of interpersonal coordination, such as intra-team interactions, still raise important questions, particularly with reference to the role of leadership or match strategies that have been prescribed in advance by a coach. The existence of key properties of complex systems, such as system degeneracy, nonlinearity or contextual dependency, suggests that self-organization is a functional mechanism to explain the emergence of interpersonal coordination tendencies within intra-team interactions. In this opinion article we propose how leadership may act as a key constraint on the emergent, self-organizational tendencies of performers in field-invasion sports.

  6. Bond durability of universal adhesive to bovine enamel using self-etch mode.

    Science.gov (United States)

    Suzuki, Soshi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Sai, Keiichi; Takimoto, Masayuki; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-04-01

    The purpose of this study was to examine the enamel bond durability of universal adhesives in the self-etch mode under 2-year water storage and thermal cycling conditions. Three commercially available universal adhesives and a gold standard two-step self-etch adhesive were used. Ten specimens of bovine enamel were prepared per test group, and shear bond strength (SBS) was measured to determine the bonding durability after thermal cycling (TC) or long-term water storage (WS). The bonded specimens were divided into three groups: (1) specimens subjected to TC, where the bonded specimens were stored in 37 °C distilled water for 24 h before being subjected to 3000, 10,000, 20,000 or 30,000 TC; (2) specimens stored in 37 °C distilled water for 3 months, 6 months, 1 year or 2 year; and (3) specimens stored in 37 °C distilled water for 24 h, serving as a baseline. The two-step self-etch adhesive showed significantly higher SBS than the universal adhesives tested, regardless of the type of degradation method. All universal adhesives showed no significant enamel SBS reductions in TC and WS, when compared to baseline and the other degradation conditions. Compared to the bond strengths obtained with the two-step self-etch adhesive, significantly lower bond strengths were obtained with universal adhesives. However, the enamel bond durability of universal adhesives was relatively stable under both degradation conditions tested. The present data indicate that the enamel bond durability of universal adhesives in the self-etch mode might be sufficient for clinical use.

  7. Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin.

    Science.gov (United States)

    Naranjo, Jennifer; Ali, Mohsin; Belles, Donald

    2015-11-01

    Comparison of shear bond strength of self-etch and self-adhesive cements bonded to lithium disilicate, enamel and dentin. With several self-adhesive resin cements currently available, there is confusion about which product and technique is optimal for bonding ceramic restorations to teeth. The objective of this study was to compare the shear bond strength of lithium disilicate cemented to enamel and dentin using 5 adhesive cements. 100 lithium disilicate rods were pretreated with 5% hydrofluoric acid, silane, and cemented to 50 enamel and 50 dentin surfaces using five test cements: Variolink II (etch-and-rinse) control group, Clearfil Esthetic (two step self-etch), RelyX Unicem, SpeedCEM, and BifixSE (self-adhesive). All specimens were stored (37 degrees C, 100% humidity) for 24 hours before testing their shear bond strength using a universal testing machine (Instron). Debonded surfaces were observed under a low-power microscope to assess the location and type of failure. The highest bond strength for both enamel and dentin were recorded for Variolink II, 15.1MPa and 20.4MPa respectively, and the lowest were recorded for BifixSE, 0.6MPa and 0.9MPa respectively. Generally, higher bond strengths were found for dentin (7.4MPa) than enamel (5.3MPa). Tukey's post hoc test showed no significant difference between Clearfil Esthetic and SpeedCem (p = 0.059), Unicem and SpeedCem (p = 0.88), and Unicem and BifixSE (p = 0.092). All cements bonded better to lithium disilicate than to enamel or dentin, as all bond failures occurred at the tooth/adhesive interface except for Variolink II. Bond strengths recorded for self-adhesive cements were very low compared to the control "etch and rinse" and self-etch systems. Further improvements are apparently needed in self-adhesive cements for them to replace multistep adhesive systems. The use of conventional etch and rinse cements such as Veriolink II should be preferred for cementing all ceramic restorations over self-adhesive cements

  8. Influence of frequency on shear fatigue strength of resin composite to enamel bonds using self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Scheidel, Donal D; Barkmeier, Wayne W; Erickson, Robert L; Tsujimoto, Akimasa; Latta, Mark A; Miyazaki, Masashi

    2016-09-01

    The purpose of this study was to determine the influence of different frequency rates on of bond durability of self-etch adhesives to enamel using shear fatigue strength (SFS) testing. A two-step self-etch adhesive (OX, OptiBond XTR), and two single step self-etch adhesives (GB, G-ӕnial Bond and SU, Scotchbond Universal) were used in this study. The shear fatigue strength (SFS) to enamel was obtained. A staircase method was used to determine the SFS values with 50,000 cycles or until failure occurred. Fatigue testing was performed at frequencies of 5Hz, 10Hz, and 20Hz. For each test condition, 30 specimens were prepared for the SFS testing. Regardless of the bond strength test method, OX showed significantly higher SFS values than the two single-step self-etch adhesives. For each of the three individual self-etch adhesives, there was no significant difference in SFS depending on the frequency rate, although 20Hz results tended to be higher. Regardless of the self-etch adhesive system, frequencies of 5Hz, 10Hz, and 20Hz produced similar results in fatigue strength of resin composite bonded to enamel using 50,000 cycles or until bond failure. Accelerated fatigue testing provides valuable information regarding the long term durability of resin composite to enamel bonding using self-etch adhesive system. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Scanning near-field optical microscopy and near-field optical probes: properties, fabrication, and control of parameters

    International Nuclear Information System (INIS)

    Dryakhlushin, V F; Veiko, V P; Voznesenskii, N B

    2007-01-01

    A brief review of modern applications of scanning near-field optical (SNO) devices in microscopy, spectroscopy, and lithography is presented in the introduction. The problem of the development of SNO probes, as the most important elements of SNO devices determining their resolution and efficiency, is discussed. Based on the works of the authors, two different methods for fabricating SNO probes by using the adiabatic tapering of an optical fibre are considered: the laser-heated mechanical drawing and chemical etching. A nondestructive optical method for controlling the nanometre aperture of SNO probes is proposed, substantiated, and tested experimentally. The method is based on the reconstruction of a near-field source with the help of a theoretical algorithm of the inverse problem from the experimental far-filed intensity distribution. Some prospects for a further refinement of the construction and technology of SNO probes are discussed. (optical microscopy)

  10. BOND STRENGTH OF SELF-ETCH ADHESIVES WITH PRIMARY AND PERMANENT TEETH DENTIN – IN VITRO STUDY.

    Directory of Open Access Journals (Sweden)

    Natalia Gateva

    2012-03-01

    Full Text Available Objective: The aim of this study was to compare dentin bond strength of primary and permanent teeth with self-etching adhesive systems. Methods: On 40 intact specimens of primary and permanent teeth was created flat dentin surfaces. The patterns were divided in 4 groups. Two different self-etching adhesive systems were used – one two steps (AdheSE, VivaDent and one one step (AdheSE One, VivaDent. Resin composite build-ups were constructed by means of convetional copper ring after applying the adhesive. The specimens were stored in water for 72 h at room temperature. After that specimens were tested for macrotensile bond strength. Debonded surfaces were analyzed by SEM.Conclusions: The measured values of dentin bond strength after applying self-etching adhesives are statistically significant in group of permanent teeth in comparison with group of primary teeth, and for both adhesive generations. Two steps self-etching adhesive provide significant stronger dentin bond strength with both dentitions in comparison with one step self-etching adhesive.

  11. Highly Sensitive Flexible Pressure Sensors Based on Printed Organic Transistors with Centro-Apically Self-Organized Organic Semiconductor Microstructures.

    Science.gov (United States)

    Yeo, So Young; Park, Sangsik; Yi, Yeon Jin; Kim, Do Hwan; Lim, Jung Ah

    2017-12-13

    A highly sensitive pressure sensor based on printed organic transistors with three-dimensionally self-organized organic semiconductor microstructures (3D OSCs) was demonstrated. A unique organic transistor with semiconductor channels positioned at the highest summit of printed cylindrical microstructures was achieved simply by printing an organic semiconductor and polymer blend on the plastic substrate without the use of additional etching or replication processes. A combination of the printed organic semiconductor microstructure and an elastomeric top-gate dielectric resulted in a highly sensitive organic field-effect transistor (FET) pressure sensor with a high pressure sensitivity of 1.07 kPa -1 and a rapid response time of <20 ms with a high reliability over 1000 cycles. The flexibility and high performance of the 3D OSC FET pressure sensor were exploited in the successful application of our sensors to real-time monitoring of the radial artery pulse, which is useful for healthcare monitoring, and to touch sensing in the e-skin of a realistic prosthetic hand.

  12. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  13. Shear bond strength of one-step self-etch adhesives to enamel: effect of acid pretreatment.

    Science.gov (United States)

    Poggio, Claudio; Scribante, Andrea; Della Zoppa, Federica; Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco

    2014-02-01

    The purposes of this study were to evaluate the effect of surface pretreatment with phosphoric acid on the enamel bond strength of four-one-step self-etch adhesives with different pH values. One hundred bovine permanent mandibular incisors were used. The materials used in this study included four-one-step self-etch adhesives with different pH values: Adper(™) Easy Bond Self-Etch Adhesive (ph = 0,8-1), Futurabond NR (ph = 1,4), G-aenial Bond (ph = 1,5), Clearfil(3) S Bond (ph = 2,7). One two-step self-etch adhesive (Clearfil SE Bond/ph = 0,8-1) was used as control. The teeth were assigned into two subgroups according to bonding procedure. In the first subgroup (n = 50), no pretreatment agent was applied. In the second subgroup (n = 50), etching was performed using 37% phosphoric acid for 30 s. After adhesive systems application, a nanohybrid composite resin was inserted into the enamel surface. The specimens were placed in a universal testing machine (Model 3343, Instron Corp., Canton, Mass., USA). After the testing procedure, the fractured surfaces were examined with an optical microscope at a magnification of 10× to determine failure modes. The adhesive remnant index (ARI) was used to assess the amount of adhesive left on the enamel surface. Descriptive statistics of the shear bond strength and frequency distribution of ARI scores were calculated. Enamel pretreatment with phosphoric acid significantly increased bond strength values of all the adhesives tested. No significant differences in bond strength were detected among the four different one-step self-etch adhesives with different pH. Two-step self-etch adhesive showed the highest bond strength. © 2013 John Wiley & Sons A/S.

  14. Building Practical Apertureless Scanning Near-Field Microscopy

    Science.gov (United States)

    Gungordu, M. Zeki

    The fundamental objective of this study is to establish a functional, practical apertureless type scanning near-field optical microscope, and to figure out the working mechanism behind it. Whereas a far-field microscope can measure the propagating field's components, this gives us little information about the features of the sample. The resolution is limited to about half of the wavelength of the illuminating light. On the other hand, the a-SNOM system enables achieving non-propagating components of the field, which provides more details about the sample's features. It is really difficult to measure because the amplitude of this field decays exponentially when the tip is moved away from the sample. The sharpness of the tip is the only limitation for resolution of the a-SNOM system. Consequently, the sharp tips are achieved by using electrochemical etching, and these tips are used to detect near-field signal. Separating the weak a-SNOM system signals from the undesired background signal, the higher demodulation background suppression is utilized by lock-in detection.

  15. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  16. Shear bond strength of one-step self-etch adhesives to dentin : evaluation of NaOCl pretreatment

    OpenAIRE

    Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco; Poggio, Claudio; Scribante, Andrea

    2018-01-01

    The aim of this study was to evaluate the influence of dentin pretreatment with NaOCl on shear bond strength of four one-step self-etch adhesives with different pH values. Bovine permanent incisors were used. Four one-step self-etch adhesives were tested: Adper? Easy Bond, Futurabond NR, G-aenial Bond, Clearfil S3 Bond. One two-step self-etch adhesive (Clearfil SE Bond) was used as control. Group 1- no pretreatment; group 2- pretratment with 5,25 % NaOCl; group 3- pretreatment with 37 % H3PO4...

  17. In Vitro Evaluation of Shear Bond Strength of Self Etching Primers to Dentin

    Directory of Open Access Journals (Sweden)

    Reena Vora

    2012-01-01

    Full Text Available Objectives: To evaluate and compare the shear bond strength of four self etching primer adhesives to dentin. Materials & Methods: A total of 75 extracted human maxillary and mandibular molars were selected for the study. The teeth were divided into 5 groups of 15 teeth each, Group A- AdheSE (Ivoclar Vivadent, Group B-Adper prompt (3M ESPE, Group C- i bond (Heraeus-Kulzer, Group D-XenoIII (Dentsply, De Trey Group E-Single bond (3M ESPE was used and served as control. All the adhesives were applied according to the manufacturer′s instructions. Composite post was built on these bonded surfaces using Z-100 hybrid composite. The teeth were subjected to thermocycling for 500 cycles between 5°C to 55°C. The teeth were then mounted on universal testing machine and fractured under a shearing load, applied at a speed of 0.2mm/min. The readings were noted, tabulated and shear bond strength calculated in Mega Pascal (Mpa units. Results: There was significant difference in the mean shear bond strength of the four self etching primers, adhesives tested. Shear strength values were in the range of 16.57 to 21.73 Mpa. Xeno III gave the highest mean of shear bond strength whereas Adhe SE showed the lowest value of shear strength. Conclusion: Based on the results of the study, it can be concluded that contemporary self etching primer adhesives bond successfully to dentin. Moreover the bonding ability of Self Etching Systems seems to be comparable to the conventional Total Etch Systems.

  18. Shear bond strength of orthodontic brackets bonded with different self-etching adhesives.

    Science.gov (United States)

    Scougall Vilchis, Rogelio José; Yamamoto, Seigo; Kitai, Noriyuki; Yamamoto, Kohji

    2009-09-01

    The purpose of this study was to compare the shear bond strength (SBS) of orthodontic brackets bonded with 4 self-etching adhesives. A total of 175 extracted premolars were randomly divided into 5 groups (n = 35). Group I was the control, in which the enamel was etched with 37% phosphoric acid, and stainless steel brackets were bonded with Transbond XT (3M Unitek, Monrovia, Calif). In the remaining 4 groups, the enamel was conditioned with the following self-etching primers and adhesives: group II, Transbond Plus and Transbond XT (3M Unitek); group III, Clearfil Mega Bond FA and Kurasper F (Kuraray Medical, Tokyo, Japan); group IV, Primers A and B, and BeautyOrtho Bond (Shofu, Kyoto, Japan); and group V, AdheSE and Heliosit Orthodontic (Ivoclar Vivadent AG, Liechtenstein). The teeth were stored in distilled water at 37 degrees C for 24 hours and debonded with a universal testing machine. The adhesive remnant index (ARI) including enamel fracture score was also evaluated. Additionally, the conditioned enamel surfaces were observed under a scanning electron microscope. The SBS values of groups I (19.0 +/- 6.7 MPa) and II (16.6 +/- 7.3 MPa) were significantly higher than those of groups III (11.0 +/- 3.9 MPa), IV (10.1 +/- 3.7 MPa), and V (11.8 +/- 3.5 MPa). Fluoride-releasing adhesives (Kurasper F and BeautyOrtho Bond) showed clinically acceptable SBS values. Significant differences were found in the ARI and enamel fracture scores between groups I and II. The 4 self-etching adhesives yielded SBS values higher than the bond strength (5.9 to 7.8 MPa) suggested for routine clinical treatment, indicating that orthodontic brackets can be successfully bonded with any of these self-etching adhesives.

  19. Effect of EDTA and phosphoric Acid pretreatment on the bonding effectiveness of self-etch adhesives to ground enamel.

    Science.gov (United States)

    Ibrahim, Ihab M; Elkassas, Dina W; Yousry, Mai M

    2010-10-01

    This in vitro study determined the effect of enamel pretreatment with phosphoric acid and ethylenediaminetetraacetic acid (EDTA) on the bond strength of strong, intermediary strong, and mild self-etching adhesive systems. Ninety sound human premolars were used. Resin composite cylinders were bonded to flat ground enamel surfaces using three self-etching adhesive systems: strong Adper Prompt L-Pop (pH=0.9-1.0), intermediary strong AdheSE (pH=1.6-1.7), and mild Frog (pH=2). Adhesive systems were applied either according to manufacturer instructions (control) or after pretreatment with either phosphoric acid or EDTA (n=10). After 24 hours, shear bond strength was tested using a universal testing machine at a cross-head speed of 0.5 mm/minute. Ultra-morphological characterization of the surface topography and resin/enamel interfaces as well as representative fractured enamel specimens were examined using scanning electron microscopy (SEM). Neither surface pretreatment statistically increased the mean shear bond strength values of either the strong or the intermediary strong self-etching adhesive systems. However, phosphoric acid pretreatment significantly increased the mean shear bond strength values of the mild self-etching adhesive system. SEM examination of enamel surface topography showed that phosphoric acid pretreatment deepened the same etching pattern of the strong and intermediary strong adhesive systems but converted the irregular etching pattern of the mild self-etching adhesive system to a regular etching pattern. SEM examination of the resin/enamel interface revealed that deepening of the etching pattern was consistent with increase in the length of resin tags. EDTA pretreatment had a negligible effect on ultra-morphological features. Use of phosphoric acid pretreatment can be beneficial with mild self-etching adhesive systems for bonding to enamel.

  20. Self-similar potential in the near wake

    International Nuclear Information System (INIS)

    Diebold, D.; Hershkowitz, N.; Intrator, T.; Bailey, A.

    1987-01-01

    The plasma potential is measured near the edge of an electrically floating obstacle placed in a steady-state, supersonic, unmagnetized, neutral plasma flow. Equipotential contours show the sheath of the upstream side of the obstacle wrapping around the edge of the obstacle and fanning out into the near wake. Both fluid theory and the data find the near-wake plasma potential to be self-similar when ionization, charge exchange, and magnetic field can be neglected. The theory also finds that fluid velocity is self-similar, the near wake is nonneutral, and plasma density is not self-similar. Strong electric fields are found near the obstacle and equipotential contours are found to conform to all boundaries

  1. Antibacterial Effect and Tensile Bond Strength of Self-etching Adhesive Resins with and without Methacryloyloxydodecylpyridinium Bromide: An in vitro Study.

    Science.gov (United States)

    Krishnamurthy, Madhuram; Kumar, V Naveen; Leburu, Ashok; Dhanavel, Chakravarthy; Selvendran, Kasiswamy E; Praveen, Nehrudhas

    2018-04-01

    Aim: The aim of the present study was to compare the antibacterial activity of a self-etching primer containing antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB) (Clearfil protect bond) with a conventional self-etching primer without MDPB (Clearfil SE bond) against Streptococcus mutans and the effect of incorporation of MDPB on the tensile bond strength of the experimental self-etching primer (Clearfil protect bond). Materials and methods: The antibacterial activity of the self-etching primers was assessed using agar disk diffusion method and the diameters of the zones of inhibition were measured and ranked. For tensile bond strength testing, 20 noncarious human molars were selected and randomly divided into two groups comprising 10 teeth in each group. Group I specimens were treated with Clearfil SE bond (without MDPB). Group II specimens were treated with Clearfil protect bond (with MDPB). Composite material was placed incrementally and cured for 40 seconds in all the specimens. Tensile bond strength was estimated using the Instron Universal testing machine at a crosshead speed of 1 mm/min. Results: The addition of MDPB into a self-etching primer exerts potential antibacterial effect against S. mutans. The tensile bond strength of MDPB containing self-etching primer was slightly lower than that of the conventional self-etching Clearfil protect bond primer, but the difference was not statistically significant. Conclusion: Thus, a self-etching primer containing MDPB will be a boon to adhesive dentistry as it has bactericidal property with adequate tensile bond strength. Clinical significance: The concept of prevention of extension in adhesive dentistry would result in micro/nanoleakage due to the presence of residual bacteria in the cavity. Self-etching primers with MDPB would improve the longevity of such restorations by providing adequate antibacterial activity without compromising the bond strength. Keywords: Antibacterial property

  2. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  3. Effect of temporary cements on the microtensile bond strength of self-etching and self-adhesive resin cement.

    Science.gov (United States)

    Carvalho, Edilausson Moreno; Carvalho, Ceci Nunes; Loguercio, Alessandro Dourado; Lima, Darlon Martins; Bauer, José

    2014-11-01

    The aim of this study was to evaluate the microtensile bond strength (µTBS) of self-etching and self-adhesive resin cement systems to dentin affected by the presence of remnants of either eugenol-containing or eugenol-free temporary cements. Thirty extracted teeth were obtained and a flat dentin surface was exposed on each tooth. Acrylic blocks were fabricated and cemented either with one of two temporary cements, one zinc oxide eugenol (ZOE) and one eugenol free (ZOE-free), or without cement (control). After cementation, specimens were stored in water at 37°C for 1 week. The restorations and remnants of temporary cements were removed and dentin surfaces were cleaned with pumice. Resin composite blocks were cemented to the bonded dentin surfaces with one of two resin cements, either self-etching (Panavia F 2.0) or self-adhesive (RelyX U-100). After 24 h, the specimens were sectioned to obtain beams for submission to µTBS. The fracture mode was evaluated under a stereoscopic loupe and a scanning electron microscope (SEM). Data from µTBS were submitted to two-way repeated-measure ANOVA and the Tukey test (alpha = 0.05). The cross-product interaction was statistically significant (p cements reduced the bond strength to Panavia self-etching resin cements only (p cements did not interfere in the bond strength to dentin of self-adhesive resin cements.

  4. Silicon based near infrared photodetector using self-assembled organic crystalline nano-pillars

    Energy Technology Data Exchange (ETDEWEB)

    Ajiki, Yoshiharu, E-mail: yoshiharu-ajiki@ot.olympus.co.jp, E-mail: isao@i.u-tokyo.ac.jp [Micromachine Center, 67 Kanda Sakumagashi, Chiyoda-ku, Tokyo 100-0026 (Japan); Kan, Tetsuo [Department of Mechano-Informatics, Graduate School of Information Science and Technology, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Yahiro, Masayuki; Hamada, Akiko; Adachi, Chihaya [Center for Organic Photonics and Electronics Research (OPERA), Kyushu University, 744 Motooka, Nishi, Fukuoka 819-0395 (Japan); Adachi, Junji [Office for Strategic Research Planning, Kyushu University, 6-10-1 Hakozaki, Higashi, Fukuoka 812-8581 (Japan); Matsumoto, Kiyoshi [IRT Research Initiative, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Shimoyama, Isao, E-mail: yoshiharu-ajiki@ot.olympus.co.jp, E-mail: isao@i.u-tokyo.ac.jp [Department of Mechano-Informatics, Graduate School of Information Science and Technology, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); IRT Research Initiative, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2016-04-11

    We propose a silicon (Si) based near-infrared photodetector using self-assembled organic crystalline nano-pillars, which were formed on an n-type Si substrate and were covered with an Au thin-film. These structures act as antennas for near-infrared light, resulting in an enhancement of the light absorption on the Au film. Because the Schottky junction is formed between the Au/n-type Si, the electron excited by the absorbed light can be detected as photocurrent. The optical measurement revealed that the nano-pillar structures enhanced the responsivity for the near-infrared light by 89 (14.5 mA/W) and 16 (0.433 mA/W) times compared with those of the photodetector without nano-pillars at the wavelengths of 1.2 and 1.3 μm, respectively. Moreover, no polarization dependency of the responsivity was observed, and the acceptable incident angle ranged from 0° to 30°. These broad responses were likely to be due to the organic nano-pillar structures' having variation in their orientation, which is advantageous for near-infrared detector uses.

  5. Self-running and self-floating two-dimensional actuator using near-field acoustic levitation

    Science.gov (United States)

    Chen, Keyu; Gao, Shiming; Pan, Yayue; Guo, Ping

    2016-09-01

    Non-contact actuators are promising technologies in metrology, machine-tools, and hovercars, but have been suffering from low energy efficiency, complex design, and low controllability. Here we report a new design of a self-running and self-floating actuator capable of two-dimensional motion with an unlimited travel range. The proposed design exploits near-field acoustic levitation for heavy object lifting, and coupled resonant vibration for generation of acoustic streaming for non-contact motion in designated directions. The device utilizes resonant vibration of the structure for high energy efficiency, and adopts a single piezo element to achieve both levitation and non-contact motion for a compact and simple design. Experiments demonstrate that the proposed actuator can reach a 1.65 cm/s or faster moving speed and is capable of transporting a total weight of 80 g under 1.2 W power consumption.

  6. New MDS or near MDS self-dual codes over finite fields

    OpenAIRE

    Tong, Hongxi; Wang, Xiaoqing

    2016-01-01

    The study of MDS self-dual codes has attracted lots of attention in recent years. There are many papers on determining existence of $q-$ary MDS self-dual codes for various lengths. There are not existence of $q-$ary MDS self-dual codes of some lengths, even these lengths $< q$. We generalize MDS Euclidean self-dual codes to near MDS Euclidean self-dual codes and near MDS isodual codes. And we obtain many new near MDS isodual codes from extended negacyclic duadic codes and we obtain many new M...

  7. Shear bond strength of one-step self-etch adhesives to dentin: Evaluation of NaOCl pretreatment.

    Science.gov (United States)

    Colombo, Marco; Beltrami, Riccardo; Chiesa, Marco; Poggio, Claudio; Scribante, Andrea

    2018-02-01

    The aim of this study was to evaluate the influence of dentin pretreatment with NaOCl on shear bond strength of four one-step self-etch adhesives with different pH values. Bovine permanent incisors were used. Four one-step self-etch adhesives were tested: Adper™ Easy Bond, Futurabond NR, G-aenial Bond, Clearfil S3 Bond. One two-step self-etch adhesive (Clearfil SE Bond) was used as control. Group 1- no pretreatment; group 2- pretratment with 5,25 % NaOCl; group 3- pretreatment with 37 % H3PO4 etching and 5,25 % NaOCl. A hybrid composite resin was inserted into the dentin surface. The specimens were tested in a universal testing machine. The examiners evaluated the fractured surfaces in optical microscope to determine failure modes, quantified with adhesive remnant index (ARI). Dentin pretreatment variably influenced bond strength values of the different adhesive systems. When no dentin pretreatment was applied, no significant differences were found ( P >.05) among four adhesives tested. No significant differences were recorded when comparing NaOCl pretreatment with H3PO4 + NaOCl pretreatment for all adhesive tested ( P >.05) except Clearfil S3 Bond that showed higher shear bond strength values when H3PO4 was applied. Frequencies of ARI scores were calculated. The influence of dentin pretreatment with NaOCl depends on the composition of each adhesive system used. There was no difference in bond strength values among self-etch adhesives with different pH values. Key words: Dentin, pretreatment, self-etch adhesives.

  8. Dentin bond strength and degree of conversion evaluation of experimental self-etch adhesive systems

    OpenAIRE

    Yazdi, Fatemeh-Maleknejad; Moosavi, Horieh; Atai, Mohammad; Zeynali, Mahsa

    2015-01-01

    Background The aim of this study was to investigate the effect of different concentrations of 10-methacryloyloxydecyl dihydrogen phosphate (10-MDP) monomer in one-step self-etch experimental adhesives on dentinal microshear bond strength (?SBS), their degree of conversion and bonded micro structure. Material and Methods Composite resin cylinders (Clearfil AP-X) were bonded on human sound molar dentinal surfaces by using five experimental one-step self-etching adhesives (1-SEAs) containing 0% ...

  9. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel.

    Science.gov (United States)

    Yazici, A Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-07-01

    The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C-55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Padhesives tested (P=.17). Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested.

  10. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel

    Science.gov (United States)

    Yazici, A. Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-01-01

    Objective The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Methods: Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C–55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Penamel for any of the adhesives tested (P=.17). Conclusion: Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested. PMID:22904656

  11. [The durability of three self-etch adhesives bonded to dentin].

    Science.gov (United States)

    Tian, Fu-Cong; Wang, Xiao-Yan; Gao, Xue-Jun

    2013-04-01

    To investigate the durability of self-etch adhesives bonded to dentin in vitro. Forty-two extracted human molars were selected and occlusal dentin surfaces were exposed. The teeth were randomly distributed into three groups based on adhesives applied. The one-step self-etch adhesive B(Adper Prompt) and C(G-Bond) and two-step self-etch adhesive A (Clearfil SE bond) were used. After application of the adhesives to the dentin surfaces, composite crowns were built up, after 24 h water storage, the teeth were sectioned longitudinally into sticks (1.0 mm×1.0 mm bonding area) for microtensile testing or slabs (1 mm thick) for scanning electron microscopec (SEM) observation. Bonding strength (mTBS) and nano-leakage were evaluated immediately after cutting or after 6 months in water. The mTBS was analyzed using one-way ANOVA (SPSS 13.0). The nanoleakage was observed by SEM with a backscattered electron detector. Both adhesives and water storage time affected the mTBS. All adhesives showed decreased bond strength after six-month water aging [A dropped from (40.60 ± 5.76) MPa to (36.04 ± 3.15) MPa; B dropped from (19.06 ± 1.50) MPa to (11.19 ± 1.97) MPa; C dropped from (17.75 ± 1.10) MPa to (9.14 ± 1.15) MPa] (P adhesives tested were probably influenced by water aging, however, the two-step adhesive showed better durability than the one-step adhesives.

  12. Gold nanocone probes for near-field scanning optical microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Zeeb, Bastian; Schaefer, Christian; Nill, Peter; Fleischer, Monika; Kern, Dieter P. [Institute of Applied Physics, University of Tuebingen, Auf der Morgenstelle 10, 72076 Tuebingen (Germany)

    2010-07-01

    Apertureless near-field scanning optical microscopy (ANSOM) provides the possibility to collect simultaneously high-resolution topographical and sub-diffraction limited optical information from a surface. When optically excited, the scanning probes act as optical antennae with a strong near-field enhancement near the tip apex. Spatial resolution and optical near-field enhancement depend strongly on the properties and geometry of the scanning probe - in particular on very sharp tip radii. Various possibilities for fabricating good antennae have been pursued. Most commonly, scanning probes consist of electrochemically etched gold wires which are sharp but not well-defined in geometry. We present two different approaches for ultra sharp and well-defined antennae based upon fabricating gold nanocones with a tip radius smaller than 10 nm which can be used in ANSOM. A transfer process is presented that can be used to attach single gold nanocones to non-metallic probes such as sharp glass fiber tips. Alternatively, new processes are presented to fabricate cones directly on pillars of different materials such as silicon or bismuth, which can be applied to cantilever tips for ANSOM scanning applications.

  13. Apertureless near-field terahertz imaging using the self-mixing effect in a quantum cascade laser

    Energy Technology Data Exchange (ETDEWEB)

    Dean, Paul, E-mail: p.dean@leeds.ac.uk; Keeley, James; Kundu, Iman; Li, Lianhe; Linfield, Edmund H.; Giles Davies, A. [School of Electronic and Electrical Engineering, University of Leeds, Leeds LS2 9JT (United Kingdom); Mitrofanov, Oleg [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom)

    2016-02-29

    We report two-dimensional apertureless near-field terahertz (THz) imaging using a quantum cascade laser (QCL) source and a scattering probe. A near-field enhancement of the scattered field amplitude is observed for small tip-sample separations, allowing image resolutions of ∼1 μm (∼λ/100) and ∼7 μm to be achieved along orthogonal directions on the sample surface. This represents the highest resolution demonstrated to date with a THz QCL. By employing a detection scheme based on self-mixing interferometry, our approach offers experimental simplicity by removing the need for an external detector and also provides sensitivity to the phase of the reinjected field.

  14. Near-Infrared to Visible Organic Upconversion Devices Based on Organic Light-Emitting Field Effect Transistors.

    Science.gov (United States)

    Li, Dongwei; Hu, Yongsheng; Zhang, Nan; Lv, Ying; Lin, Jie; Guo, Xiaoyang; Fan, Yi; Luo, Jinsong; Liu, Xingyuan

    2017-10-18

    The near-infrared (NIR) to visible upconversion devices have attracted great attention because of their potential applications in the fields of night vision, medical imaging, and military security. Herein, a novel all-organic upconversion device architecture has been first proposed and developed by incorporating a NIR absorption layer between the carrier transport layer and the emission layer in heterostructured organic light-emitting field effect transistors (OLEFETs). The as-prepared devices show a typical photon-to-photon upconversion efficiency as high as 7% (maximum of 28.7% under low incident NIR power intensity) and millisecond-scale response time, which are the highest upconversion efficiency and one of the fastest response time among organic upconversion devices as referred to the previous reports up to now. The high upconversion performance mainly originates from the gain mechanism of field-effect transistor structures and the unique advantage of OLEFETs to balance between the photodetection and light emission. Meanwhile, the strategy of OLEFETs also offers the advantage of high integration so that no extra OLED is needed in the organic upconversion devices. The results would pave way for low-cost, flexible and portable organic upconversion devices with high efficiency and simplified processing.

  15. Microleakage after Thermocycling of Three Self-Etch Adhesives under Resin-Modified Glass-Ionomer Cement Restorations

    Directory of Open Access Journals (Sweden)

    Sabine O. Geerts

    2010-01-01

    Full Text Available This study was designed to evaluate microleakage that appeared on Resin-Modified Glass-Ionomer Cement (RMGIC restorations. Sixty class V cavities (h×w×l=2mm×2mm×3mm were cut on thirty extracted third molars, which were randomly allocated to three experimental groups. All the buccal cavities were pretreated with polyacrylic acid, whereas the lingual cavities were treated with three one-step Self-Etch adhesives, respectively, Xeno III (Dentsply Detrey GmbH, Konstanz, Germany, iBond exp (Heraeus Kulzer gmbH & Co. KG, Hanau, Germany, and Adper Prompt-L-Pop (3M ESPE AG, Dental products Seefeld, Germany. All cavities were completely filled with RMGIC, teeth were thermocycled for 800 cycles, and leakage was evaluated. Results were expressed as means ± standard deviations (SDs. Microleakage scores were analysed by means of generalized linear mixed models (GLMMs assuming an ordinal logistic link function. All results were considered to be significant at the 5% critical level (<.05. The results showed that bonding RMGIC to dentin with a Self-Etch adhesive rather than using polyacrylic acid did not influence microleakage scores (=.091, except for one tested Self-Etch adhesive, namely, Xeno III (<.0001. Nevertheless, our results did not show any significant difference between the three tested Self-Etch adhesive systems. In conclusion, the pretreatment of dentin with Self-Etch adhesive system, before RMGIC filling, seems to be an alternative to the conventional Dentin Conditioner for the clinicians as suggested by our results (thermocycling and others (microtensile tests.

  16. Alignment and Use of Self-Assembled Peptide Nanotubes as Dry-Etching Mask

    DEFF Research Database (Denmark)

    Andersen, Karsten Brandt; Castillo, Jaime; Bakmand, Tanya

    2012-01-01

    candidate for controlled nanofabrication without organic solvents. The present work demonstrates how this unique structure can be aligned, manipulated and used as both an etching mask in a dry etching procedure and as a lift-off material. As a further demonstration of the potential of this technique...

  17. Fabrication of a novel nano-probe slide for near-field optical microscopy

    International Nuclear Information System (INIS)

    Yim, Sang-Youp; Jeang, Eun-Hee; Lee, Jae-Hoon; Park, Seung-Han; Cho, Kyu-Man

    2004-01-01

    A novel probe structure, which can act as a planar nano-probe slide for near-field microscopy, was proposed and fabricated. Sub-wavelength apertures on a Si substrate are successfully produced by means of standard photolithography techniques with properly selected masks. In particular, the anisotropic etching characteristics of Si substrate and the hardness of the Si 3 N 4 film are utilized. Probe-to-probe scanning of the fabricated near-field nano-probe slide shows sub-wavelength confinement of light and comparable throughput to the conventional optical fiber probe. We also show that the nano-probe slide can serve as a supporting base and a sub-wavelength aperture to obtain the near-field photoluminescence spectra of a limited number of CdSe nanocrystals.

  18. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  19. Top contact organic field effect transistors fabricated using a photolithographic process

    International Nuclear Information System (INIS)

    Wang Hong; Peng Ying-Quan; Ji Zhuo-Yu; Shang Li-Wei; Liu Xing-Hua; Liu Ming

    2011-01-01

    This paper proposes an effective method of fabricating top contact organic field effect transistors by using a photolithographic process. The semiconductor layer is protected by a passivation layer. Through photolithographic and etching processes, parts of the passivation layer are etched off to form source/drain electrode patterns. Combined with conventional evaporation and lift-off techniques, organic field effect transistors with a top contact are fabricated successfully, whose properties are comparable to those prepared with the shadow mask method and one order of magnitude higher than the bottom contact devices fabricated by using a photolithographic process. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  20. Effect of clearfil protect bond and transbond plus self-etch primer on shear bond strength of orthodontic brackets

    Directory of Open Access Journals (Sweden)

    S Hamid Raji

    2011-01-01

    Conclusion: The shear bond strength of clearfil protect bond and transbond plus self-etch primer was enough for bonding the orthodontic brackets. The mode of failure of bonded brackets with these two self-etch primers is safe for enamel.

  1. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    Science.gov (United States)

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  2. Evanescent field characterisation for a d-shaped optical fibre using scanning near-field optical microscopy

    International Nuclear Information System (INIS)

    Huntington, S.T.; Nugent, K.A.; Roberts, A.; Mulvaney, P.; Lo, K.M.

    1997-01-01

    Scanning near field optical microscopy is used to measure the evanescent filed and mode profile of a Ge-doped D-shaped optical fibre. The structure of the fibre is determined by differential etching followed by an investigation of the resultant topography with an atomic force microscope. This information is then used to theoretically model the expected behaviour of the fibre and it is shown that the theoretically model the expected behaviour of the fibre and it is shown that the theoretical results are in excellent agreement with the experimentally observed fields

  3. Near-field scanning optical microscopy based nanostructuring of glass

    International Nuclear Information System (INIS)

    Chimmalgi, A; Hwang, D J; Grigoropoulos, C P

    2007-01-01

    Nanofabrication, at lateral resolutions beyond the capability of conventional optical lithography techniques, is demonstrated here. Femtosecond laser was used in conjunction with Near-field Scanning Optical Microscopes (NSOMs) to nanostructure thin metal films. Also, the possibility of using these nanostructured metal films as masks to effectively transfer the pattern to the underlying substrate by wet etching process is shown. Two different optical nearfiled processing schemes were studied for near-field nanostructuring. In the first scheme, local field enhancement in the near-field of a scanning probe microscope (SPM) probe tip irradiated with femtosecond laser pulses was utilized (apertureless NSOM mode) and as a second approach, femtosecond laser beam was spatially confined by cantilevered NSOM fiber tip (apertured NOSM mode). The minimized heat- and shock-affected areas introduced during ultrafast laser based machining process, allows processing of even high conductivity thin metal films with minimized formation of any interfacial compounds between the metal films and the underlying substrate. Potential applications of this method may be in the fields of nanolithography, nanofluidics, nanoscale chemical and gas sensors, high-density data storage, nano-opto-electronics, as well as biotechnology related applications

  4. Biomimetic approaches to create anti-reflection glass surfaces for solar cells using self-organizing techniques

    International Nuclear Information System (INIS)

    Achtelik, J.; Sievers, W.; Lindner, J.K.N.

    2013-01-01

    Highlights: ► Nanostructured glass surfaces with theoretically near-to-zero reflectivity in the UVNIR region. ► Simple fabrication process using self-organization during reactive ion etching proposed. ► Prediction of optical reflectivity from AFM measured surface morphology. -- Abstract: Aiming to diminish the reflection losses of glass covered light harvesting devices, the optical reflectivity of nanostructured glass surfaces is studied theoretically and experimentally. The work is inspired by the nanoscale roughness of insect eyes, which is tried to be replicated on a technical glass surface. To this end, the reflectivity of glass surfaces with topographies represented by linear, parabolic and Fermi-shaped glass/air fill factor profiles is calculated for normal incidence. It is shown that using the latter ones, an almost complete suppression of reflections can be achieved. A simple, self-organization technique to create such Fermi-shaped filling factor profiles in glass experimentally is also presented

  5. Biomimetic approaches to create anti-reflection glass surfaces for solar cells using self-organizing techniques

    Energy Technology Data Exchange (ETDEWEB)

    Achtelik, J.; Sievers, W. [University of Paderborn, Department of Physics, 33098 Paderborn (Germany); Center of Optoelectronics and Photonics Paderborn CeOPP, 33098 Paderborn (Germany); Lindner, J.K.N., E-mail: lindner@physik.uni-paderborn.de [University of Paderborn, Department of Physics, 33098 Paderborn (Germany); Center of Optoelectronics and Photonics Paderborn CeOPP, 33098 Paderborn (Germany)

    2013-05-15

    Highlights: ► Nanostructured glass surfaces with theoretically near-to-zero reflectivity in the UVNIR region. ► Simple fabrication process using self-organization during reactive ion etching proposed. ► Prediction of optical reflectivity from AFM measured surface morphology. -- Abstract: Aiming to diminish the reflection losses of glass covered light harvesting devices, the optical reflectivity of nanostructured glass surfaces is studied theoretically and experimentally. The work is inspired by the nanoscale roughness of insect eyes, which is tried to be replicated on a technical glass surface. To this end, the reflectivity of glass surfaces with topographies represented by linear, parabolic and Fermi-shaped glass/air fill factor profiles is calculated for normal incidence. It is shown that using the latter ones, an almost complete suppression of reflections can be achieved. A simple, self-organization technique to create such Fermi-shaped filling factor profiles in glass experimentally is also presented.

  6. Bonding efficacy of new self-etching, self-adhesive dual-curing resin cements to dental enamel.

    Science.gov (United States)

    Benetti, Paula; Fernandes, Virgílio Vilas; Torres, Carlos Rocha; Pagani, Clovis

    2011-06-01

    This study evaluated the efficacy of the union between two new self-etching self-adhesive resin cements and enamel using the microtensile bond strength test. Buccal enamel of 80 bovine teeth was submitted to finishing and polishing with metallographic paper to a refinement of #600, in order to obtain a 5-mm2 flat area. Blocks (2 x 4 x 4 mm) of laboratory composite resin were cemented to enamel according to different protocols: (1) untreated enamel + RelyX Unicem cement (RX group); (2) untreated enamel + Bifix SE cement (BF group); (3) enamel acid etching and application of resin adhesive Single Bond + RelyX Unicem (RXA group); (4) enamel acid etching and application of resin adhesive Solobond M + Bifix SE (BFA group). After 7 days of storage in distillated water at 37°C, the blocks were sectioned for obtaining microbar specimens with an adhesive area of 1 mm2 (n = 120). Specimens were submitted to the microtensile bond strength test at a crosshead speed of 0.5 mm/min. The results (in MPa) were analyzed statistically by ANOVA and Tukey's test. Enamel pre-treatment with phosphoric acid and resin adhesive (27.9 and 30.3 for RXA and BFA groups) significantly improved (p ≤ 0.05) the adhesion of both cements to enamel compared to the union achieved with as-polished enamel (9.9 and 6.0 for RX and BF). Enamel pre-treatment with acid etching and the application of resin adhesive significantly improved the bond efficacy of both luting agents compared to the union achieved with as-polished enamel.

  7. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    OpenAIRE

    Hammad, Shaza M.; El-Wassefy, Noha; Maher, Ahmed; Fawakerji, Shafik M.

    2017-01-01

    ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2) nanofillers in different bonding systems on shear bond strength (SBS) and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A) Conventional acid-etch and primer Transbond XT; B) Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C) Futurabond DC at 1%; D...

  8. Self-assembled peptide nanotubes as an etching material for the rapid fabrication of silicon wires

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget; Andersen, Karsten Brandt; Svendsen, Winnie Edith

    2011-01-01

    This study has evaluated self-assembled peptide nanotubes (PNTS) and nanowires (PNWS) as etching mask materials for the rapid and low-cost fabrication of silicon wires using reactive ion etching (RIE). The self-assembled peptide structures were fabricated under mild conditions and positioned on c...... characterization by SEM and I-V measurements. Additionally, the fabricated silicon structures were functionalized with fluorescent molecules via a biotin-streptavidin interaction in order to probe their potential in the development of biosensing devices....

  9. Influence of external magnetic field on the etching of a steel ball in an aqueous solution of nitric acid

    International Nuclear Information System (INIS)

    Yu, Ilchenko M.; Yu, Gorobets O.; Bondar, I.A.; Gaponov, A.M.

    2010-01-01

    The effect of change of shape of a steel ball was revealed as a result of its etching in an aqueous solution of nitric acid under influence of an external magnetic field. The elongation of a ferromagnetic ball was observed along the direction of an external magnetic field while etching took place uniformly in all the directions without magnetic field application. The steel ball etching in a magnetic field is characterized by formation of three cylindrically symmetric regions with different etching rates and surface structures, divided from each other by clear borders (namely, the pole, equator and transition regions are formed). The non-monotone dependences of etching rate, surface structure of a sample and sample shape after etching on an external magnetic field are observed.

  10. Manipulation of local optical properties and structures in molybdenum-disulfide monolayers using electric field-assisted near-field techniques.

    Science.gov (United States)

    Nozaki, Junji; Fukumura, Musashi; Aoki, Takaaki; Maniwa, Yutaka; Yomogida, Yohei; Yanagi, Kazuhiro

    2017-04-05

    Remarkable optical properties, such as quantum light emission and large optical nonlinearity, have been observed in peculiar local sites of transition metal dichalcogenide monolayers, and the ability to tune such properties is of great importance for their optoelectronic applications. For that purpose, it is crucial to elucidate and tune their local optical properties simultaneously. Here, we develop an electric field-assisted near-field technique. Using this technique we can clarify and tune the local optical properties simultaneously with a spatial resolution of approximately 100 nm due to the electric field from the cantilever. The photoluminescence at local sites in molybdenum-disulfide (MoS 2 ) monolayers is reversibly modulated, and the inhomogeneity of the charge neutral points and quantum yields is suggested. We successfully etch MoS 2 crystals and fabricate nanoribbons using near-field techniques in combination with an electric field. This study creates a way to tune the local optical properties and to freely design the structural shapes of atomic monolayers using near-field optics.

  11. Fabrication and characterization of optical-fiber nanoprobes for scanning near-field optical microscopy.

    Science.gov (United States)

    Essaidi, N; Chen, Y; Kottler, V; Cambril, E; Mayeux, C; Ronarch, N; Vieu, C

    1998-02-01

    The current scanning near-field optical microscopy has been developed with optical-fiber probes obtained by use of either laser-heated pulling or chemical etching. For high-resolution near-field imaging, the detected signal is rapidly attenuated as the aperture size of the probe decreases. It is thus important to fabricate probes optimized for both spot size and optical transmission. We present a two-step fabrication that allowed us to achieve an improved performance of the optical-fiber probes. Initially, a CO(2) laser-heated pulling was used to produce a parabolic transitional taper ending with a top thin filament. Then, a rapid chemical etching with 50% buffered hydrofluoric acid was used to remove the thin filament and to result in a final conical tip on the top of the parabolic transitional taper. Systematically, we obtained optical-fiber nanoprobes with the apex size as small as 10 nm and the final cone angle varying from 15 degrees to 80 degrees . It was found that the optical transmission efficiency increases rapidly as the taper angle increases from 15 degrees to 50 degrees , but a further increase in the taper angle gives rise to important broadening of the spot size. Finally, the fabricated nanoprobes were used in photon-scanning tunneling microscopy, which allowed observation of etched double lines and grating structures with periods as small as 200 nm.

  12. [Influence of thermalcycling on bonding durability of self-etch adhesives with dentin].

    Science.gov (United States)

    Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2014-04-18

    To investigate influence of thermalcycling on the bonding durability of two one-step products [Adper Prompt (AP) and G-bond (GB)] and one two-step self-etching adhesive [Clearfil SE bond (SE)] with dentin in vitro. Forty-two extracted human molars were selected. The superficial dentin was exposed by grinding off the enamel. The teeth were randomly distributed into six groups with varied bonding protocols. The adhesives were applied to the dentin surface. Composite crowns were built up, then the samples were cut longitudinally into sticks with 1.0 mm×1.0 mm bonding area [for microtensile bond strength (MTBS) testing] or 1.0 mm thick slabs (for nanoleakage observation). Bonding performance was evaluated with or without thermalcyling. For the MTBS testing, the strength values were statistically analysed using One-Way ANOVA. Four slabs in each group were observed for nanoleakage by SEM with a backscattered electron detector. Thermalcycling procedures affected MTBS. In the two one-step groups, the MTBS decreased significantly (Padhesives showed lower MTBS than two-step bonding system after aging.For AP and GB, continuous nanoleakage appearance was notable and more obvious than for SE. Thermalcycling can affect the bonding performance of self-etch adhesives including decrease of bond strength and nanoleakage pattern. one-step self-etch adhesives showed more obvious change compared with their two-step counterparts.

  13. Shear bond strength of one-step self-etch adhesives: pH influence

    Science.gov (United States)

    Poggio, Claudio; Beltrami, Riccardo; Scribante, Andrea; Colombo, Marco; Chiesa, Marco

    2015-01-01

    Background: The aim of this study was to compare the shear bond strength of four one-step self-etch adhesives with different pH values to enamel and dentin. Materials and Methods: In this in vitro study, 200 bovine permanent mandibular incisors were used. Four one-step self-etch adhesives with different pH values were tested both on enamel and on dentin: Adper™ Easy Bond Self-Etch Adhesive (pH = 0.8-1), Futurabond NR (pH=2), G-aenial Bond (pH = 1.5), Clearfil S3 Bond (pH = 2.7). After adhesive systems application, a nanohybrid composite resin was inserted into the bonded surface. The specimens were placed in a universal testing machine. The shear bond strength was performed at a cross-head speed of 1 mm/min until the sample rupture. The shear bond strength values (MPa) of the different groups were compared with analysis of variance after that Kolmogorov and Smirnov tests were applied to assess normality of distributions. P enamel shear bond strength, the highest shear bond strength values were reported with Futurabond NR (P adhesive systems showed lower shear bond strength values with significant differences between them (P 0.05). Conclusion: The pH values of adhesive systems did not influence significantly their shear bond strength to enamel or dentin. PMID:26005459

  14. Influence of voids in the hybrid layer based on self-etching adhesive systems: a 3-D FE analysis

    Directory of Open Access Journals (Sweden)

    Ana Paula Martini

    2009-01-01

    Full Text Available The presence of porosities at the dentin/adhesive interface has been observed with the use of new generation dentin bonding systems. These porosities tend to contradict the concept that etching and hybridization processes occur equally and simultaneously. Therefore, the aim of this study was to evaluate the micromechanical behavior of the hybrid layer (HL with voids based on a self-etching adhesive system using 3-D finite element (FE analysis. MATERIAL AND METHODS: Three FE models (Mr were built: Mr, dentin specimen (41x41x82 μm with a regular and perfect (i.e. pore-free HL based on a self-etching adhesive system, restored with composite resin; Mp, similar to M, but containing 25% (v/v voids in the HL; Mpp, similar to Mr, but containing 50% (v/v voids in the HL. A tensile load (0.03N was applied on top of the composite resin. The stress field was obtained by using Ansys Workbench 10.0. The nodes of the base of the specimen were constrained in the x, y and z axes. The maximum principal stress (σmax was obtained for all structures at the dentin/adhesive interface. RESULTS: The Mpp showed the highest peak of σmax in the HL (32.2 MPa, followed by Mp (30 MPa and Mr (28.4 MPa. The stress concentration in the peritubular dentin was high in all models (120 MPa. All other structures positioned far from voids showed similar increase of stress. CONCLUSION: Voids incorporated into the HL raised the σmax in this region by 13.5%. This behavior might be responsible for lower bond strengths of self-etching and single-bottle adhesives, as reported in the literature.

  15. Deterministic self-organization: Ordered positioning of InAs quantum dots by self-organized anisotropic strain engineering on patterned GaAs(311)B

    International Nuclear Information System (INIS)

    Selcuk, E.; Hamhuis, G.J.; Noetzel, R.

    2009-01-01

    Laterally ordered InGaAs quantum dot (QD) arrays, InAs QD molecules, and single InAs QDs in a spot-like periodic arrangement are created by self-organized anisotropic strain engineering of InGaAs/GaAs superlattice (SL) templates on planar GaAs (311)B substrates in molecular beam epitaxy. On shallow- and deep-patterned substrates the respectively generated steps and facets guide the self-organization process during SL template formation to create more complex ordering such as periodic stripes, depending on pattern design. Here we demonstrate for patterns such as shallow- and deepetched round holes and deep-etched zigzag mesas that the self-organized periodic arrangement of QD molecules and single QDs is spatially locked to the pattern sidewalls and corners. This extends the concept of guided self-organization to deterministic self-organization. Absolute position control of the QDs is achieved without one-to-one pattern definition. This guarantees the excellent arrangement control of the ordered QD molecules and single QDs with strong photoluminescence emission up to room temperature, which is required for future quantum functional devices. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  17. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  18. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  19. Self-organization of porphyrin units induced by magnetic field during sol-gel polymerization.

    Science.gov (United States)

    Lerouge, Frédéric; Cerveau, Geneviève; Corriu, Robert J P; Stern, Christine; Guilard, Roger

    2007-04-21

    The use of a magnetic field as a controlling factor during the hydrolysis-polycondensation of porphyrin precursors substituted by Si(OR)(3) groups, induces a self-organization of porphyrin moieties due to the stacking of these units in the hybrid material and this study also confirms the effect of the magnetic field in the nano- and micrometric organization during the kinetically controlled polycondensation process.

  20. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  1. Four-year clinical evaluation of Class II nano-hybrid resin composite restorations bonded with a one-step self-etch and a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2011-01-01

    The objective of this prospective clinical trial was to evaluate the 4-year clinical performance of an ormocer-based nano-hybrid resin composite (Ceram X; Dentsply/DeTrey) in Class II restorations placed with a one-step self-etch (Xeno III; Dentsply/DeTrey) and two-step etch-and-rinse adhesive (I...

  2. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  3. Dynamical system with plastic self-organized velocity field as an alternative conceptual model of a cognitive system.

    Science.gov (United States)

    Janson, Natalia B; Marsden, Christopher J

    2017-12-05

    It is well known that architecturally the brain is a neural network, i.e. a collection of many relatively simple units coupled flexibly. However, it has been unclear how the possession of this architecture enables higher-level cognitive functions, which are unique to the brain. Here, we consider the brain from the viewpoint of dynamical systems theory and hypothesize that the unique feature of the brain, the self-organized plasticity of its architecture, could represent the means of enabling the self-organized plasticity of its velocity vector field. We propose that, conceptually, the principle of cognition could amount to the existence of appropriate rules governing self-organization of the velocity field of a dynamical system with an appropriate account of stimuli. To support this hypothesis, we propose a simple non-neuromorphic mathematical model with a plastic self-organized velocity field, which has no prototype in physical world. This system is shown to be capable of basic cognition, which is illustrated numerically and with musical data. Our conceptual model could provide an additional insight into the working principles of the brain. Moreover, hardware implementations of plastic velocity fields self-organizing according to various rules could pave the way to creating artificial intelligence of a novel type.

  4. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    Science.gov (United States)

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  5. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    Science.gov (United States)

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  6. The effect of magnetic field on the shape of etch pits of paracetamol crystals

    Energy Technology Data Exchange (ETDEWEB)

    Ivashchenko, V.E. [Kemerovo State University, Novosibirsk (Russian Federation); Research and Educational Center, Novosibirsk State University (Russian Federation); Boldyrev, V.V.; Shakhtshneider, T.P. [Institute of Solid State Chemistry and Mechanochemistry, RAS, Novosibirsk (Russian Federation); Zakharov, Yu.A.; Krasheninin, V.I. [Kemerovo State University, Novosibirsk (Russian Federation); Ermakov, A.E. [Institute of Physics of Metals, Ural Branch of RAS, Ekaterinburg (Russian Federation)

    2002-04-01

    In the present study we investigate the effect of magnetic field on the shape of etch pits of the crystals of p-hydroxyacetanilide (paracetamol), which is widely used in pharmacy as antipyretic, antiphlogistic medicine. It was discovered that the magnetic field (H=0.5 T, {tau}=15 min) changes the morphology of etch pits and shifts dislocations in paracetamol crystal. Activation energy of the changes induced by the action of the magnetic field was determined to be 63 kJ/mol, which is comparable with the energy of hydrogen bonds in crystal lattice. (orig.)

  7. Eight-year randomized clinical evaluation of Class II nanohybrid resin composite restorations bonded with a one-step self-etch or a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan WV; Pallesen, Ulla

    2015-01-01

    (13.5 %) and nine in the two-step etch-and-rinse group (13.0 %). This resulted in nonsignificant different annual failure rates of 1.69 and 1.63 %, respectively. Fracture of restoration was the main reason for failure. Conclusion: Good clinical performance was shown during the 8-year evaluation....... Results: One hundred and fifty-eight restorations were evaluated after 8 years. Three participants with five restorations (three Xeno III, two Excite) were registered as dropouts. Twenty-one failed restorations (13.3 %) were observed during the follow-up. Twelve in the one-step self-etch adhesive group...... and no significant difference in overall clinical performance between the two adhesives. Fracture was the main reason for failure. Clinical relevance: The one-step self-etch adhesive showed a good long-term clinical effectiveness in combination with the nanohybrid resin composite in Class II restorations....

  8. Influence of hydrostatic pulpal pressure on the microtensile bond strength of all-in-one self-etching adhesives.

    Science.gov (United States)

    Hosaka, Keiichi; Nakajima, Masatoshi; Monticelli, Francesca; Carrilho, Marcela; Yamauti, Monica; Aksornmuang, Juthatip; Nishitani, Yoshihiro; Tay, Franklin R; Pashley, David H; Tagami, Junji

    2007-10-01

    To evaluate the microtensile bond strength (microTBS) of two all-in-one self-etching adhesive systems and two self-etching adhesives with and without simulated hydrostatic pulpal pressure (PP). Flat coronal dentin surfaces of extracted human molars were prepared. Two all-in-one self-etching adhesive systems, One-Up Bond F (OBF; Tokuyama) and Clearfil S3 Bond (Tri-S, Kuraray Medical) and two self-etching primer adhesives, Clearfil Protect Bond (PB; Kuraray) and Clearfil SE Bond (SE; Kuraray) were applied to the dentin surfaces according to manufacturers' instructions under either a pulpal pressure (PP) of zero or 15 cm H2O. A hybrid resin composite (Clearfil AP-X, Kuraray) was used for the coronal buildup. Specimens bonded under PP were stored in water at 37 degrees C under 15 cm H2O for 24 h. Specimens not bonded under PP were stored under a PP of zero. After storage, the bonded specimens were sectioned into slabs that were trimmed to hourglass-shaped specimens, and were subjected to microtensile bond testing (microTBS). The bond strength data were statistically analyzed using two-way ANOVA and the Holm-Sidak method for multiple comparison tests (alpha = 0.05). The surface area percentage of different failure modes for each material was also statistically analyzed with three one-way ANOVAs and Tukey's multiple comparison tests. The microTBS of OBF and Tri-S fell significantly under PP. However, in the, PB and SE bonded specimens under PP, there were no significant differences compared with the control groups without PP. The microTBS of the two all-in-one adhesive systems decreased when PP was applied. However, the microTBS of both self-etching primer adhesives did not decrease under PP.

  9. Clinical Evaluation of a Self-Etch Adhesive System in Non-Carious ...

    African Journals Online (AJOL)

    Objective: To evaluate the clinical performance of a selfetch adhesive system – Adper's Prompt L-Pop in noncarious cervical lesions over a six months period. Method: One hundred and eighty-one (181) restorations were placed by one operator for 35 patients. The self-etch adhesive was applied to the cavity and composite ...

  10. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  11. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  12. Controlling the dynamics of a self-organized structure using a rf-field

    International Nuclear Information System (INIS)

    Talasman, S.J.; Ignat, M.

    2004-01-01

    We investigate the influence of an external rf-field upon a plasma self-organized structure. We show that depending on the intensity of this field, though it is at very low values, the dynamics of the structure can be easily controlled over a wide range of the state parameters values. This could be considered as a non-feedback method of dynamics control

  13. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  14. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  15. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  16. Effect of chlorhexidine on the shear bond strength of self-etch ...

    African Journals Online (AJOL)

    The aim of this study was to investigate the effect of chlorhexidine on shear bond strength of self-etch adhesives to dentin. The crowns of 60 sound human premolars were horizontally sectioned to expose the coronal dentin. Dentin surfaces were polished with 320 grit silicon carbide papers, and were randomly divided into 4 ...

  17. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  18. Bond strength of etch-and-rinse and self-etch adhesive systems to enamel and dentin irradiated with a novel CO2 9.3 μm short-pulsed laser for dental restorative procedures.

    Science.gov (United States)

    Rechmann, Peter; Bartolome, N; Kinsel, R; Vaderhobli, R; Rechmann, B M T

    2017-12-01

    The objective of this study was to evaluate the influence of CO 2 9.3 μm short-pulsed laser irradiation on the shear bond strength of composite resin to enamel and dentin. Two hundred enamel and 210 dentin samples were irradiated with a 9.3 µm carbon dioxide laser (Solea, Convergent Dental, Inc., Natick, MA) with energies which either enhanced caries resistance or were effective for ablation. OptiBond Solo Plus [OptiBondTE] (Kerr Corporation, Orange, CA) and Peak Universal Bond light-cured adhesive [PeakTE] (Ultradent Products, South Jordan, UT) were used. In addition, Scotchbond Universal [ScotchbondSE] (3M ESPE, St. Paul, MN) and Peak SE self-etching primer with Peak Universal Bond light-cured adhesive [PeakSE] (Ultradent Products) were tested. Clearfil APX (Kuraray, New York, NY) was bonded to the samples. After 24 h, a single plane shear bond test was performed. Using the caries preventive setting on enamel resulted in increased shear bond strength for all bonding agents except for self-etch PeakSE. The highest overall bond strength was seen with PeakTE (41.29 ± 6.04 MPa). Etch-and-rinse systems achieved higher bond strength values to ablated enamel than the self-etch systems did. PeakTE showed the highest shear bond strength with 35.22 ± 4.40 MPa. OptiBondTE reached 93.8% of its control value. The self-etch system PeakSE presented significantly lower bond strength. The shear bond strength to dentin ranged between 19.15 ± 3.49 MPa for OptiBondTE and 43.94 ± 6.47 MPa for PeakSE. Etch-and-rinse systems had consistently higher bond strength to CO 2 9.3 µm laser-ablated enamel. Using the maximum recommended energy for dentin ablation, the self-etch system PeakSE reached the highest bond strength (43.9 ± 6.5 MPa).

  19. [Evaluation of the effect of one-step self etching adhesives applied in pit and fissure sealing].

    Science.gov (United States)

    Su, Hong-Ru; Xu, Pei-Cheng; Qian, Wen-Hao

    2016-06-01

    To observe the effect of three one-step self etching adhesive systems used in fit and fissure sealant and explore the feasibility of application in caries prevention in school. Seven hundred and twenty completely erupted mandibular first molars in 360 children aged 7 to 9 years old were chosen. The split-mouth design was used to select one side as the experimental group, divided into A1(Easy One Adper), B1(Adper Easy One), and C1(iBond SE).The contra lateral teeth served as A2,B2 and C2 groups (phosphoric acid). The retention and caries status were regularly reviewed .The clinical effect of the two groups was compared using SPSS19.0 software package for Chi - square test. At 3 and 6 months, pit and fissure sealant retention rate in A1 and A2, B1 and B2,C1 and C2 group had no significant difference. At 12 months, sealant retention in A1 and B1 group was significantly lower than A2 and B2 group (P0.05). At 24 months, sealant retention rate in A1, B1 and C1 group was significantly lower than A2, B2 and C2 group (P0.05). The clinical anticariogenic effect of three kinds of one-step etching adhesives and phosphoric acid etching sealant was similar .One-step self etching adhesive system was recommended for pit and fissure sealant to improve the students' oral health. The long-term retention rate of one-step self etching adhesive system was lower than the phosphoric acid method to long term observation is needed.

  20. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    Science.gov (United States)

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  1. Effect of a whitening agent application on enamel bond strength of self-etching primer systems.

    Science.gov (United States)

    Miyazaki, Masashi; Sato, Hikaru; Sato, Tomomi; Moore, B Keith; Platt, Jeffrey A

    2004-06-01

    Though reduction in bond strength after tooth whitening has been reported, little is known about it's effect on enamel bond strength of two-step bonding systems that exclude phosphoric acid etching prior to bonding agent application. The purpose of this study was to determine the effect of whitening procedure using an in-office whitening agent on enamel bond strength of self-etching primer systems. Three self-etching primer systems, Imperva Fluoro Bond, Mac Bond II, Clearfil SE Bond, and a one-bottle adhesive system Single Bond as a control material, were used. Bovine mandibular incisors were mounted in self-curing resin and the facial enamel or dentin surfaces were ground wet on 600-grit SiC paper. An in-office whitening agent, Hi-Lite was applied on the tooth surface according to the manufacturer's instruction. Bonding procedures were done soon after rinsing off the whitening agent or after 24 hours storage in distilled water. Specimens without whitening procedure were prepared as controls. Fifteen specimens per test group were stored in 37 degrees C distilled water for 24 hours, then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Duncan multiple range test were used for statistical analysis of the results. For the specimens made soon after rinsing off the whitening agent, a significant decrease in enamel bond strength was observed for all the bonding systems used. For the specimens made after 24 hours storage in water, a small decrease in enamel bond strength was observed and no significant differences were found compared to those of controls (without whitening). From the results of this study, enamel bond strengths of the self-etching primer systems might be affected to a lesser degree after rinsing with water followed by 24 hours storage in water.

  2. Influence of the number of cycles on shear fatigue strength of resin composite bonded to enamel and dentin using dental adhesives in self-etching mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Erickson, Robert L; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-01-30

    The influence of the number of cycles on shear fatigue strength to enamel and dentin using dental adhesives in self-etch mode was investigated. A two-step self-etch adhesive and two universal adhesives were used to bond to enamel and dentin in self-etch mode. Initial shear bond strength and shear fatigue strength to enamel and dentin using the adhesive in self-etch mode were determined. Fatigue testing was used with 20 Hz frequency and cycling periods of 50,000, 100,000 and 1,000,000 cycles, or until failure occurred. For each of the cycling periods, there was no significant difference in shear fatigue strength across the cycling periods for the individual adhesives. Differences in shear fatigue strength were found between the adhesives within the cycling periods. Regardless of the adhesive used in self-etch mode for bonding to enamel or dentin, shear fatigue strength was not influenced by the number of cycles used for shear fatigue strength testing.

  3. Effect of alternative modes of application on microleakage of one-step self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Mustafa Ulker

    2013-12-01

    Conclusion: Alternative modes of application did not adversely affect the sealing ability of one-step self-etch adhesives. Depending on the type of adhesive, alternative modes of application may contribute to low microleakage values.

  4. The influence of organic materials on the near field of an intermediate level radioactive waste repository

    International Nuclear Information System (INIS)

    Wilkins, J.D.

    1988-01-01

    The influence of organic materials which are present in some intermediate level wastes on the chemistry of the near field of a radioactive waste repository is discussed. Particular attention is given to the possible formation of water soluble complexing agents as a result of the radiation field and chemical conditions. The present state of the research is reviewed. (author)

  5. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  6. Field-effect transistors based on self-organized molecular nanostripes

    DEFF Research Database (Denmark)

    Cavallini, M.; Stoliare, P.; Moulin, J.-F.

    2005-01-01

    Charge transport properties in organic semiconductors depend strongly on molecular order. Here we demonstrate field-effect transistors where drain current flows through a precisely defined array of nanostripes made of crystalline and highly ordered molecules. The molecular stripes are fabricated ...... by the menisci once the critical concentration is reached and self-organizes into molecularly ordered stripes 100-200 nm wide and a few monolayers high. The charge mobility measured along the stripes is 2 orders of magnitude larger than the values measured for spin-coated thin films....... across the channel of the transistor by a stamp-assisted deposition of the molecular semiconductors from a solution. As the solvent evaporates, the capillary forces drive the solution to form menisci under the stamp protrusions. The solute precipitates only in the regions where the solution is confined...

  7. Effect of a Desensitizing Varnish on Microleakage of Two Self-Etch Adhesives

    Directory of Open Access Journals (Sweden)

    Anna Saffarpour

    2016-08-01

    Full Text Available Objectives: The aim of this in-vitro experimental study was to assess the effect of application of a desensitizing varnish on the enamel and dentin marginal seal.Materials and Methods: Seventy-two freshly extracted, intact human premolar teeth were divided into four groups (n=18. Class V cavities (3mm in length, 2mm in width and 2mm in depth were prepared on the buccal surface of each tooth. The following sealing materials were applied in the four groups: One-step Clearfil S3 Bond (S3 self-etch adhesive, two-step Clearfil SE Bond (SE self-etch adhesive, S3 Bond+ VivaSens desensitizing varnish (VS+S3 and Clearfil SE Bond + VivaSens (VS+SE. The cavities on the teeth were then incrementally filled with Z350 light-cure composite. The teeth were stored in distilled water for 24 hours at 37°C, and were then thermocycled for 1000 cycles. Then, all the specimens were prepared for dye penetration test and were immersed in 2% basic fuchsin dye and incubated at 37°C for 24 hours. The teeth were then sectioned buccolingually along the center of restorations with a diamond disk.   Microleakage at the tooth-restoration interface was assessed in the enamel and dentin margins blindly using dye penetration under a stereomicroscope at ×20 magnification.Results: There was significantly greater leakage at the enamel and dentin margins in group VS+SE than in group SE; also, these values were higher in group VS+S3 than in S3.Conclusion: Combined application of desensitizing varnish and self-etch adhesives seems to increase microleakage in composite restorations. Thus, its application is not suggested.

  8. Active application of primer acid on acid-treated enamel: Influence on the bond effectiveness of self-etch adhesives systems.

    Science.gov (United States)

    Araújo, Cíntia Tereza Pimenta; Prieto, Lúcia Trazzi; Costa, Daiane Cristianismo; Bosso, Mariana Avalone; Coppini, Erick Kamiya; Dias, Carlos Tadeu Santos; Paulillo, Luis Alexandre Maffei Sartini

    2017-08-01

    Evaluate the composite-to-enamel bond after passive or active application of self-etching primer systems on polished or pre-etched enamel with phosphoric acid. Two self-etch adhesives systems (SEAS) were used: Clearfil SE Bond and Easy Bond. Third human molars were divided into 8 groups (N = 10). The crown of each tooth was sectioned into halves and the mesial/distal surfaces were used. The adhesives were actively or passively applied on enamel with or without prior phosphoric-acid etching. Resin composite cylinders were built after adhesive application. After stored in relative humidity for 24 hr/37°C the specimens were subjected to microshear test in universal testing a machine at a crosshead speed of 0.5 mm/minute. The results were analyzed with three-way ANOVA and the Tukey test. The enamel-etching pattern was evaluated under SEM. The 2-step SEAS system presented significantly higher adhesive bond strength means (47.37 MPa) than the 1-step (36.87 MPa). A poor enamel- etching pattern was observed in active mode showing irregular and short resin tags, however there was not compromised the bond strength. Active or passive application produced similar values of bond strength to enamel regardless of enamel pretreatment and type of SEAS. © 2017 Wiley Periodicals, Inc.

  9. Two methods to simulate intrapulpal pressure: effects upon bonding performance of self-etch adhesives.

    Science.gov (United States)

    Feitosa, V P; Gotti, V B; Grohmann, C V; Abuná, G; Correr-Sobrinho, L; Sinhoreti, M A C; Correr, A B

    2014-09-01

    To evaluate the effects of two methods to simulate physiological pulpal pressure on the dentine bonding performance of two all-in-one adhesives and a two-step self-etch silorane-based adhesive by means of microtensile bond strength (μTBS) and nanoleakage surveys. The self-etch adhesives [G-Bond Plus (GB), Adper Easy Bond (EB) and silorane adhesive (SIL)] were applied to flat deep dentine surfaces from extracted human molars. The restorations were constructed using resin composites Filtek Silorane or Filtek Z350 (3M ESPE). After 24 h using the two methods of simulated pulpal pressure or no pulpal pressure (control groups), the bonded teeth were cut into specimens and submitted to μTBS and silver uptake examination. Results were analysed with two-way anova and Tukey's test (P adhesives. No difference between control and pulpal pressure groups was found for SIL and GB. EB led significant drop (P = 0.002) in bond strength under pulpal pressure. Silver impregnation was increased after both methods of simulated pulpal pressure for all adhesives, and it was similar between the simulated pulpal pressure methods. The innovative method to simulate pulpal pressure behaved similarly to the classic one and could be used as an alternative. The HEMA-free one-step and the two-step self-etch adhesives had acceptable resistance against pulpal pressure, unlike the HEMA-rich adhesive. © 2013 International Endodontic Journal. Published by John Wiley & Sons Ltd.

  10. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  11. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Horieh Moosavi

    2013-05-01

    Full Text Available Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO, Clearfil S3 Bond (CSB, Bond Force (BF. Each main group divided into three subgroups regarding the air-drying time: without application of air stream, following the manufacturer's instruction, for 10 sec more than manufacturer's instruction. After completion of restorations, specimens were thermocycled and then connected to a fluid filtration system to evaluate microleakage. The data were statistically analyzed using two-way ANOVA and Tukey-test (α = 0.05. Results The microleakage of all adhesives decreased when the air-drying time increased from 0 sec to manufacturer's instruction (p < 0.001. The microleakage of BF reached its lowest values after increasing the drying time to 10 sec more than the manufacturer's instruction (p < 0.001. Microleakage of OBAO and CSB was significantly lower compared to BF in all three drying time (p < 0.001. Conclusions Increasing in air-drying time of adhesive layer in one-step self-etch adhesives caused reduction of microleakage, but the amount of this reduction may be dependent on the adhesive components of self-etch adhesives.

  12. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  13. Near-field scanning optical microscopy using polymethylmethacrylate optical fiber probes

    International Nuclear Information System (INIS)

    Chibani, H.; Dukenbayev, K.; Mensi, M.; Sekatskii, S.K.; Dietler, G.

    2010-01-01

    We report the first use of polymethylmethacrylate (PMMA) optical fiber-made probes for scanning near-field optical microscopy (SNOM). The sharp tips were prepared by chemical etching of the fibers in ethyl acetate, and the probes were prepared by proper gluing of sharpened fibers onto the tuning fork in the conditions of the double resonance (working frequency of a tuning fork coincides with the resonance frequency of dithering of the free-standing part of the fiber) reported earlier for the case of glass fibers. Quality factors of the probes in the range 2000-6000 were obtained, which enables the realization of an excellent topographical resolution including state-of-art imaging of single DNA molecules. Near-field optical performance of the microscope is illustrated by the Photon Scanning Tunneling Microscope images of fluorescent beads with a diameter of 100 nm. The preparation of these plastic fiber probes proved to be easy, needs no hazardous material and/or procedures, and typical lifetime of a probe essentially exceeds that characteristic for the glass fiber probe.

  14. The influence of organic materials on the near field of an intermediate level waste radioactive waste repository

    International Nuclear Information System (INIS)

    Wilkins, J.D.

    1988-02-01

    The influence of organic materials, which are present in some intermediate level wastes, on the chemistry of the near field of a radioactive waste repository is discussed. Particular attention is given to the possible formation of water soluble complexing agents formed as a result of the radiation field and chemical conditions. The present state of the research is reviewed. (author)

  15. Enamel microhardness and bond strengths of self-etching primer adhesives.

    Science.gov (United States)

    Adebayo, Olabisi A; Burrow, Michael F; Tyas, Martin J; Adams, Geoffrey G; Collins, Marnie L

    2010-04-01

    The aim of this study was to determine the relationship between enamel surface microhardness and microshear bond strength (microSBS). Buccal and lingual mid-coronal enamel sections were prepared from 22 permanent human molars and divided into two groups, each comprising the buccal and lingual enamel from 11 teeth, to analyze two self-etching primer adhesives (Clearfil SE Bond and Tokuyama Bond Force). One-half of each enamel surface was tested using the Vickers hardness test with 10 indentations at 1 N and a 15-s dwell time. A hybrid resin composite was bonded to the other half of the enamel surface with the adhesive system assigned to the group. After 24 h of water storage of specimens at 37 degrees C, the microSBS test was carried out on a universal testing machine at a crosshead speed of 1 mm min(-1) until bond failure occurred. The mean microSBS was regressed on the mean Vickers hardness number (VHN) using a weighted regression analysis in order to explore the relationship between enamel hardness and microSBS. The weights used were the inverse of the variance of the microSBS means. Neither separate correlation analyses for each adhesive nor combined regression analyses showed a significant correlation between the VHN and the microSBS. These results suggest that the microSBS of the self-etch adhesive systems are not influenced by enamel surface microhardness.

  16. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  17. LET spectrometry with track etch detectors-Use in high-energy radiation fields

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2008-01-01

    For assessing the risk from ionizing radiation it is necessary to know not only the absorbed dose but also the quality of the radiation; radiation quality is connected with the physical quantity linear energy transfer (LET). One of the methods of determination of LET is based on chemically etched track detectors. This contribution concerns with a spectrometer of LET based on the track detectors and discusses some results obtained at: ·high-energy radiation reference field created at the SPS accelerator at CERN; and ·onboard of International Space Station where track-etch based LET spectrometer has been exposed 273 days during 'Matrjoshka - R' experiment. Results obtained are compared with the results of studies at some lower-energy neutron sources; some conclusions on the registrability of neutrons and the ability of this spectrometer to determine dose equivalent in high-energy radiation fields are formulated

  18. Enhanced density of optical data storage using near-field concept: fabrication and test of nanometric aperture array

    International Nuclear Information System (INIS)

    Cha, J.; Park, J. H.; Kim, Myong R.; Jhe, W.

    1999-01-01

    We have tried to enhance the density of the near-field optical memory and to improve the recording/readout speed. The current optical memory has the limitation in both density and speed. This barrier due to the far-field nature can be overcome by the use of near-field. The optical data storage density can be increased by reducing the size of the nanometric aperture where the near-field is obtained. To fabricate the aperture in precise dimension, we applied the orientation-dependent / anisotropic etching property of crystal Si often employed in the field of MEMS. And so we fabricated the 10 x 10 aperture array. This array will be also the indispensable part for speeding up. One will see the possibility of the multi-tracking pickup in the phase changing type memory through this array. This aperture array will be expected to write the bit-mark whose size is about 100 nm. We will show the recent result obtained. (author)

  19. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  20. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  1. Topology assisted self-organization of colloidal nanoparticles: application to 2D large-scale nanomastering

    Directory of Open Access Journals (Sweden)

    Hind Kadiri

    2014-08-01

    Full Text Available Our aim was to elaborate a novel method for fully controllable large-scale nanopatterning. We investigated the influence of the surface topology, i.e., a pre-pattern of hydrogen silsesquioxane (HSQ posts, on the self-organization of polystyrene beads (PS dispersed over a large surface. Depending on the post size and spacing, long-range ordering of self-organized polystyrene beads is observed wherein guide posts were used leading to single crystal structure. Topology assisted self-organization has proved to be one of the solutions to obtain large-scale ordering. Besides post size and spacing, the colloidal concentration and the nature of solvent were found to have a significant effect on the self-organization of the PS beads. Scanning electron microscope and associated Fourier transform analysis were used to characterize the morphology of the ordered surfaces. Finally, the production of silicon molds is demonstrated by using the beads as a template for dry etching.

  2. Fields of Tension in a Boundary-Crossing World: Towards a Democratic Organization of the Self.

    Science.gov (United States)

    Hermans, Hubert J M; Konopka, Agnieszka; Oosterwegel, Annerieke; Zomer, Peter

    2017-12-01

    In their study of the relationship between self and society, scientists have proposed taking society as a metaphor for understanding the dynamics of the self, such as the analogy between the self and the functioning of a totalitarian state or the analogy between the self and the functioning of a bureaucratic organization. In addition to these models, the present article proposes a democratic society as a metaphor for understanding the workings of a dialogical self in a globalizing, boundary-crossing world. The article follows four steps. In the first step the self is depicted as extended to the social and societal environment and made up of fields of tension in which a multiplicity of self-positions are involved in processes of positioning and counter-positioning and in relationships of social power. In the second step, the fertility of the democratic metaphor is demonstrated by referring to theory and research from three identity perspectives: multicultural, multiracial, and transgender. In the fields of tension emerging between the multiplicity of self-positions, new, hybrid, and mixed identities have a chance to emerge as adaptive responses to the limitations of existing societal structures. In the third step, we place the democratic self in a broader societal context by linking three levels of inclusiveness, proposed by Self-Categorization Theory (personal, social, and human) to recent conceptions of a cosmopolitan democracy. In the fourth and final step, a model is presented which allows the formulation of a series of specific research questions for future studies of a democratically organized self.

  3. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2014-08-01

    Full Text Available Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV exposure and backside-lift-off (BLO schemes can not only prevent the damage when etching the source/drain (S/D electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs exhibit comparable field-effect mobility (9.5 cm2/V·s, threshold voltage (3.39 V, and subthreshold swing (0.3 V/decade. The delay time of an inverter fabricated using the proposed process was considerably decreased.

  4. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  5. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  6. Fabrication of Light Extraction Efficiency of Organic Light-Emitting Diodes with 3D Aspherical Microlens by Using Dry Etching Process

    Directory of Open Access Journals (Sweden)

    Y. C. Chen

    2013-01-01

    Full Text Available organic light-emitting diode (OLED can enable a greater artificial contrast ratio and viewing angle compared to liquid crystal display (LCD because OLED pixels directly emit light. There is a shortcoming that the internal quantum efficiency can reach values close to 100%, but about 80% light disperses because of the difference among the refractive indices of the substrate, anode, indium tin oxide (ITO film, and air. In this paper, three dimensions aspherical microlens arrays (3D A-MLAs with substrate modifications are developed to simulate the optical luminous field by using FRED software. This study modified parameters of 3D A-MLAs such as the diameter, fill-factor, aspect ratio, dry etching parameters, and electroforming rates of microlens to improve the extraction efficiency of the OLED. In dry etching, not only the aspect ratio with better extraction rate can be obtained by reactive ion etching (RIE dry etching, but also an undercutting phenomenon can be avoided. The dimensions of 3D A-MLAs can be accurately controlled in the electroforming process used to make a nickel-cobalt (Ni-Co metal mold to achieve the designed dimensions. According to the measured results, the average luminance efficacy of the OLEDs with 3D A-MLAs can be enhanced.

  7. PREFACE: Self-organized nanostructures

    Science.gov (United States)

    Rousset, Sylvie; Ortega, Enrique

    2006-04-01

    In order to fabricate ordered arrays of nanostructures, two different strategies might be considered. The `top-down' approach consists of pushing the limit of lithography techniques down to the nanometre scale. However, beyond 10 nm lithography techniques will inevitably face major intrinsic limitations. An alternative method for elaborating ultimate-size nanostructures is based on the reverse `bottom-up' approach, i.e. building up nanostructures (and eventually assemble them to form functional circuits) from individual atoms or molecules. Scanning probe microscopies, including scanning tunnelling microscopy (STM) invented in 1982, have made it possible to create (and visualize) individual structures atom by atom. However, such individual atomic manipulation is not suitable for industrial applications. Self-assembly or self-organization of nanostructures on solid surfaces is a bottom-up approach that allows one to fabricate and assemble nanostructure arrays in a one-step process. For applications, such as high density magnetic storage, self-assembly appears to be the simplest alternative to lithography for massive, parallel fabrication of nanostructure arrays with regular sizes and spacings. These are also necessary for investigating the physical properties of individual nanostructures by means of averaging techniques, i.e. all those using light or particle beams. The state-of-the-art and the current developments in the field of self-organization and physical properties of assembled nanostructures are reviewed in this issue of Journal of Physics: Condensed Matter. The papers have been selected from among the invited and oral presentations of the recent summer workshop held in Cargese (Corsica, France, 17-23 July 2005). All authors are world-renowned in the field. The workshop has been funded by the Marie Curie Actions: Marie Curie Conferences and Training Courses series named `NanosciencesTech' supported by the VI Framework Programme of the European Community, by

  8. Near field fluid coupling between internal motion of the organ of Corti and the basilar membrane

    Energy Technology Data Exchange (ETDEWEB)

    Elliott, Stephen J.; Ni, Guangjian [Institute of Sound and Vibration Research, University of Southampton, Southampton (United Kingdom)

    2015-12-31

    The pressure distribution in each of the fluid chambers of the cochlea can be decomposed into a 1D, or plane wave, component and a near field component, which decays rapidly away from the excitation point. The transverse motion of the basilar membrane, BM, for example, generates both a 1D pressure field, which couples into the slow wave, and a local near field pressure, proportional to the BM acceleration, that generates an added mass on the BM due to the fluid motion. When the organ of Corti, OC, undergoes internal motion, due for example to outer hair cell activity, this motion will not itself generate any 1D pressure if the OC is incompressible and the BM is constrained not to move volumetrically, and so will not directly couple into the slow wave. This motion will, however, generate a near field pressure, proportional to the OC acceleration, which will act on the OC and thus increases its effective mass. The near field pressure due to this OC motion will also act on the BM, generating a force on the BM proportional to the acceleration of the OC, and thus create a “coupling mass” effect. By reciprocity, this coupling mass is the same as that acting on the OC due to the motion of the BM. This near field fluid coupling is initially observed in a finite element model of a slice of the cochlea. These simulations suggest a simple analytical formulation for the fluid coupling, using higher order beam modes across the width of the cochlear partition. It is well known that the added mass due to the near field pressure dominates the overall mass of the BM, and thus significantly affects the micromechanical dynamics. This work not only quantifies the added mass of the OC due its own motion in the fluid, and shows that this is important, but also demonstrates that the coupling mass effect between the BM and OC significantly affects the dynamics of simple micromechanical models.

  9. Self-Organization in Embedded Real-Time Systems

    CERN Document Server

    Brinkschulte, Uwe; Rettberg, Achim

    2013-01-01

    This book describes the emerging field of self-organizing, multicore, distributed and real-time embedded systems.  Self-organization of both hardware and software can be a key technique to handle the growing complexity of modern computing systems. Distributed systems running hundreds of tasks on dozens of processors, each equipped with multiple cores, requires self-organization principles to ensure efficient and reliable operation. This book addresses various, so-called Self-X features such as self-configuration, self-optimization, self-adaptation, self-healing and self-protection. Presents open components for embedded real-time adaptive and self-organizing applications; Describes innovative techniques in: scheduling, memory management, quality of service, communications supporting organic real-time applications; Covers multi-/many-core embedded systems supporting real-time adaptive systems and power-aware, adaptive hardware and software systems; Includes case studies of open embedded real-time self-organizi...

  10. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  11. Waveguide analysis of heat-drawn and chemically etched probe tips for scanning near-field optical microscopy.

    Science.gov (United States)

    Moar, Peter N; Love, John D; Ladouceur, François; Cahill, Laurence W

    2006-09-01

    We analyze two basic aspects of a scanning near-field optical microscope (SNOM) probe's operation: (i) spot-size evolution of the electric field along the probe with and without a metal layer, and (ii) a modal analysis of the SNOM probe, particularly in close proximity to the aperture. A slab waveguide model is utilized to minimize the analytical complexity, yet provides useful quantitative results--including losses associated with the metal coating--which can then be used as design rules.

  12. Enhanced field emission of ZnO nanoneedle arrays via solution etching at room temperature

    DEFF Research Database (Denmark)

    Ma, Huanming; Qin, Zhiwei; Wang, Zaide

    2017-01-01

    ZnO nanoneedle arrays (ZnO nns) were synthesized by a facile two-step solution-phase method based on the etching of pre-synthesized ZnO nanowire arrays (ZnO nws) with flat ends at room temperature. Field emission measurement results showed that the turn-on electronic fields of ZnO nns and nws wer...

  13. Patterned FePt nanostructures using ultrathin self-organized templates

    Science.gov (United States)

    Deng, Chen Hua; Zhang, Min; Wang, Fang; Xu, Xiao Hong

    2018-02-01

    Patterned magnetic thin films are both scientifically interesting and technologically useful. Ultrathin self-organized anodic aluminum oxide (AAO) template can be used to fabricate large area nanodot and antidot arrays. The magnetic properties of these nanostructures may be tuned by the morphology of the AAO template, which in turn can be controlled by synthetic parameters. In this work, ultrathin AAO templates were used as etching masks for the fabrication of both FePt nanodot and antidot arrays with high areal density. The perpendicular magnetic anisotropy of L10 FePt thin films are preserved in the nanostructures.

  14. Self-Organization and the Self-Assembling Process in Tissue Engineering

    Science.gov (United States)

    Eswaramoorthy, Rajalakshmanan; Hadidi, Pasha; Hu, Jerry C.

    2015-01-01

    In recent years, the tissue engineering paradigm has shifted to include a new and growing subfield of scaffoldless techniques which generate self-organizing and self-assembling tissues. This review aims to provide a cogent description of this relatively new research area, with special emphasis on applications toward clinical use and research models. Particular emphasis is placed on providing clear definitions of self-organization and the self-assembling process, as delineated from other scaffoldless techniques in tissue engineering and regenerative medicine. Significantly, during formation, self-organizing and self-assembling tissues display biological processes similar to those that occur in vivo. These help lead to the recapitulation of native tissue morphological structure and organization. Notably, functional properties of these tissues also approach native tissue values; some of these engineered tissues are already in clinical trials. This review aims to provide a cohesive summary of work in this field, and to highlight the potential of self-organization and the self-assembling process to provide cogent solutions to current intractable problems in tissue engineering. PMID:23701238

  15. Enamel shear bond strength of two orthodontic self-etching bonding systems compared to Transbond™ XT.

    Science.gov (United States)

    Hellak, Andreas; Rusdea, Patrick; Schauseil, Michael; Stein, Steffen; Korbmacher-Steiner, Heike Maria

    2016-11-01

    The aim of this in vitro study was to compare the shear bond strength (SBS) and Adhesive Remnant Index (ARI) scores of two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances to the commonly used total etch system Transbond XT™ (in combination with phosphoric acid). In all, 60 human premolars were randomly divided into three groups of 20 specimens each. In group 1 (control), brackets were bonded with Transbond™ XT primer. Prompt L-Pop™ (group 2) and Scotchbond™ Universal (group 3) were used in the experimental groups. Lower premolar brackets were bonded by light curing the adhesive. After 24 h of storage, the shear bond strength (SBS) was measured using a Zwicki 1120 testing machine. The adhesive remnant index (ARI) was determined under 10× magnification. The Kruskal-Wallis test was used to statistically compare the SBS and the ARI scores. No significant differences in the SBS between any of the experimental groups were detected (group 1: 15.49 ± 3.28 MPa; group 2: 13.89 ± 4.95 MPa; group 3: 14.35 ± 3.56 MPa; p = 0.489), nor were there any significant differences in the ARI scores (p = 0.368). Using the two self-etching no-mix adhesives (Prompt L-Pop™ and Scotchbond™) for orthodontic appliances does not affect either the SBS or ARI scores in comparison with the commonly used total-etch system Transbond™ XT. In addition, Scotchbond™ Universal supports bonding on all types of surfaces (enamel, metal, composite, and porcelain) with no need for additional primers. It might therefore be helpful for simplifying bonding in orthodontic procedures.

  16. The difference of tensile bond strength between total and self etch adhesive systems in dentin

    Directory of Open Access Journals (Sweden)

    Selly Yusalina

    2010-03-01

    Full Text Available Total etch adhesive system has been widely used in teeth conservation area as an adhesive agent before implicating composite resin restoration agent. The aim of this research is to prove the difference of tensile bond strength between total etch (Single Bond and self etch adhesive system (Adper prompt L-Pop on dentin surface in vitro. The extracted and non carries maxillary premolar teeth were used in this research and were divided into 2 groups. The first group comprised 15 specimen teeth etched in phosphoric acid and was applicated with the Single Bond adhesive agent. The second group comprised 15 specimen teeth, applicated with the Adper Prompt-L-Pop. The composite resin (Z 350, 3M was applied incrementally and each of the layers was rayed for 20 seconds. The specimens were stored in physiologic solution before they were tested. Tensile bond strength was measured by LRX Plus Lloyd Instrument, with 1 N load and 1 mm/minute speed, and the measurement result was in Mpa unit. The result was evaluated statistically by the Student t-test with α = 0.05. Single Bond (the 5th generation showed a better bond strength compared to the Adper Prompt-L-Pop (the 6th generation.

  17. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    Directory of Open Access Journals (Sweden)

    Shaza M. Hammad

    Full Text Available ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2 nanofillers in different bonding systems on shear bond strength (SBS and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A Conventional acid-etch and primer Transbond XT; B Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C Futurabond DC at 1%; D Optibond All-in-One at 7%. Each group was allocated into two subgroups (n = 12 according to experimental time (12 and 24 hours. SBS test was performed using a universal testing machine. ARI scores were determined under a stereomicroscope. Scanning electron microscopy (SEM and transmission electron microscopy (TEM were used to determine the size and distribution of nanofillers. One-way ANOVA was used to compare SBS followed by the post-hoc Tukey test. The chi-square test was used to evaluate ARI scores. Results: Mean SBS of Futurabond DC and Optibond All-in-One were significantly lower than conventional system, and there were no significant differences between means SBS obtained with all self-etch bonding systems used in the study. Lower ARI scores were found for Futurabond DC and Optibond All-in-One. There was no significant difference of SBS and ARI obtained at either time points for all bonding systems. Relative homogeneous distribution of the fillers was observed with the bonding systems. Conclusion: Two nanofilled systems revealed the lowest bond strengths, but still clinically acceptable and less adhesive was left on enamel. It is advisable not to load the brackets immediately to the maximum.

  18. Effect of nanotechnology in self-etch bonding systems on the shear bond strength of stainless steel orthodontic brackets

    Science.gov (United States)

    Hammad, Shaza M.; El-Wassefy, Noha; Maher, Ahmed; Fawakerji, Shafik M.

    2017-01-01

    ABSTRACT Objective: To evaluate the effect of silica dioxide (SiO2) nanofillers in different bonding systems on shear bond strength (SBS) and mode of failure of orthodontic brackets at two experimental times. Methods: Ninety-six intact premolars were divided into four groups: A) Conventional acid-etch and primer Transbond XT; B) Transbond Plus self-etch primer; and two self-etch bonding systems reinforced with silica dioxide nanofiller at different concentrations: C) Futurabond DC at 1%; D) Optibond All-in-One at 7%. Each group was allocated into two subgroups (n = 12) according to experimental time (12 and 24 hours). SBS test was performed using a universal testing machine. ARI scores were determined under a stereomicroscope. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to determine the size and distribution of nanofillers. One-way ANOVA was used to compare SBS followed by the post-hoc Tukey test. The chi-square test was used to evaluate ARI scores. Results: Mean SBS of Futurabond DC and Optibond All-in-One were significantly lower than conventional system, and there were no significant differences between means SBS obtained with all self-etch bonding systems used in the study. Lower ARI scores were found for Futurabond DC and Optibond All-in-One. There was no significant difference of SBS and ARI obtained at either time points for all bonding systems. Relative homogeneous distribution of the fillers was observed with the bonding systems. Conclusion: Two nanofilled systems revealed the lowest bond strengths, but still clinically acceptable and less adhesive was left on enamel. It is advisable not to load the brackets immediately to the maximum. PMID:28444018

  19. Kekuatan Rekat Restorasi Komposit Resin pada Permukaan Dentin dengan Sistem Adhesif Self-Etch dalam Berbagai Temperatur

    Directory of Open Access Journals (Sweden)

    Iin Sundari

    2012-10-01

    Full Text Available Single-step self-etch adhesive systems are the system that combine self etching primer and bonding agent into one step application. This system was developed as the effort to simplified in application prosedures and give a good bond strength of resin composites to dentin surface. The purpose of this study was to examine the bond strength of resin composites with two singlestep self-etch adhesives system (Xeno III and Clearfil Tri-S Bond to bovine dentin at temperature of adhesive 3ºC, 22ºC and 30ºC. Adhesive was applied to dentin surface (bovine insisivus mandibular dentin follow by resin composites bonded according to the manufacturer’s instructions. Tensile bond strength of 60 specimens were tested UTM (universal testing machine after 24 hours storage in aquadest at 37 ºC. The results were analyzed using ANOVA test followed by Tukey’s test (p< 0,05. The bond strength of Xeno III was significantly diffrent from that of Clearfil tri-S Bond, 0,66±0,271, 2,70±1,528, 0,23±0,104 versus 2,07±0,272, 4,77±0,689, 4,39±1,205 MPa at temperature of materials 3ºC, 22ºC and 30ºC respectively. The bond strength of two single- step adhesives system (Xeno III and Clearfil Tri-S Bond were highest at temperature 22ºC than other temperatures of materials.DOI: 10.14693/jdi.v15i3.34

  20. Characterization of power induced heating and damage in fiber optic probes for near-field scanning optical microscopy

    Science.gov (United States)

    Dickenson, Nicholas E.; Erickson, Elizabeth S.; Mooren, Olivia L.; Dunn, Robert C.

    2007-05-01

    Tip-induced sample heating in near-field scanning optical microscopy (NSOM) is studied for fiber optic probes fabricated using the chemical etching technique. To characterize sample heating from etched NSOM probes, the spectra of a thermochromic polymer sample are measured as a function of probe output power, as was previously reported for pulled NSOM probes. The results reveal that sample heating increases rapidly to ˜55-60°C as output powers reach ˜50nW. At higher output powers, the sample heating remains approximately constant up to the maximum power studied of ˜450nW. The sample heating profiles measured for etched NSOM probes are consistent with those previously measured for NSOM probes fabricated using the pulling method. At high powers, both pulled and etched NSOM probes fail as the aluminum coating is damaged. For probes fabricated in our laboratory we find failure occurring at input powers of 3.4±1.7 and 20.7±6.9mW for pulled and etched probes, respectively. The larger half-cone angle for etched probes (˜15° for etched and ˜6° for pulled probes) enables more light delivery and also apparently leads to a different failure mechanism. For pulled NSOM probes, high resolution images of NSOM probes as power is increased reveal the development of stress fractures in the coating at a taper diameter of ˜6μm. These stress fractures, arising from the differential heating expansion of the dielectric and the metal coating, eventually lead to coating removal and probe failure. For etched tips, the absence of clear stress fractures and the pooled morphology of the damaged aluminum coating following failure suggest that thermal damage may cause coating failure, although other mechanisms cannot be ruled out.

  1. Effect of composite resin placement techniques on the microleakage of two self-etching dentin-bonding agents.

    NARCIS (Netherlands)

    Santini, A.; Plasschaert, A.J.M.; Mitchell, S.M.

    2001-01-01

    PURPOSE: To evaluate microleakage of Class V resin-based composites (RBC) bonded with two self-etching dentin adhesive systems. Class V cavities were restored with either one or three increments of RBC to determine whether the restorative method affected microleakage. MATERIALS AND METHODS: 60

  2. From self-organization to self-assembly: a new materialism?

    Science.gov (United States)

    Vincent, Bernadette Bensaude

    2016-09-01

    While self-organization has been an integral part of academic discussions about the distinctive features of living organisms, at least since Immanuel Kant's Critique of Judgement, the term 'self-assembly' has only been used for a few decades as it became a hot research topic with the emergence of nanotechnology. Could it be considered as an attempt at reducing vital organization to a sort of assembly line of molecules? Considering the context of research on self-assembly I argue that the shift of attention from self-organization to self-assembly does not really challenge the boundary between chemistry and biology. Self-assembly was first and foremost investigated in an engineering context as a strategy for manufacturing without human intervention and did not raise new perspectives on the emergence of vital organization itself. However self-assembly implies metaphysical assumptions that this paper tries to disentangle. It first describes the emergence of self-assembly as a research field in the context of materials science and nanotechnology. The second section outlines the metaphysical implications and will emphasize a sharp contrast between the ontology underlying two practices of self-assembly developed under the umbrella of synthetic biology. And unexpectedly, we shall see that chemists are less on the reductionist side than most synthetic biologists. Finally, the third section ventures some reflections on the kind of design involved in self-assembly practices.

  3. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  4. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  5. Influence of different etching modes on bond strength and fatigue strength to dentin using universal adhesive systems.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Berry, Thomas P; Watanabe, Hedehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The purpose of this study was to determine the dentin bonding ability of three new universal adhesive systems under different etching modes using fatigue testing. Prime & Bond elect [PE] (DENTSPLY Caulk), Scotchbond Universal [SU] (3M ESPE), and All Bond Universal [AU] (Bisco) were used in this study. A conventional single-step self-etch adhesive, Clearfil Bond SE ONE [CS] (Kuraray Noritake Dental) was also included as a control. Shear bond strengths (SBS) and shear fatigue strength (SFS) to human dentin were obtained in the total-etch mode and self-etch modes. For each test condition, 15 specimens were prepared for the SBS and 30 specimens for SFS. SEM was used to examine representative de-bonded specimens, treated dentin surfaces and the resin/dentin interface for each test condition. Among the universal adhesives, PE in total-etch mode showed significantly higher SBS and SFS values than in self-etch mode. SU and AU did not show any significant difference in SBS and SFS between the total-etch mode and self-etch mode. However, the single-step self-etch adhesive CS showed significantly lower SBS and SFS values in the etch-and-rinse mode when compared to the self-etch mode. Examining the ratio of SFS/SBS, for PE and AU, the etch-and-rinse mode groups showed higher ratios than the self-etch mode groups. The influence of different etching modes on dentin bond quality of universal adhesives was dependent on the adhesive material. However, for the universal adhesives, using the total-etch mode did not have a negative impact on dentin bond quality. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  6. Self-organizing networks

    DEFF Research Database (Denmark)

    Marchetti, Nicola; Prasad, Neeli R.; Johansson, Johan

    2010-01-01

    In this paper, a general overview of Self-Organizing Networks (SON), and the rationale and state-of-the-art of wireless SON are first presented. The technical and business requirements are then briefly treated, and the research challenges within the field of SON are highlighted. Thereafter, the r...

  7. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  8. Two-year water degradation of self-etching adhesives bonded to bur ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; Feilzer, Albert J

    2009-01-01

    To evaluate the effect of water storage on the microshear bond strength to ground enamel of three "all-in-one" self-etch adhesives: Futurabond DC, Clearfil S Tri Bond and Hybrid bond; a self-etching primer; Clearfil SE Bond and an etch-and-rinse adhesive system, Admira Bond. Sixty human molars were used. The root of each tooth was removed and the crown was sectioned into two halves. The convex enamel surfaces were reduced by polishing on silicon paper to prepare a flat surface that was roughened with a parallel-sided diamond bur with abundant water for five seconds. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm in height/0.75 mm in internal diameter) was placed on the treated surfaces and cured. A resin composite was then inserted into the tube and cured. For each adhesive, two procedures were carried out: A--the specimens were kept in water for 24 hours, then the tube was removed and the microshear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/minute; B--the specimens were stored in water for two-years before microshear testing. The fractured surface of the bonded specimens after each test procedure was examined by SEM. For the 24-hour control, there was no significant difference in bond strength between the tested adhesives. After two years of water storage, the bond strength of Admira Bond, Clearfil SE Bond and Futurabond DC decreased, but the reduction was not significantly different from that of 24 hours. For Clearfil S Tri Bond and Hybrid Bond, the bond strengths were significantly reduced compared to their 24-hour results.

  9. Effect of double-layer application on dentin bond durability of one-step self-etch adhesives.

    Science.gov (United States)

    Taschner, M; Kümmerling, M; Lohbauer, U; Breschi, L; Petschelt, A; Frankenberger, R

    2014-01-01

    The aim of this in vitro study was 1) to analyze the influence of a double-layer application technique of four one-step self-etch adhesive systems on dentin and 2) to determine its effect on the stability of the adhesive interfaces stored under different conditions. Four different one-step self-etch adhesives were selected for the study (iBondSE, Clearfil S(3) Bond, XenoV(+), and Scotchbond Universal). Adhesives were applied according to manufacturers' instructions or with a double-layer application technique (without light curing of the first layer). After bonding, resin-dentin specimens were sectioned for microtensile bond strength testing in accordance with the nontrimming technique and divided into 3 subgroups of storage: a) 24 hours (immediate bond strength, T0), b) six months (T6) in artificial saliva at 37°C, or c) five hours in 10 % NaOCl at room temperature. After storage, specimens were stressed to failure. Fracture mode was assessed under a light microscope. At T0, iBond SE showed a significant increase in microtensile bond strength when the double-application technique was applied. All adhesive systems showed reduced bond strengths after six months of storage in artificial saliva and after storage in 10% NaOCl for five hours; however at T6, iBond SE, Clearfil S(3) Bond, and XenoV(+) showed significantly higher microtensile bond strength results for the double-application technique compared with the single-application technique. Scotchbond Universal showed no difference between single- or double-application, irrespective of the storage conditions. The results of this study show that improvements in bond strength of one-step self-etch adhesives by using the double-application technique are adhesive dependent.

  10. 10th Workshop on Self-Organizing Maps

    CERN Document Server

    Schleif, Frank-Michael; Kaden, Marika; Lange, Mandy

    2014-01-01

    The book collects the scientific contributions presented at the 10th Workshop on Self-Organizing Maps (WSOM 2014) held at the University of Applied Sciences Mittweida, Mittweida (Germany, Saxony), on July 2–4, 2014. Starting with the first WSOM-workshop 1997 in Helsinki this workshop focuses on newest results in the field of supervised and unsupervised vector quantization like self-organizing maps for data mining and data classification.   This 10th WSOM brought together more than 50 researchers, experts and practitioners in the beautiful small town Mittweida in Saxony (Germany) nearby the mountains Erzgebirge to discuss new developments in the field of unsupervised self-organizing vector quantization systems and learning vector quantization approaches for classification. The book contains the accepted papers of the workshop after a careful review process as well as summaries of the invited talks.   Among these book chapters there are excellent examples of the use of self-organizing maps in agriculture, ...

  11. Near-resonant absorption in the time-dependent self-consistent field and multiconfigurational self-consistent field approximations

    DEFF Research Database (Denmark)

    Norman, Patrick; Bishop, David M.; Jensen, Hans Jørgen Aa

    2001-01-01

    Computationally tractable expressions for the evaluation of the linear response function in the multiconfigurational self-consistent field approximation were derived and implemented. The finite lifetime of the electronically excited states was considered and the linear response function was shown...... to be convergent in the whole frequency region. This was achieved through the incorporation of phenomenological damping factors that lead to complex response function values....

  12. A 7-year randomized prospective study of a one-step self-etching adhesive in non-carious cervical lesions. The effect of curing modes and restorative material

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2012-01-01

    Objective: The aim of this study was to evaluate the clinical retention of a one-step self-etching adhesive system (Xeno III) in Class V non-carious cervical lesions and the effect of restorative material and curing techniques on longevity of the restorations. Material and methods: A total of 139...... be evaluated. No post-operative sensitivity was reported by the participants. Overall relative cumulative loss rate frequencies for the adhesive system at 6, 18 and 7 years, independent of curing technique and restorative material, were 0.8%, 6.9% and 23.0%, respectively. The self-etching adhesive fulfilled...... secondary caries was observed. Significance: The single-step self-etching adhesive showed acceptable clinical long-time retention rates to dentin surfaces independent of restorative material and curing technique used....

  13. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  14. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  15. Simple and robust near-infrared spectroscopic monitoring of indium-tin-oxide (ITO) etching solution using Teflon tubing

    International Nuclear Information System (INIS)

    Nah, Sanghee; Ryu, Kyungtag; Cho, Soohwa; Chung, Hoeil; Namkung, Hankyu

    2006-01-01

    The ability to monitor etching solutions using a spectroscopy directly through existing Teflon lines in electronic industries is highly beneficial and offers many advantages. A monitoring method was developed using near-infrared (NIR) measurements with Teflon tubing as a sample container for the quantification of components in the indium-tin-oxide (ITO) etching solution composed of hydrochloric acid (HCl), acetic acid (CH 3 COOH) and water. Measurements were reproducible and it was possible to use the same calibration model for different Teflon tubings. Even though partial least squares (PLS) calibration performance was slightly degraded for Teflon cells when compared to quartz cells of the similar pathlength, the calibration data correlated well with reference data. The robustness of Teflon-based NIR measurement was evaluated by predicting the spectra of 10 independent samples that were collected using five different Teflon tubes. Although, two Teflon tubes were visually less transparent than the other three, there was no significant variation in the standard error of predictions (SEPs) among the five Teflon tubes. Calibration accuracy was successfully maintained and highly repeatable prediction results were achieved. This study verifies that a Teflon-based NIR measurement is reliable for the monitoring of etching solutions and it can be successfully integrated into on-line process monitoring

  16. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    Science.gov (United States)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  17. Observation of self-assembled fluorescent beads by scanning near-field optical microscopy and atomic force microscopy

    International Nuclear Information System (INIS)

    Oh, Y.J.; Jo, W.; Kim, Min-Gon; Kyu Park, Hyun; Hyun Chung, Bong

    2006-01-01

    Optical response and topography of fluorescent latex beads both on flat self-assembled monolayer and on a micron-patterned surface with poly(dimethylsiloxane) are studied. Scanning near-field optical microscopy and atomic force microscopy were utilized together for detecting fluorescence and imaging topography of the patterned latex beads, respectively. As a result, the micro-patterned latex beads where a specific chemical binding occurred show a strong signal, whereas no signals are observed in the case of nonspecific binding. With fluorescein isothiocyanate (FITC), it is convenient to measure fluorescence signal from the patterned beads allowing us to monitor the small balls of fluorescent latex

  18. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    Science.gov (United States)

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (pcontamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  19. Nanometal Skin of Plasmonic Heterostructures for Highly Efficient Near-Field Scattering Probes

    Science.gov (United States)

    Zito, Gianluigi; Rusciano, Giulia; Vecchione, Antonio; Pesce, Giuseppe; di Girolamo, Rocco; Malafronte, Anna; Sasso, Antonio

    2016-08-01

    In this work, atomic force microscopy probes are functionalized by virtue of self-assembling monolayers of block copolymer (BCP) micelles loaded either with clusters of silver nanoparticles or bimetallic heterostructures consisting of mixed species of silver and gold nanoparticles. The resulting self-organized patterns allow coating the tips with a sort of nanometal skin made of geometrically confined nanoislands. This approach favors the reproducible engineering and tuning of the plasmonic properties of the resulting structured tip by varying the nanometal loading of the micelles. The newly conceived tips are applied for experiments of tip-enhanced Raman scattering (TERS) spectroscopy and scattering-type scanning near-field optical microscopy (s-SNOM). TERS and s-SNOM probe characterizations on several standard Raman analytes and patterned nanostructures demonstrate excellent enhancement factor with the possibility of fast scanning and spatial resolution <12 nm. In fact, each metal nanoisland consists of a multiscale heterostructure that favors large scattering and near-field amplification. Then, we verify the tips to allow challenging nongap-TER spectroscopy on thick biosamples. Our approach introduces a synergistic chemical functionalization of the tips for versatile inclusion and delivery of plasmonic nanoparticles at the tip apex, which may promote the tuning of the plasmonic properties, a large enhancement, and the possibility of adding new degrees of freedom for tip functionalization.

  20. Influence of Nd:YAG laser on the bond strength of self-etching and conventional adhesive systems to dental hard tissues.

    Science.gov (United States)

    Marimoto, A K; Cunha, L A; Yui, K C K; Huhtala, M F R L; Barcellos, D C; Prakki, A; Gonçalves, S E P

    2013-01-01

    The aim of this study was to investigate the influence of Nd:YAG laser on the shear bond strength to enamel and dentin of total and self-etch adhesives when the laser was applied over the adhesives, before they were photopolymerized, in an attempt to create a new bonding layer by dentin-adhesive melting. One-hundred twenty bovine incisors were ground to obtain flat surfaces. Specimens were divided into two substrate groups (n=60): substrate E (enamel) and substrate D (dentin). Each substrate group was subdivided into four groups (n=15), according to the surface treatment accomplished: X (Xeno III self-etching adhesive, control), XL (Xeno III + laser Nd:YAG irradiation at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental), S (acid etching + Single Bond conventional adhesive, Control), and SL (acid etching + Single Bond + laser Nd:YAG at 140 mJ/10 Hz for 60 seconds + photopolymerization, experimental). The bonding area was delimited with 3-mm-diameter adhesive tape for the bonding procedures. Cylinders of composite were fabricated on the bonding area using a Teflon matrix. The teeth were stored in water at 37°C/48 h and submitted to shear testing at a crosshead speed of 0.5 mm/min in a universal testing machine. Results were analyzed with three-way analysis of variance (ANOVA; substrate, adhesive, and treatment) and Tukey tests (α=0.05). ANOVA revealed significant differences for the substrate, adhesive system, and type of treatment: lased or unlased (penamel groups were X=20.2 ± 5.61, XL=23.6 ± 4.92, S=20.8 ± 4.55, SL=22.1 ± 5.14 and for the dentin groups were X=14.1 ± 7.51, XL=22.2 ± 6.45, S=11.2 ± 5.77, SL=15.9 ± 3.61. For dentin, Xeno III self-etch adhesive showed significantly higher shear bond strength compared with Single Bond total-etch adhesive; Nd:YAG laser irradiation showed significantly higher shear bond strength compared with control (unlased). Nd:YAG laser application prior to photopolymerization of adhesive systems

  1. Oxidation of hydrogen-passivated silicon surfaces by scanning near-field optical lithography using uncoated and aluminum-coated fiber probes

    DEFF Research Database (Denmark)

    Madsen, Steen; Bozhevolnyi, Sergey I.; Birkelund, Karen

    1997-01-01

    Optically induced oxidation of hydrogen-passivated silicon surfaces using a scanning near-field optical microscope was achieved with both uncoated and aluminum-coated fiber probes. Line scans on amorphous silicon using uncoated fiber probes display a three-peak profile after etching in potassium...... hydroxide. Numerical simulations of the electromagnetic field around the probe-sample interaction region are used to explain the experimental observations. With an aluminum-coated fiber probe, lines of 35 nm in width were transferred into the amorphous silicon layer. (C) 1997 American Institute of Physics....

  2. The effect of hydroxyapatite presence on the degree of conversion and polymerization rate in a model self-etching adhesive

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective The effect of hydroxyapatite (HAp) content on photopolymerization of a model self-etching adhesive was studied by using attenuated total reflectance Fourier transform infrared (ATR/FT-IR) spectroscopy. Materials and methods The model adhesive contained two monomers: bis[2-(methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) using a 1:1 mass ratio, representing an acidic formulation. Camphorquinone and ethyl 4-dimethylaminobenzoate were added to enable visible light photopolymerization in a constant concentration of 0.022 mmol per gram monomer. HAp [Ca10(OH)2(PO4)6] powder were added to the test solutions to obtain mass fraction of 0, 1, 2, 3, 4 wt%. The degree of conversion (DC) and the polymerization rate (PR) with/without HAp were determined using ATR/FT-IR with a time-based spectrum analysis. Results Monomer DC and PR were significantly enhanced by addition of HAp. Incorporation of 4 wt% of HAp increased DC from 20.8 (±0.3) % to 93.4 (±1.1) %, and PR from 0.42 (±0.01) %/s to 3.21 (±0.07) %/s. The pH of adhesive solutions was measured and correlated with DC and PR. The pH of test solutions was also controlled using a base (sodium hydroxide, NaOH) to similar values as when using HAp. Results indicated that both the DC and PR increased with increasing pH, regardless of additive, confirming the role of pH on polymerization. From the IR spectral comparison, changes in molecular structures of the self-etching adhesive after the addition of HAp were observed, which were correlated with the specific interaction between 2MP and HAp. The effect of viscosity was also proposed to be another possible reason for the improved polymerization. Significance The photopolymerization of a self-etching adhesive was enhanced / accelerated in the presence of HAp. The results provide the critical information for understanding the interactions/bonding between self-etching adhesives and tooth substrates. PMID:22032933

  3. 9th Workshop on Self-Organizing Maps

    CERN Document Server

    Príncipe, José; Zegers, Pablo

    2013-01-01

    Self-organizing maps (SOMs) were developed by Teuvo Kohonen in the early eighties. Since then more than 10,000 works have been based on SOMs. SOMs are unsupervised neural networks useful for clustering and visualization purposes. Many SOM applications have been developed in engineering and science, and other fields. This book contains refereed papers presented at the 9th Workshop on Self-Organizing Maps (WSOM 2012) held at the Universidad de Chile, Santiago, Chile, on December 12-14, 2012. The workshop brought together researchers and practitioners in the field of self-organizing systems. Among the book chapters there are excellent examples of the use of SOMs in agriculture, computer science, data visualization, health systems, economics, engineering, social sciences, text and image analysis, and time series analysis. Other chapters present the latest theoretical work on SOMs as well as Learning Vector Quantization (LVQ) methods.

  4. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  5. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  6. In-vitro comparison of micro-leakage between nanocomposite and microhybrid composite in class v cavities treated with the self-etch technique

    International Nuclear Information System (INIS)

    Mahvish, S.; Khan, F.R.

    2016-01-01

    Background: When a light cure composite resin is used to restore a class V lesion, certain stresses are generated at the tooth-restoration interface. If these stresses exceed the bond strength of the restorative material, microscopic gaps are formed which eventually cause micro-leakage at the tooth-restoration interface. The objective of the present study was to compare the micro-leakage values at the tooth-restoration interface using dye penetration method between a Nano filled and a Micro hybrid light cured composite resin in class V cavities using the self-etch technique. Methods: Sixty class V cavities were made coronal to the cemento-enamel junction in the extracted premolars. These were then randomly divided into two study groups. Group A: Self-etch; filled with P-60 (micro-hybrid) n=30. Group B: Self-etch; filled with Z-350 (nano-filled) n=30. Specimens were subjected to thermo-cycling at 5-55 degree C ± 2 degree C with a 30 seconds dwell time. After which they were stained with 2% methylene blue. Later, sectioned bucco-lingually and examined using a stereo microscope (magnification X4) at the occlusal, axial and gingival surfaces. Micro-leakage around the tooth-restoration interface was assessed by using the degree of dye penetration in millimetres. Results: There was 100% micro leakage seen at both the occlusal and gingival surfaces when using the P-60 composite. With the Z-350 composite 84% occlusal and 88% of the gingival surfaces exhibited micro-leakage. Conclusions: With respect to micro-leakage in class V cavities, Z-350 was found to be a superior restorative material compared to P-60 on the occlusal surface. Overall, there is no statistically significant difference in the micro-leakage exhibited by the two restorative materials in class V preparations subjected to self-etch protocol. (author)

  7. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  8. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  9. Optical fiber plasmonic lens for near-field focusing fabricated through focused ion beam

    Science.gov (United States)

    Sloyan, Karen; Melkonyan, Henrik; Moreira, Paulo; Dahlem, Marcus S.

    2017-02-01

    We report on numerical simulations and fabrication of an optical fiber plasmonic lens for near-field focusing applications. The plasmonic lens consists of an Archimedean spiral structure etched through a 100 nm-thick Au layer on the tip of a single-mode SM600 optical fiber operating at a wavelength of 632:8 nm. Three-dimensional finite-difference time-domain computations show that the relative electric field intensity of the focused spot increases 2:1 times when the number of turns increases from 2 to 12. Furthermore, a reduction of the intensity is observed when the initial inner radius is increased. The optimized plasmonic lens focuses light into a spot with a full-width at half-maximum of 182 nm, beyond the diffraction limit. The lens was fabricated by focused ion beam milling, with a 200nm slit width.

  10. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    Science.gov (United States)

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  11. Geochemical evolution of the L/ILW near-field

    International Nuclear Information System (INIS)

    Kosakowski, G.; Berner, U.; Wieland, E.; Glaus, M.; Degueldre, C.

    2014-10-01

    The deep geological repository for low- and intermediate-level radioactive waste (L/ILW) contains large amounts of cement based materials used for waste conditioning, tunnel support and the backfill of cavities. The waste inventory is composed of a wide range of organic and inorganic materials. This study describes the spatial and temporal geochemical evolution of the cementitious near-field, and the interactions with the technical barriers and the surrounding host rock. This evolution is governed by several coupled processes, an important one being the development of saturation by groundwater ingress from the host rock. Saturation of the near-field is controlled by the inflow of water from the host rock, by the transport of dissolved gases from the near-field into the host rock and in the engineered gas transport system, and by the transport of humidity in the gas phase. The production of gas by anoxic corrosion of metals and by microbial degradation of organic wastes consumes water. The mineral reactions which give rise to concrete degradation, such as carbonation or alkali-silica-aggregate reactions may also consume or produce water. The first phase of cementitious near-field degradation, which persists only for a short period of time, is related to the hydration of cement minerals. The pore water has a pH of 13 or even higher because of the high content of dissolved alkali hydroxides. A constant pH of 12.5 determines the second phase of the cement degradation. The alkali concentration is reduced by mineral reactions and/or solute transport. This phase persists for a long time. In the third phase the portlandite is completely dissolved due to the reaction with silicates/aluminates present in the near-field and carbonate in the groundwater of the host rock or associated with reactive waste materials. The pore water is in equilibrium with calcium-silicate-hydrates (C-S-H) which gives rise to a pH value near 11 or lower. The Ca/Si ratio of C-S-H changes towards

  12. Geochemical evolution of the L/ILW near-field

    Energy Technology Data Exchange (ETDEWEB)

    Kosakowski, G.; Berner, U.; Wieland, E.; Glaus, M.; Degueldre, C.

    2014-10-15

    The deep geological repository for low- and intermediate-level radioactive waste (L/ILW) contains large amounts of cement based materials used for waste conditioning, tunnel support and the backfill of cavities. The waste inventory is composed of a wide range of organic and inorganic materials. This study describes the spatial and temporal geochemical evolution of the cementitious near-field, and the interactions with the technical barriers and the surrounding host rock. This evolution is governed by several coupled processes, an important one being the development of saturation by groundwater ingress from the host rock. Saturation of the near-field is controlled by the inflow of water from the host rock, by the transport of dissolved gases from the near-field into the host rock and in the engineered gas transport system, and by the transport of humidity in the gas phase. The production of gas by anoxic corrosion of metals and by microbial degradation of organic wastes consumes water. The mineral reactions which give rise to concrete degradation, such as carbonation or alkali-silica-aggregate reactions may also consume or produce water. The first phase of cementitious near-field degradation, which persists only for a short period of time, is related to the hydration of cement minerals. The pore water has a pH of 13 or even higher because of the high content of dissolved alkali hydroxides. A constant pH of 12.5 determines the second phase of the cement degradation. The alkali concentration is reduced by mineral reactions and/or solute transport. This phase persists for a long time. In the third phase the portlandite is completely dissolved due to the reaction with silicates/aluminates present in the near-field and carbonate in the groundwater of the host rock or associated with reactive waste materials. The pore water is in equilibrium with calcium-silicate-hydrates (C-S-H) which gives rise to a pH value near 11 or lower. The Ca/Si ratio of C-S-H changes towards

  13. Influence of application methods of one-step self-etching adhesives on microtensile bond strength

    OpenAIRE

    Chul-Kyu Choi,; Sung-Ae Son; Jin-Hee Ha; Bock Hur; Hyeon-Cheol Kim; Yong-Hun Kwon; Jeong-Kil Park

    2011-01-01

    Objectives The purpose of this study was to evaluate the effect of various application methods of one-step self-etch adhesives to microtensile resin-dentin bond strength. Materials and Methods Thirty-six extracted human molars were used. The teeth were assigned randomly to twelve groups (n = 15), according to the three different adhesive systems (Clearfil Tri-S Bond, Adper Prompt L-Pop, G-Bond) and application methods. The adhesive systems were applied on the dentin as follows: 1) T...

  14. Quasi-stationary heterogeneous states of electrolyte at electrodeposition and etching process in a gradient magnetic field of a magnetized ferromagnetic ball

    International Nuclear Information System (INIS)

    Gorobets, O.Yu.; Gorobets, Yu.I.; Bondar, I.A.; Legenkiy, Yu.A.

    2013-01-01

    We report the results of the experimental study of the shape of the interface separating areas with different magnetic susceptibilities of paramagnetic etching products formed in an inhomogeneous magnetic field in the vicinity of a magnetized steel ball during its corrosion. The theoretical model describing the shape and size of this interface as well as the distribution of the paramagnetic etching product concentration inside this area is proposed. The shape of the interface was calculated taking into account the pressure balance. - Highlights: ► Phase separation of an electrolyte is reported in an inhomogeneous magnetic field. ► The shape of the interface was calculated taking into account the pressure balance. ► The etching products represent the nano-sized clusters inside the paramagnetic phases.

  15. Near-field self-interference cancellation and quality of service multicast beamforming in full-duplex

    Science.gov (United States)

    Wu, Fei; Shao, Shihai; Tang, Youxi

    2016-10-01

    To enable simultaneous multicast downlink transmit and receive operations on the same frequency band, also known as full-duplex links between an access point and mobile users. The problem of minimizing the total power of multicast transmit beamforming is considered from the viewpoint of ensuring the suppression amount of near-field line-of-sight self-interference and guaranteeing prescribed minimum signal-to-interference-plus-noise-ratio (SINR) at each receiver of the multicast groups. Based on earlier results for multicast groups beamforming, the joint problem is easily shown to be NP-hard. A semidefinite relaxation (SDR) technique with linear program power adjust method is proposed to solve the NP-hard problem. Simulation shows that the proposed method is feasible even when the local receive antenna in nearfield and the mobile user in far-filed are in the same direction.

  16. Non-Taylor magnetohydrodynamic self-organization

    International Nuclear Information System (INIS)

    Zhu, Shao-ping; Horiuchi, Ritoku; Sato, Tetsuya.

    1994-10-01

    A self-organization process in a plasma with a finite pressure is investigated by means of a three-dimensional magnetohydrodynamic simulation. It is demonstrated that a non-Taylor finite β self-organized state is realized in which a perpendicular component of the electric current is generated and the force-free(parallel) current decreases until they reach to almost the same level. The self-organized state is described by an MHD force-balance relation, namely, j perpendicular = B x ∇p/B·B and j parallel = μB where μ is not a constant, and the pressure structure resembles the structure of the toroidal magnetic field intensity. Unless an anomalous perpendicular thermal conduction arises, the plasma cannot relax to a Taylor state but to a non-Taylor (non-force-free) self-organized state. This state becomes more prominent for a weaker resistivity condition. The non-Taylor state has a rather universal property, for example, independence of the initial β value. Another remarkable finding is that the Taylor's conjecture of helicity conservation is, in a strict sense, not valid. The helicity dissipation occurs and its rate slows down critically in accordance with the stepwise relaxation of the magnetic energy. It is confirmed that the driven magnetic reconnection caused by the nonlinearly excited plasma kink flows plays the leading role in all of these key features of the non-Taylor self-organization. (author)

  17. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. Self-Organizing Robots

    CERN Document Server

    Murata, Satoshi

    2012-01-01

    It is man’s ongoing hope that a machine could somehow adapt to its environment by reorganizing itself. This is what the notion of self-organizing robots is based on. The theme of this book is to examine the feasibility of creating such robots within the limitations of current mechanical engineering. The topics comprise the following aspects of such a pursuit: the philosophy of design of self-organizing mechanical systems; self-organization in biological systems; the history of self-organizing mechanical systems; a case study of a self-assembling/self-repairing system as an autonomous distributed system; a self-organizing robot that can create its own shape and robotic motion; implementation and instrumentation of self-organizing robots; and the future of self-organizing robots. All topics are illustrated with many up-to-date examples, including those from the authors’ own work. The book does not require advanced knowledge of mathematics to be understood, and will be of great benefit to students in the rob...

  20. Hierarchical organization versus self-organization

    OpenAIRE

    Busseniers, Evo

    2014-01-01

    In this paper we try to define the difference between hierarchical organization and self-organization. Organization is defined as a structure with a function. So we can define the difference between hierarchical organization and self-organization both on the structure as on the function. In the next two chapters these two definitions are given. For the structure we will use some existing definitions in graph theory, for the function we will use existing theory on (self-)organization. In the t...

  1. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  2. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  3. Quasi-stationary heterogeneous states of electrolyte at electrodeposition and etching process in a gradient magnetic field of a magnetized ferromagnetic ball

    Energy Technology Data Exchange (ETDEWEB)

    Gorobets, O.Yu. [National Technical University of Ukraine ' KPI' , Prospect Peremogy, 37, 03056 Kiev (Ukraine); Gorobets, Yu.I., E-mail: Gorobets@imag.kiev.ua [Institute for Magnetism, Prospect Vernadskogo, 36-b, 03142 Kiev (Ukraine); Bondar, I.A., E-mail: ivanna_bondar@ukr.net [National Technical University of Ukraine ' KPI' , Prospect Peremogy, 37, 03056 Kiev (Ukraine); Legenkiy, Yu.A., E-mail: legen_yu@ukr.net [Donetsk National University of Ukraine, Universitetskaya Street 24, 340055 Donetsk (Ukraine)

    2013-03-15

    We report the results of the experimental study of the shape of the interface separating areas with different magnetic susceptibilities of paramagnetic etching products formed in an inhomogeneous magnetic field in the vicinity of a magnetized steel ball during its corrosion. The theoretical model describing the shape and size of this interface as well as the distribution of the paramagnetic etching product concentration inside this area is proposed. The shape of the interface was calculated taking into account the pressure balance. - Highlights: Black-Right-Pointing-Pointer Phase separation of an electrolyte is reported in an inhomogeneous magnetic field. Black-Right-Pointing-Pointer The shape of the interface was calculated taking into account the pressure balance. Black-Right-Pointing-Pointer The etching products represent the nano-sized clusters inside the paramagnetic phases.

  4. Self-organization observed in either fusion or strongly coupled plasmas

    International Nuclear Information System (INIS)

    Himura, Haruhiko; Sanpei, Akio

    2011-01-01

    If self-organization happens in the fusion plasma, the plasma alters its shape by weakening the confining magnetic field. The self-organized plasma is stable and robust, so its configuration is conserved even during transport in asymmetric magnetic fields. The self-organization of the plasma is driven by an electrostatic potential. Examples of the plasma that has such strong potential are non-neutral plasmas of pure ions or electrons and dusty plasmas. In the present paper, characteristic phenomena of strongly coupled plasmas such as particle aggregation and formation of the ordered structure are discussed. (T.I.)

  5. Influence of de/remineralization of enamel on the tensile bond strength of etch-and-rinse and self-etching adhesives.

    Science.gov (United States)

    Farias de Lacerda, Ana Julia; Ferreira Zanatta, Rayssa; Crispim, Bruna; Borges, Alessandra Bühler; Gomes Torres, Carlos Rocha; Tay, Franklin R; Pucci, Cesar Rogério

    2016-10-01

    To evaluate the bonding behavior of resin composite and different adhesives applied to demineralized or remineralized enamel. Bovine tooth crowns were polished to prepare a 5 mm2 enamel bonding area, and divided into five groups (n= 48) according to the surface treatment: CONT (sound enamel control), DEM (demineralized with acid to create white spot lesions), REMS (DEM remineralized with artificial saliva), REMF (DEM remineralized with sodium fluoride) and INF (DEM infiltrated with Icon resin infiltrant). The surface-treated teeth were divided into two subgroups (n= 24) according to adhesive type: ER (etch-and-rinse; Single Bond Universal) and SE (self-etching; Clearfill S3 Bond), and further subdivided into two categories (n= 12) according to aging process: Thermo (thermocycling) and NA (no aging). Composite blocks were made over bonded enamel and sectioned for microtensile bond strength (MTBS) testing. Data were analyzed with three-way ANOVA and post-hoc Tukey's test (α= 0.05). Significant differences were observed for enamel surface treatment (Padhesive type (PUniversal had higher MTBS than Clearfil S3 Bond; thermo-aging resulted in lower MTBS irrespective of adhesive type and surface treatment condition. The predominant failure mode was mixed for all groups. Enamel surface infiltrated with Icon does not interfere with adhesive resin bonding procedures. Treatment of enamel surface containing white spot lesions or cavities with cavosurface margins in partially-demineralized enamel can benefit from infiltration with a low viscosity resin infiltrant prior to adhesive bonding of resin composites.

  6. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  7. Relativistic fluid theories - Self organization

    International Nuclear Information System (INIS)

    Mahajan, S.M.; Hazeltine, R.D.; Yoshida, Z.

    2003-01-01

    Developments in two distinct but related subjects are reviewed: 1) Formulation and investigation of closed fluid theories which transcend the limitations of standard magnetohydrodynamics (MHD), in particular, theories which are valid in the long mean free path limit and in which pressure anisotropy, heat flow, and arbitrarily strong sheared flows are treated consistently, and 2) Exploitation of the two-fluid theories to derive new plasma configurations in which the flow-field is a co-determinant of the overall dynamics; some of these states belong to the category of self-organized relaxed states. Physical processes which may provide a route to self-organization and complexity are also explored. (author)

  8. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  9. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    OpenAIRE

    Moosavi, Horieh; Forghani, Maryam; Managhebi, Esmatsadat

    2013-01-01

    Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO), Clearfil S3 Bond (CSB), Bond Force (BF). Each main group divided into three subgroups regarding the air-drying time: without application of air stream...

  10. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  11. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  12. Influence of application methods of one-step self-etching adhesives on microtensile bond strength

    Directory of Open Access Journals (Sweden)

    Chul-Kyu Choi,

    2011-05-01

    Full Text Available Objectives The purpose of this study was to evaluate the effect of various application methods of one-step self-etch adhesives to microtensile resin-dentin bond strength. Materials and Methods Thirty-six extracted human molars were used. The teeth were assigned randomly to twelve groups (n = 15, according to the three different adhesive systems (Clearfil Tri-S Bond, Adper Prompt L-Pop, G-Bond and application methods. The adhesive systems were applied on the dentin as follows: 1 The single coating, 2 The double coating, 3 Manual agitation, 4 Ultrasonic agitation. Following the adhesive application, light-cure composite resin was constructed. The restored teeth were stored in distilled water at room temperature for 24 hours, and prepared 15 specimens per groups. Then microtensile bond strength was measured and the failure mode was examined. Results Manual agitation and ultrasonic agitation of adhesive significantly increased the microtensile bond strength than single coating and double coating did. Double coating of adhesive significantly increased the microtensile bond strength than single coating did and there was no significant difference between the manual agitation and ultrasonic agitation group. There was significant difference in microtensile bonding strength among all adhesives and Clearfil Tri-S Bond showed the highest bond strength. Conclusions In one-step self-etching adhesives, there was significant difference according to application methods and type of adhesives. No matter of the material, the manual or ultrasonic agitation of the adhesive showed significantly higher microtensile bond strength.

  13. A model for electron currents near a field null

    International Nuclear Information System (INIS)

    Stark, R.A.; Miley, G.H.

    1987-01-01

    The fluid approximation is invalid near a field null, since the local electron orbit size and the magnetic scale length are comparable. To model the electron currents in this region we propose a single equation of motion describing the bulk electron dynamics. The equation applies to the plasma within one thermal orbit size of the null. The region is treated as unmagnetized; electrons are accelerated by the inductive electric field and drag on ions; damping is provided by viscosity due to electrons and collisions with ions. Through variational calculations and a particle tracking code for electrons, the size of the terms in the equation of motion have been estimated. The resulting equation of motion combines with Faraday's Law to produce a governing equation which implicitly contains the self inductive field of the electrons. This governing equation predicts that viscosity prevents complete cancellation of the ion current density by the electrons in the null region. Thus electron dynamics near the field null should not prevent the formation and deepening of field reversal using neutral-beam injection

  14. Self field electromagnetism and quantum phenomena

    Science.gov (United States)

    Schatten, Kenneth H.

    1994-07-01

    Quantum Electrodynamics (QED) has been extremely successful inits predictive capability for atomic phenomena. Thus the greatest hope for any alternative view is solely to mimic the predictive capability of quantum mechanics (QM), and perhaps its usefulness will lie in gaining a better understanding of microscopic phenomena. Many ?paradoxes? and problematic situations emerge in QED. To combat the QED problems, the field of Stochastics Electrodynamics (SE) emerged, wherein a random ?zero point radiation? is assumed to fill all of space in an attmept to explain quantum phenomena, without some of the paradoxical concerns. SE, however, has greater failings. One is that the electromagnetic field energy must be infinit eto work. We have examined a deterministic side branch of SE, ?self field? electrodynamics, which may overcome the probelms of SE. Self field electrodynamics (SFE) utilizes the chaotic nature of electromagnetic emissions, as charges lose energy near atomic dimensions, to try to understand and mimic quantum phenomena. These fields and charges can ?interact with themselves? in a non-linear fashion, and may thereby explain many quantum phenomena from a semi-classical viewpoint. Referred to as self fields, they have gone by other names in the literature: ?evanesccent radiation?, ?virtual photons?, and ?vacuum fluctuations?. Using self fields, we discuss the uncertainty principles, the Casimir effects, and the black-body radiation spectrum, diffraction and interference effects, Schrodinger's equation, Planck's constant, and the nature of the electron and how they might be understood in the present framework. No new theory could ever replace QED. The self field view (if correct) would, at best, only serve to provide some understanding of the processes by which strange quantum phenomena occur at the atomic level. We discuss possible areas where experiments might be employed to test SFE, and areas where future work may lie.

  15. Near-field microwave microscopy of high-κ oxides grown on graphene with an organic seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander, E-mail: tseleva@ornl.gov; Kalinin, Sergei V. [Oak Ridge National Laboratory, Center for Nanophase Materials Sciences, Oak Ridge, Tennessee 37831 (United States); Sangwan, Vinod K.; Jariwala, Deep; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Marks, Tobin J.; Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States)

    2013-12-09

    Near-field scanning microwave microscopy (SMM) is used for non-destructive nanoscale characterization of Al{sub 2}O{sub 3} and HfO{sub 2} films grown on epitaxial graphene on SiC by atomic layer deposition using a self-assembled perylene-3,4,9,10-tetracarboxylic dianhydride seeding layer. SMM allows imaging of buried inhomogeneities in the dielectric layer with a spatial resolution close to 100 nm. The results indicate that, while topographic features on the substrate surface cannot be eliminated as possible sites of defect nucleation, the use of a vertically heterogeneous Al{sub 2}O{sub 3}/HfO{sub 2} stack suppresses formation of large outgrowth defects in the oxide film, ultimately improving lateral uniformity of the dielectric film.

  16. A new mixed self-consistent field procedure

    Science.gov (United States)

    Alvarez-Ibarra, A.; Köster, A. M.

    2015-10-01

    A new approach for the calculation of three-centre electronic repulsion integrals (ERIs) is developed, implemented and benchmarked in the framework of auxiliary density functional theory (ADFT). The so-called mixed self-consistent field (mixed SCF) divides the computationally costly ERIs in two sets: far-field and near-field. Far-field ERIs are calculated using the newly developed double asymptotic expansion as in the direct SCF scheme. Near-field ERIs are calculated only once prior to the SCF procedure and stored in memory, as in the conventional SCF scheme. Hence the name, mixed SCF. The implementation is particularly powerful when used in parallel architectures, since all RAM available are used for near-field ERI storage. In addition, the efficient distribution algorithm performs minimal intercommunication operations between processors, avoiding a potential bottleneck. One-, two- and three-dimensional systems are used for benchmarking, showing substantial time reduction in the ERI calculation for all of them. A Born-Oppenheimer molecular dynamics calculation for the Na+55 cluster is also shown in order to demonstrate the speed-up for small systems achievable with the mixed SCF. Dedicated to Sourav Pal on the occasion of his 60th birthday.

  17. Functional visual fields: relationship of visual field areas to self-reported function.

    Science.gov (United States)

    Subhi, Hikmat; Latham, Keziah; Myint, Joy; Crossland, Michael D

    2017-07-01

    The aim of this study is to relate areas of the visual field to functional difficulties to inform the development of a binocular visual field assessment that can reflect the functional consequences of visual field loss. Fifty-two participants with peripheral visual field loss undertook binocular assessment of visual fields using the 30-2 and 60-4 SITA Fast programs on the Humphrey Field Analyser, and mean thresholds were derived. Binocular visual acuity, contrast sensitivity and near reading performance were also determined. Self-reported overall and mobility function were assessed using the Dutch ICF Activity Inventory. Greater visual field loss (0-60°) was associated with worse self-reported function both overall (R 2 = 0.50; p function (R 2 = 0.61, p function in multiple regression analyses. Superior and inferior visual field areas related similarly to mobility function (R 2 = 0.56, p function in multiple regression analysis. Mean threshold of the binocular visual field to 60° eccentricity is a good predictor of self-reported function overall, and particularly of mobility function. Both the central (0-30°) and peripheral (30-60°) mean threshold are good predictors of self-reported function, but the peripheral (30-0°) field is a slightly better predictor of mobility function, and should not be ignored when considering functional consequences of field loss. The inferior visual field is a slightly stronger predictor of perceived overall and mobility function than the superior field. © 2017 The Authors Ophthalmic & Physiological Optics © 2017 The College of Optometrists.

  18. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  19. THz near-field imaging of biological tissues employing synchrotronradiation

    Energy Technology Data Exchange (ETDEWEB)

    Schade, Ulrich; Holldack, Karsten; Martin, Michael C.; Fried,Daniel

    2004-12-23

    Terahertz scanning near-field infrared microscopy (SNIM) below 1 THz is demonstrated. The near-field technique benefits from the broadband and highly brilliant coherent synchrotron radiation (CSR) from an electron storage ring and from a detection method based on locking onto the intrinsic time structure of the synchrotron radiation. The scanning microscope utilizes conical wave guides as near-field probes with apertures smaller than the wavelength. Different cone approaches have been investigated to obtain maximum transmittance. Together with a Martin-Puplett spectrometer the set-up enables spectroscopic mapping of the transmittance of samples well below the diffraction limit. Spatial resolution down to about lambda/40 at 2 wavenumbers (0.06 THz) is derived from the transmittance spectra of the near-field probes. The potential of the technique is exemplified by imaging biological samples. Strongly absorbing living leaves have been imaged in transmittance with a spatial resolution of 130 mu-m at about 12 wave numbers (0.36 THz). The THz near-field images reveal distinct structural differences of leaves from different plants investigated. The technique presented also allows spectral imaging of bulky organic tissues. Human teeth samples of various thicknesses have been imaged between 2 and 20 wavenumbers (between 0.06and 0.6 THz). Regions of enamel and dentin within tooth samples are spatially and spectrally resolved, and buried caries lesions are imaged through both the outer enamel and into the underlying dentin.

  20. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  2. Complexity in plasma: From self-organization to geodynamo

    International Nuclear Information System (INIS)

    Sato, T.

    1996-01-01

    A central theme of open-quote open-quote Complexity close-quote close-quote is the question of the creation of ordered structure in nature (self-organization). The assertion is made that self-organization is governed by three key processes, i.e., energy pumping, entropy expulsion and nonlinearity. Extensive efforts have been done to confirm this assertion through computer simulations of plasmas. A system exhibits markedly different features in self-organization, depending on whether the energy pumping is instantaneous or continuous, or whether the produced entropy is expulsed or reserved. The nonlinearity acts to bring a nonequilibrium state into a bifurcation, thus resulting in a new structure along with an anomalous entropy production. As a practical application of our grand view of self-organization a preferential generation of a dipole magnetic field is successfully demonstrated. copyright 1996 American Institute of Physics

  3. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  4. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  5. Self-organization of topological defects for a triangular-lattice magnetic dots array subject to a perpendicular magnetic field

    Directory of Open Access Journals (Sweden)

    R.S. Khymyn

    2014-09-01

    Full Text Available The regular array of magnetic particles (magnetic dots of the form of a two-dimensional triangular lattice in the presence of external magnetic field demonstrates complicated magnetic structures. The magnetic symmetry of the ground state for such a system is lower than that for the underlying lattice. Long range dipole-dipole interaction leads to a specific antiferromagnetic order in small fields, whereas a set of linear topological defects appears with the growth of the magnetic field. Self-organization of such defects determines the magnetization process for a system within a wide range of external magnetic fields.

  6. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  7. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  8. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  9. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  10. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  11. Near-field flat focusing mirrors

    Science.gov (United States)

    Cheng, Yu-Chieh; Staliunas, Kestutis

    2018-03-01

    This article reviews recent progress towards the design of near-field flat focusing mirrors, focusing/imaging light patterns in reflection. An important feature of such flat focusing mirrors is their transverse invariance, as they do not possess any optical axis. We start with a review of the physical background to the different focusing mechanisms of near- and far-field focusing. These near-field focusing devices like flat lenses and the reviewed near-field focusing mirrors can implement planar focusing devices without any optical axis. In contrast, various types of far-field planar focusing devices, such as high-contrast gratings and metasurfaces, unavoidably break the transverse invariance due to their radially symmetrical structures. The particular realizations of near-field flat focusing mirrors including Bragg-like dielectric mirrors and dielectric subwavelength gratings are the main subjects of the review. The first flat focusing mirror was demonstrated with a chirped mirror and was shown to manage an angular dispersion for beam focusing, similar to the management of chromatic dispersion for pulse compression. Furthermore, the reviewed optimized chirped mirror demonstrated a long near-field focal length, hardly achieved by a flat lens or a planar hyperlens. Two more different configurations of dielectric subwavelength gratings that focus a light beam at normal or oblique incidence are also reviewed. We also summarize and compare focusing performance, limitations, and future perspectives between the reviewed flat focusing mirrors and other planar focusing devices including a flat lens with a negative-index material, a planar hyperlens, a high-contrast grating, and a metasurface.

  12. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  13. Large-area parallel near-field optical nanopatterning of functional materials using microsphere mask

    Energy Technology Data Exchange (ETDEWEB)

    Chen, G.X. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Hong, M.H. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)], E-mail: Hong_Minghui@dsi.a-star.edu.sg; Lin, Y. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Wang, Z.B. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Ng, D.K.T. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Xie, Q. [Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore); Tan, L.S. [NUS Nanoscience and Nanotechnology Initiative, National University of Singapore, 2 Engineering Drive 3, Singapore 117576 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Chong, T.C. [Department of Electrical and Computer Engineering, National University of Singapore, 4 Engineering Drive 3, Singapore 117576 (Singapore); Data Storage Institute, ASTAR, DSI Building, 5 Engineering Drive 1, Singapore 117608 (Singapore)

    2008-01-31

    Large-area parallel near-field optical nanopatterning on functional material surfaces was investigated with KrF excimer laser irradiation. A monolayer of silicon dioxide microspheres was self-assembled on the sample surfaces as the processing mask. Nanoholes and nanospots were obtained on silicon surfaces and thin silver films, respectively. The nanopatterning results were affected by the refractive indices of the surrounding media. Near-field optical enhancement beneath the microspheres is the physical origin of nanostructure formation. Theoretical calculation was performed to study the intensity of optical field distributions under the microspheres according to the light scattering model of a sphere on the substrate.

  14. Effect of Self-Adhesive and Separate Etch Adhesive Dual Cure Resin Cements on the Bond Strength of Fiber Post to Dentin at Different Parts of the Root

    Directory of Open Access Journals (Sweden)

    Ehsan Mohamadian Amiri

    2017-10-01

    Full Text Available Objectives: Bonding of fiber posts to intracanal dentin is challenging in the clinical setting. This study aimed to compare the effect of self-adhesive and separate etch adhesive dual cure resin cements on the bond strength of fiber post to dentin at different parts of the root.Materials and Methods: This in-vitro experimental study was conducted on 20 single-rooted premolars. The teeth were decoronated at 1mm coronal to the cementoenamel junction (CEJ, and the roots underwent root canal treatment. Post space was prepared in the roots. Afterwards, the samples were randomly divided into two groups. In group 1, the fiber posts were cemented using Rely X Unicem cement, while in group 2, the fiber posts were cemented using Duo-Link cement, according to the manufacturer's instructions. The intracanal post in each root was sectioned into three segments of coronal, middle, and apical, and each cross-section was subjected to push-out bond strength test at a crosshead speed of 1mm/minute until failure. Push-out bond strength data were analyzed using independent t-test and repeated measures ANOVA.Results: The bond strength at the middle and coronal segments in separate etch adhesive cement group was higher than that in self-adhesive cement group. However, the bond strength at the apical segment was higher in self-adhesive cement group compared to that in the other group. Overall, the bond strength in separate etch adhesive cement group was significantly higher than that in self-adhesive cement group (P<0.001.Conclusions: Bond strength of fiber post to intracanal dentin is higher after the use of separate etch adhesive cement compared to self-adhesive cement.

  15. Self-organization of spatial patterning in human embryonic stem cells

    Science.gov (United States)

    Deglincerti, Alessia; Etoc, Fred; Ozair, M. Zeeshan; Brivanlou, Ali H.

    2017-01-01

    The developing embryo is a remarkable example of self-organization, where functional units are created in a complex spatio-temporal choreography. Recently, human embryonic stem cells (ESCs) have been used to recapitulate in vitro the self-organization programs that are executed in the embryo in vivo. This represents a unique opportunity to address self-organization in humans that is otherwise not addressable with current technologies. In this essay, we review the recent literature on self-organization of human ESCs, with a particular focus on two examples: formation of embryonic germ layers and neural rosettes. Intriguingly, both activation and elimination of TGFβ signaling can initiate self-organization, albeit with different molecular underpinnings. We discuss the mechanisms underlying the formation of these structures in vitro and explore future challenges in the field. PMID:26970615

  16. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  17. A fully packaged self-powered sensor based on near-field electrospun arrays of poly(vinylidene fluoride nano/micro fibers

    Directory of Open Access Journals (Sweden)

    Y.-K. Fuh

    2018-02-01

    Full Text Available Energy harvesting devices based on the triboelectric and piezoelectric principles have been widely developed to scavenge wasteful and tiny mechanical energy into usable electrical energy. In particular, triboelectric energy harvesting generators with relatively simpler structure and piezoelectric fiber-based counterpart with extremely light weight compositions showed a very promising application in the self-powered sensors. In this paper, a novel hybridization of graphenebased piezoelectric generator (GBPG and graphene-PET triboelectric generator (GPTG were simultaneously packaged. The integrated structure, graphene-based hybridized self-powered sensor (GHSPS, was demonstrated to be optically transparent and mechanically robust. For the piezoelectrically harvesting device, an in-situ poling and direct-write near-field electrospinning (NFES Poly(vinylidene fluoride (PVDF piezoelectric fibers were fabricated and integrated with a single layer chemical vapor deposition (CVD grown graphene. On the other hand for GPTG counterpart, two composite layers of a single layer graphene/PET simultaneously served as triboelectrically rubbing layers as well as bottom/top electrode. This GHSPS successfully superimposed both piezoelectric and triboelectric electricity and the synergistically higher output voltage/current/power were measured as ~6 V/280 nA/172 nW in one press-and-release cycle of finger induced motion. The proposed GHSPS showed a promising application in the field of self-powered sensors to be ubiquitously implemented in the future Industry 4.0 scenarios.

  18. Self-organizing plasmas

    International Nuclear Information System (INIS)

    Hayashi, T.; Sato, T.

    1999-01-01

    The primary purpose of this paper is to extract a grand view of self-organization through an extensive computer simulation of plasmas. The assertion is made that self-organization is governed by three key processes, i.e. the existence of an open complex system, the existence of information (energy) sources and the existence of entropy generation and expulsion processes. We find that self-organization takes place in an intermittent fashion when energy is supplied continuously from outside. In contrast, when the system state is suddenly changed into a non-equilibrium state externally, the system evolves stepwise and reaches a minimum energy state. We also find that the entropy production rate is maximized whenever a new ordered structure is created and that if the entropy generated during the self-organizing process is expelled from the system, then the self-organized structure becomes more prominent and clear. (author)

  19. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  20. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  1. A self-organized criticality model for plasma transport

    International Nuclear Information System (INIS)

    Carreras, B.A.; Newman, D.; Lynch, V.E.

    1996-01-01

    Many models of natural phenomena manifest the basic hypothesis of self-organized criticality (SOC). The SOC concept brings together the self-similarity on space and time scales that is common to many of these phenomena. The application of the SOC modelling concept to the plasma dynamics near marginal stability opens new possibilities of understanding issues such as Bohm scaling, profile consistency, broad band fluctuation spectra with universal characteristics and fast time scales. A model realization of self-organized criticality for plasma transport in a magnetic confinement device is presented. The model is based on subcritical resistive pressure-gradient-driven turbulence. Three-dimensional nonlinear calculations based on this model show the existence of transport under subcritical conditions. This model that includes fluctuation dynamics leads to results very similar to the running sandpile paradigm

  2. A unidirectional subwavelength focusing near-field plate

    Energy Technology Data Exchange (ETDEWEB)

    Imani, Mohammadreza F.; Grbic, Anthony [Radiation Laboratory, Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109 (United States)

    2014-01-28

    Near-field plates consist of non-periodically patterned surfaces that can overcome the diffraction limit and confine electromagnetic fields to subwavelength dimensions. Previous near-field plates experimentally demonstrated extreme field tailoring capabilities. However, their performance suffered from radiation/reflection in undesired directions, those other than the subwavelength focus. This issue can limit the practical use of near-field plates. In this paper, we address this issue by designing a unidirectional near-field plate that can form a subwavelength focal pattern, while suppressing the field radiated/reflected in other directions. The design and operation of the proposed unidirectional near-field plate are verified through full-wave simulation. The unidirectional near-field plate may find application in high resolution imaging and probing, high density data storage, and wireless power transfer systems. As an example, its utility as a high resolution probe is demonstrated through full-wave electromagnetic simulation.

  3. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  4. Self-formation of polymer nanostructures in plasma etching: mechanisms and applications

    Science.gov (United States)

    Du, Ke; Jiang, Youhua; Huang, Po-Shun; Ding, Junjun; Gao, Tongchuan; Choi, Chang-Hwan

    2018-01-01

    In recent years, plasma-induced self-formation of polymer nanostructures has emerged as a simple, scalable and rapid nanomanufacturing technique to pattern sub-100 nm nanostructures. High-aspect-ratio nanostructures (>20:1) are fabricated on a variety of polymer surfaces such as poly(methylmethacrylate) (PMMA), polystyrene (PS), polydimethylsiloxane (PDMS), and fluorinated ethylene propylene (FEP). Sub-100 nm nanostructures (i.e. diameter  ⩽  50 nm) are fabricated in this one-step process without relying on slow and expensive nanolithography techniques. This review starts with discussion of the self-formation mechanisms including surface modulation, random masks, and materials impurities. Emphasis is put on the applications of polymer nanostructures in the fields of hierarchical nanostructures, liquid repellence, adhesion, lab-on-a-chip, surface enhanced Raman scattering (SERS), organic light emitting diode (OLED), and energy harvesting. The unique advantages of this nanomanufacturing technique are illustrated, followed by prospects.

  5. Effect of Different Protocols in Preconditioning With EDTA in Sclerotic Dentin and Enamel Before Universal Adhesives Applied in Self-etch Mode.

    Science.gov (United States)

    Martini, E C; Parreiras, S O; Gutierrez, M F; Loguercio, A D; Reis, A

    The aim of this study was to investigate the effect of different protocols of 17% ethylene diamine tetra-acetic acid (EDTA) conditioning on the etching pattern and immediate bond strength of universal adhesives to enamel and sclerotic dentin. Forty bovine teeth with sclerotic dentin and 20 human third molars were randomly divided into eight groups resulting from the combination of the main factors surface treatment (none, two-minute EDTA conditioning manual application, 30-second EDTA manual application, 30-second EDTA sonic application) and adhesives systems (Scotchbond Universal Adhesive [SBU] and Prime & Bond Elect [PBE]). Resin-dentin and enamel-dentin bond specimens were prepared and tested under the microtensile bond strength (μTBS) and microshear bond strength (μSBS) tests, respectively. The etching pattern produced on the unground enamel and the sclerotic dentin surfaces under the different protocols and adhesive systems was evaluated under scanning electron microscopy. For enamel, only the main factor adhesive was significant (padhesives in the self-etch mode on sclerotic dentin, mainly when applied for 30 seconds with the aid of a sonic device. EDTA pretreatment also improves the retentive etching pattern of enamel, but it does not result in higher enamel bond strength.

  6. Effect of increased exposure times on amount of residual monomer released from single-step self-etch adhesives.

    Science.gov (United States)

    Altunsoy, Mustafa; Botsali, Murat Selim; Tosun, Gonca; Yasar, Ahmet

    2015-10-16

    The aim of this study was to evaluate the effect of increased exposure times on the amount of residual Bis-GMA, TEGDMA, HEMA and UDMA released from single-step self-etch adhesive systems. Two adhesive systems were used. The adhesives were applied to bovine dentin surface according to the manufacturer's instructions and were polymerized using an LED curing unit for 10, 20 and 40 seconds (n = 5). After polymerization, the specimens were stored in 75% ethanol-water solution (6 mL). Residual monomers (Bis-GMA, TEGDMA, UDMA and HEMA) that were eluted from the adhesives (after 10 minutes, 1 hour, 1 day, 7 days and 30 days) were analyzed by high-performance liquid chromatography (HPLC). The data were analyzed using 1-way analysis of variance and Tukey HSD tests. Among the time periods, the highest amount of released residual monomers from adhesives was observed in the 10th minute. There were statistically significant differences regarding released Bis-GMA, UDMA, HEMA and TEGDMA between the adhesive systems (p<0.05). There were no significant differences among the 10, 20 and 40 second polymerization times according to their effect on residual monomer release from adhesives (p>0.05). Increasing the polymerization time did not have an effect on residual monomer release from single-step self-etch adhesives.

  7. Near-field and far-field modeling of scattered surface waves. Application to the apertureless scanning near-field optical microscopy

    International Nuclear Information System (INIS)

    Muller, J.; Parent, G.; Fumeron, S.; Jeandel, G.; Lacroix, D.

    2011-01-01

    The detection of surface waves through scanning near-field optical microscopy (SNOM) is a promising technique for thermal measurements at very small scales. Recent studies have shown that electromagnetic waves, in the vicinity of a scattering structure such as an atomic force microscopy (AFM) tip, can be scattered from near to far-field and thus detected. In the present work, a model based on the finite difference time domain (FDTD) method and the near-field to far-field (NFTFF) transformation for electromagnetic waves propagation is presented. This model has been validated by studying the electromagnetic field of a dipole in vacuum and close to a dielectric substrate. Then simulations for a tetrahedral tip close to an interface are presented and discussed.

  8. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  9. High-Throughput Near-Field Optical Nanoprocessing of Solution-Deposited Nanoparticles

    KAUST Repository

    Pan, Heng

    2010-07-27

    The application of nanoscale electrical and biological devices will benefit from the development of nanomanufacturing technologies that are highthroughput, low-cost, and flexible. Utilizing nanomaterials as building blocks and organizing them in a rational way constitutes an attractive approach towards this goal and has been pursued for the past few years. The optical near-field nanoprocessing of nanoparticles for high-throughput nanomanufacturing is reported. The method utilizes fluidically assembled microspheres as a near-field optical confinement structure array for laserassisted nanosintering and nanoablation of nanoparticles. By taking advantage of the low processing temperature and reduced thermal diffusion in the nanoparticle film, a minimum feature size down to ≈i100nm is realized. In addition, smaller features (50nm) are obtained by furnace annealing of laser-sintered nanodots at 400 °C. The electrical conductivity of sintered nanolines is also studied. Using nanoline electrodes separated by a submicrometer gap, organic field-effect transistors are subsequently fabricated with oxygen-stable semiconducting polymer. © 2010 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim.

  10. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  11. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  12. Self-Organization of Spatial Patterning in Human Embryonic Stem Cells.

    Science.gov (United States)

    Deglincerti, Alessia; Etoc, Fred; Ozair, M Zeeshan; Brivanlou, Ali H

    2016-01-01

    The developing embryo is a remarkable example of self-organization, where functional units are created in a complex spatiotemporal choreography. Recently, human embryonic stem cells (ESCs) have been used to recapitulate in vitro the self-organization programs that are executed in the embryo in vivo. This represents an unique opportunity to address self-organization in humans that is otherwise not addressable with current technologies. In this chapter, we review the recent literature on self-organization of human ESCs, with a particular focus on two examples: formation of embryonic germ layers and neural rosettes. Intriguingly, both activation and elimination of TGFβ signaling can initiate self-organization, albeit with different molecular underpinnings. We discuss the mechanisms underlying the formation of these structures in vitro and explore future challenges in the field. © 2016 Elsevier Inc. All rights reserved.

  13. Signal of microstrip scanning near-field optical microscope in far- and near-field zones.

    Science.gov (United States)

    Morozov, Yevhenii M; Lapchuk, Anatoliy S

    2016-05-01

    An analytical model of interference between an electromagnetic field of fundamental quasi-TM(EH)00-mode and an electromagnetic field of background radiation at the apex of a near-field probe based on an optical plasmon microstrip line (microstrip probe) has been proposed. The condition of the occurrence of electromagnetic energy reverse flux at the apex of the microstrip probe was obtained. It has been shown that the nature of the interference depends on the length of the probe. Numerical simulation of the sample scanning process was conducted in illumination-reflection and illumination-collection modes. Results of numerical simulation have shown that interference affects the scanning signal in both modes. However, in illumination-collection mode (pure near-field mode), the signal shape and its polarity are practically insensible to probe length change; only signal amplitude (contrast) is slightly changed. However, changing the probe length strongly affects the signal amplitude and shape in the illumination-reflection mode (the signal formed in the far-field zone). Thus, we can conclude that even small background radiation can significantly influence the signal in the far-field zone and has practically no influence on a pure near-field signal.

  14. Data requirements for integrated near field models

    International Nuclear Information System (INIS)

    Wilems, R.E.; Pearson, F.J. Jr.; Faust, C.R.; Brecher, A.

    1981-01-01

    The coupled nature of the various processes in the near field require that integrated models be employed to assess long term performance of the waste package and repository. The nature of the integrated near field models being compiled under the SCEPTER program are discussed. The interfaces between these near field models and far field models are described. Finally, near field data requirements are outlined in sufficient detail to indicate overall programmatic guidance for data gathering activities

  15. Towards phonon photonics: scattering-type near-field optical microscopy reveals phonon-enhanced near-field interaction

    International Nuclear Information System (INIS)

    Hillenbrand, Rainer

    2004-01-01

    Diffraction limits the spatial resolution in classical microscopy or the dimensions of optical circuits to about half the illumination wavelength. Scanning near-field microscopy can overcome this limitation by exploiting the evanescent near fields existing close to any illuminated object. We use a scattering-type near-field optical microscope (s-SNOM) that uses the illuminated metal tip of an atomic force microscope (AFM) to act as scattering near-field probe. The presented images are direct evidence that the s-SNOM enables optical imaging at a spatial resolution on a 10 nm scale, independent of the wavelength used (λ=633 nm and 10 μm). Operating the microscope at specific mid-infrared frequencies we found a tip-induced phonon-polariton resonance on flat polar crystals such as SiC and Si 3 N 4 . Being a spectral fingerprint of any polar material such phonon-enhanced near-field interaction has enormous applicability in nondestructive, material-specific infrared microscopy at nanoscale resolution. The potential of s-SNOM to study eigenfields of surface polaritons in nanostructures opens the door to the development of phonon photonics--a proposed infrared nanotechnology that uses localized or propagating surface phonon polaritons for probing, manipulating and guiding infrared light in nanoscale devices, analogous to plasmon photonics

  16. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  17. Clinical acceptability of two self-etch adhesive resins for the bonding of orthodontic brackets to enamel.

    Science.gov (United States)

    Schnebel, Bradley; Mateer, Scott; Maganzini, Anthony Louis; Freeman, Katherine

    2012-12-01

    To determine whether two self-adhesive resin cements, Clearfil SA and RelyX, can be used to successfully bond orthodontic brackets to enamel. Seventy extracted premolars were custom mounted, cleaned and randomly divided into three groups. In group 1 (control), orthodontic brackets were bonded to 25 premolars using the Transbond Plus and Transbond XT two step adhesive systerm adhesive. In group 2, brackets were bonded to 25 premolars using Clearfil SA. In group 3, brackets were bonded to 20 premolars using RelyX. The brackets were debonded using a universal testing machine and shear bond strengths recorded. After debonding, each tooth was examined under 20× magnification to evaluate the residual adhesive remaining. An ANOVA with Duncan's Multiple Range Test was used to determine whether there were significant differences in shear bond strength between the groups. A Kruskal-Wallis Test and a Bonferroni multiple comparison procedure were used to compare the bond failure modes (adhesive remnant index scores) between the groups. The mean shear bond strengths for the brackets bonded using Clearfil SA and RelyX were 5·930±1·840 and 3·334±1·953 MPa, respectively. Both were significantly lower than that for the brackets bonded using Transbond (7·875±3·611 MPa). Both self-etch adhesive resin cement groups showed a greater incidence of bracket failure at the enamel/adhesive interface while the Transbond group showed a higher incidence at the bracket/adhesive interface. The shear bond strengths of the self-etch adhesive resin cements may be inadequate to successfully bond orthodontic brackets to enamel.

  18. Chiral near-fields around chiral dolmen nanostructure

    International Nuclear Information System (INIS)

    Fu, Tong; Wang, Tiankun; Chen, Yuyan; Wang, Yongkai; Qu, Yu; Zhang, Zhongyue

    2017-01-01

    Discriminating the handedness of the chiral molecule is of great importance in the field of pharmacology and biomedicine. Enhancing the chiral near-field is one way to increase the chiral signal of chiral molecules. In this paper, the chiral dolmen nanostructure (CDN) is proposed to enhance the chiral near-field. Numerical results show that the CDN can increase the optical chirality of the near-field by almost two orders of magnitude compared to that of a circularly polarized incident wave. In addition, the optical chirality of the near-field of the bonding mode is enhanced more than that of the antibonding mode. These results provide an effective method for tailoring the chiral near-field for biophotonics sensors. (paper)

  19. Bistable near field and bistable transmittance in 2D composite slab consisting of nonlocal core-Kerr shell inclusions.

    Science.gov (United States)

    Huang, Yang; Wu, Ya Min; Gao, Lei

    2017-01-23

    We carry out a theoretical study on optical bistability of near field intensity and transmittance in two-dimensional nonlinear composite slab. This kind of 2D composite is composed of nonlocal metal/Kerr-type dielectric core-shell inclusions randomly embedded in the host medium, and we derivate the nonlinear relation between the field intensity in the shell of inclusions and the incident field intensity with self-consistent mean field approximation. Numerical demonstration has been performed to show the viable parameter space for the bistable near field. We show that nonlocality can provide broader region in geometric parameter space for bistable near field as well as bistable transmittance of the nonlocal composite slab compared to local case. Furthermore, we investigate the bistable transmittance in wavelength spectrum, and find that besides the input intensity, the wavelength operation could as well make the transmittance jump from a high value to a low one. This kind of self-tunable nano-composite slab might have potential application in optical switching devices.

  20. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  1. THz near-field imaging of biological tissues employing synchrotron radiation

    International Nuclear Information System (INIS)

    Schade, Ulrich; Holldack, Karsten; Martin, Michael C.; Fried, Daniel

    2004-01-01

    Terahertz scanning near-field infrared microscopy (SNIM) below 1 THz is demonstrated. The near-field technique benefits from the broadband and highly brilliant coherent synchrotron radiation (CSR) from an electron storage ring and from a detection method based on locking onto the intrinsic time structure of the synchrotron radiation. The scanning microscope utilizes conical wave guides as near-field probes with apertures smaller than the wavelength. Different cone approaches have been investigated to obtain maximum transmittance. Together with a Martin-Puplett spectrometer the set-up enables spectroscopic mapping of the transmittance of samples well below the diffraction limit. Spatial resolution down to about lambda/40 at 2 wavenumbers (0.06 THz) is derived from the transmittance spectra of the near-field probes. The potential of the technique is exemplified by imaging biological samples. Strongly absorbing living leaves have been imaged in transmittance with a spatial resolution of 130 mu-m at about 12 wave numbers (0.36 THz). The THz near-field images reveal distinct structural differences of leaves from different plants investigated. The technique presented also allows spectral imaging of bulky organic tissues. Human teeth samples of various thicknesses have been imaged between 2 and 20 wavenumbers (between 0.06and 0.6 THz). Regions of enamel and dentin within tooth samples are spatially and spectrally resolved, and buried caries lesions are imaged through both the outer enamel and into the underlying dentin

  2. Near field plasmon and force microscopy

    NARCIS (Netherlands)

    de Hollander, R.B.G.; van Hulst, N.F.; Kooyman, R.P.H.

    1995-01-01

    A scanning plasmon near field optical microscope (SPNM) is presented which combines a conventional far field surface plasmon microscope with a stand-alone atomic force microscope (AFM). Near field plasmon and force images are recorded simultaneously both with a lateral resolution limited by the

  3. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  4. Chemical reactivity of self-organized alumina nanopores in aqueous medium

    International Nuclear Information System (INIS)

    Rocca, E.; Vantelon, D.; Gehin, A.; Augros, M.; Viola, A.

    2011-01-01

    This work is devoted to the characterization of the structure and chemistry of small self-organized nanopores of aluminum oxide in aqueous medium (diameter 4 /AlO 6 clusters is proposed to describe the amorphous oxide constituting the walls of the nanostructure. X-ray absorption near edge spectroscopy measurements, electrokinetic measurements and O 18 tracer experiments bring to light the structural changes and the specific diffusion mechanism in the nanometer network. Immersion in boiling water induces both the transformation of AlO 4 to AlO 6 clusters and the release of sulfate species by hydrolysis. Water molecules rapidly diffuse in the nanostructure, but ion diffusion is selective because of surface positive charges and overlap of the surface electric field in very small pores.

  5. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  6. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  7. Effect of sonic application mode on the resin-dentin bond strength and dentin permeability of self-etching systems.

    Science.gov (United States)

    Mena-Serrano, Alexandra; Costa, Thays Regina Ferreira da; Patzlaff, Rafael Tiago; Loguercio, Alessandro Dourado; Reis, Alessandra

    2014-10-01

    To compare manual and sonic adhesive application modes in terms of the permeability and microtensile bond strength of a self-etching adhesive applied in the one-step or two-step protocol. Self-etching All Bond SE (Bisco) was applied as a one- or a two-step adhesive under manual or sonic vibration modes on flat occlusal dentin surfaces of 64 human molars. Half of the teeth were used to measure the hydraulic conductance of dentin at 200 cm H₂O hydrostatic pressure for 5 min immediately after the adhesive application. In the other half, composite buildups (Opallis) were constructed incrementally to create resin-dentin sticks with a cross-sectional area of 0.8 mm² to be tested in tension (0.5 mm/min) immediately after restoration placement. Data were analyzed using a two-way ANOVA and Tukey's test (α = 0.05). The fluid conductance of dentin was significantly reduced by the sonic vibration mode for both adhesives, but no effect on the bond strength values was observed for either adhesive. The sonic application mode at an oscillating frequency of 170 Hz can reduce the fluid conductance of the one- and two-step All Bond SE adhesive when applied on dentin.

  8. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  9. Non-Gaussianity from self-ordering scalar fields

    International Nuclear Information System (INIS)

    Figueroa, Daniel G.; Caldwell, Robert R.; Kamionkowski, Marc

    2010-01-01

    The Universe may harbor relics of the post-inflationary epoch in the form of a network of self-ordered scalar fields. Such fossils, while consistent with current cosmological data at trace levels, may leave too weak an imprint on the cosmic microwave background and the large-scale distribution of matter to allow for direct detection. The non-Gaussian statistics of the density perturbations induced by these fields, however, permit a direct means to probe for these relics. Here we calculate the bispectrum that arises in models of self-ordered scalar fields. We find a compact analytic expression for the bispectrum, evaluate it numerically, and provide a simple approximation that may be useful for data analysis. The bispectrum is largest for triangles that are aligned (have edges k 1 ≅2k 2 ≅2k 3 ) as opposed to the local-model bispectrum, which peaks for squeezed triangles (k 1 ≅k 2 >>k 3 ), and the equilateral bispectrum, which peaks at k 1 ≅k 2 ≅k 3 . We estimate that this non-Gaussianity should be detectable by the Planck satellite if the contribution from self-ordering scalar fields to primordial perturbations is near the current upper limit.

  10. 75 FR 63878 - Self-Regulatory Organizations; Self-Regulatory Organizations; Notice of Filing and Immediate...

    Science.gov (United States)

    2010-10-18

    ...-Regulatory Organizations; Self-Regulatory Organizations; Notice of Filing and Immediate Effectiveness of...(b)(1). \\2\\ 17 CFR 240.19b-4. I. Self-Regulatory Organization's Statement of the Terms of Substance... Public Reference Room. II. Self-Regulatory Organization's Statement of the Purpose of, and Statutory...

  11. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  12. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  13. Self-organization, free energy minimization, and optimal grip on a field of affordances.

    Science.gov (United States)

    Bruineberg, Jelle; Rietveld, Erik

    2014-01-01

    In this paper, we set out to develop a theoretical and conceptual framework for the new field of Radical Embodied Cognitive Neuroscience. This framework should be able to integrate insights from several relevant disciplines: theory on embodied cognition, ecological psychology, phenomenology, dynamical systems theory, and neurodynamics. We suggest that the main task of Radical Embodied Cognitive Neuroscience is to investigate the phenomenon of skilled intentionality from the perspective of the self-organization of the brain-body-environment system, while doing justice to the phenomenology of skilled action. In previous work, we have characterized skilled intentionality as the organism's tendency toward an optimal grip on multiple relevant affordances simultaneously. Affordances are possibilities for action provided by the environment. In the first part of this paper, we introduce the notion of skilled intentionality and the phenomenon of responsiveness to a field of relevant affordances. Second, we use Friston's work on neurodynamics, but embed a very minimal version of his Free Energy Principle in the ecological niche of the animal. Thus amended, this principle is helpful for understanding the embeddedness of neurodynamics within the dynamics of the system "brain-body-landscape of affordances." Next, we show how we can use this adjusted principle to understand the neurodynamics of selective openness to the environment: interacting action-readiness patterns at multiple timescales contribute to the organism's selective openness to relevant affordances. In the final part of the paper, we emphasize the important role of metastable dynamics in both the brain and the brain-body-environment system for adequate affordance-responsiveness. We exemplify our integrative approach by presenting research on the impact of Deep Brain Stimulation on affordance responsiveness of OCD patients.

  14. Novel concepts in near-field optics: from magnetic near-field to optical forces

    Science.gov (United States)

    Yang, Honghua

    Driven by the progress in nanotechnology, imaging and spectroscopy tools with nanometer spatial resolution are needed for in situ material characterizations. Near-field optics provides a unique way to selectively excite and detect elementary electronic and vibrational interactions at the nanometer scale, through interactions of light with matter in the near-field region. This dissertation discusses the development and applications of near-field optical imaging techniques, including plasmonic material characterization, optical spectral nano-imaging and magnetic field detection using scattering-type scanning near-field optical microscopy (s-SNOM), and exploring new modalities of optical spectroscopy based on optical gradient force detection. Firstly, the optical dielectric functions of one of the most common plasmonic materials---silver is measured with ellipsometry, and analyzed with the Drude model over a broad spectral range from visible to mid-infrared. This work was motivated by the conflicting results of previous measurements, and the need for accurate values for a wide range of applications of silver in plasmonics, optical antennas, and metamaterials. This measurement provides a reference for dielectric functions of silver used in metamaterials, plasmonics, and nanophotonics. Secondly, I implemented an infrared s-SNOM instrument for spectroscopic nano-imaging at both room temperature and low temperature. As one of the first cryogenic s-SNOM instruments, the novel design concept and key specifications are discussed. Initial low-temperature and high-temperature performances of the instrument are examined by imaging of optical conductivity of vanadium oxides (VO2 and V2O 3) across their phase transitions. The spectroscopic imaging capability is demonstrated on chemical vibrational resonances of Poly(methyl methacrylate) (PMMA) and other samples. The third part of this dissertation explores imaging of optical magnetic fields. As a proof-of-principle, the magnetic

  15. Characteristics of near-field earthquake ground motion

    International Nuclear Information System (INIS)

    Kim, H. K.; Choi, I. G.; Jeon, Y. S.; Seo, J. M.

    2002-01-01

    The near-field ground motions exhibit special response characteristics that are different from those of ordinary ground motions in the velocity and displacement response. This study first examines the characteristics of near-field ground motion depending on fault directivity and fault normal and parallel component. And the response spectra of the near field ground motion are statistically processed, and are compared with the Regulatory Guide 1.60 spectrum that is present design spectrum of the nuclear power plant. The response spectrum of the near filed ground motions shows large spectral velocity and displacement in the low frequency range. The spectral accelerations of near field ground motion are greatly amplified in the high frequency range for the rock site motions, and in the low frequency range for the soil site motions. As a result, the near field ground motion effects should be considered in the seismic design and seismic safety evaluation of the nuclear power plant structures and equipment

  16. A self-assembled monolayer-assisted surface microfabrication and release technique

    NARCIS (Netherlands)

    Kim, B.J.; Liebau, M.; Huskens, Jurriaan; Reinhoudt, David; Brugger, J.P.

    2001-01-01

    This paper describes a method of thin film and MEMS processing which uses self-assembled monolayers as ultra-thin organic surface coating to enable a simple removal of microfabricated devices off the surface without wet chemical etching. A 1.5-nm thick self-assembled monolayer of

  17. Microshear bond strength of self-etching systems associated with a hydrophobic resin layer.

    Science.gov (United States)

    De Vito Moraes, André Guaraci; Francci, Carlos; Carvalho, Ceci Nunes; Soares, Silvio Peixoto; Braga, Roberto Ruggiero

    2011-08-01

    To evaluate in vitro the microshear bond strength of adhesive systems applied to dentin according to manufacturers' instructions, associated or not with a hydrophobic layer of unfilled resin. Six self-etching adhesives (Clearfil SE Bond, Kuraray Medical; AdheSE, Ivoclar Vivadent; Xeno III, Dentsply; I Bond, Heraeus-Kulzer; Bond Force, Tokuyama; Futurabond DC, Voco) were tested. The labial dentin of sixty bovine incisors was exposed, and the teeth were divided into two groups according to the application or not of an extra hydrophobic resin layer (Scotchbond Multi Purpose Plus, bottle 3). Six composite cylinders (Filtek Z250, 3M ESPE) were built up on each treated surface. Specimens were stored in distilled water at 37ºC for 24 h and then subjected to the microshear bond strength test in a universal testing machine at a crosshead speed of 0.5 mm/min. Microshear bond strength values were analyzed by 2-way ANOVA and Tukey's post-hoc test. Failure mode was determined using a stereomicroscope under 20X magnification. The application of the hydrophobic resin layer did not affect bond strength, except for AdheSE. However, the bond strengths with the hydrophobic layer were similar among the six tested systems (Clearfil: 17.1 ± 7.9; AdheSE: 14.5 ± 7.1; Xeno lll: 12.8 ± 7.7; I Bond: 9.5 ± 5.8; Bond Force: 17.5 ± 4.1; Futurabond: 7.7 ± 2.3). When used as recommended by the manufacturers, Bond Force presented statistically higher bond strength than AdheSE and I Bond (p AdheSE 1.6 ± 1.6; Xeno lll: 9.0 ± 3.8; I Bond: 3.0 ± 1.5; Bond Force: 14 ± 3.9; Futurabond: 8.8 ± 3.8). Failure mode was predominantly adhesive. The bond strength of the self-etching systems tested was not significantly affected by the application of a hydrophobic layer, but a significant improvement was observed in AdheSE.

  18. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  19. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  20. Self-organization, Networks, Future

    Directory of Open Access Journals (Sweden)

    T. S. Akhromeyeva

    2013-01-01

    Full Text Available This paper presents an analytical review of a conference on the great scientist, a brilliant professor, an outstanding educator Sergei Kapitsa, held in November 2012. In the focus of this forum were problems of self-organization and a paradigm of network structures. The use of networks in the context of national defense, economics, management of mass consciousness was discussed. The analysis of neural networks in technical systems, the structure of the brain, as well as in the space of knowledge, information, and behavioral strategies plays an important role. One of the conference purposes was to an online organize community in Russia and to identify the most promising directions in this field. Some of them are presented in this paper.

  1. Influence of Air Abrasion and Sonic Technique on Microtensile Bond Strength of One-Step Self-Etch Adhesive on Human Dentin

    Directory of Open Access Journals (Sweden)

    Baraba Anja

    2015-01-01

    Full Text Available The purpose of this in vitro study was to evaluate the microtensile bond strength of one-step self-etch adhesive to human dentin surface modified with air abrasion and sonic technique and to assess the morphological characteristics of the pretreated dentin surface. The occlusal enamel was removed to obtain a flat dentin surface for thirty-six human molar teeth. The teeth were randomly divided into three experimental groups (n = 12 per group, according to the pretreatment of the dentin: (1 control group, (2 air abrasion group, and (3 sonic preparation group. Microtensile bond strength test was performed on a universal testing machine. Two specimens from each experimental group were subjected to SEM examination. There was no statistically significant difference in bond strength between the three experimental groups (P > 0.05. Mean microtensile bond strength (MPa values were 35.3 ± 12.8 for control group, 35.8 ± 13.5 for air abrasion group, and 37.7 ± 12.0 for sonic preparation group. The use of air abrasion and sonic preparation with one-step self-etch adhesive does not appear to enhance or impair microtensile bond strength in dentin.

  2. Near Field Communication: Introduction and Implications

    Science.gov (United States)

    McHugh, Sheli; Yarmey, Kristen

    2012-01-01

    Near field communication is an emerging technology that allows objects, such as mobile phones, computers, tags, or posters, to exchange information wirelessly across a small distance. Though primarily associated with mobile payment, near field communication has many different potential commercial applications, ranging from marketing to nutrition,…

  3. Self-generation of magnetic fields

    International Nuclear Information System (INIS)

    Dolan, T.J.

    2000-01-01

    The stars generate self-magnetic fields on large spatial scales and long time scales,and laser-produced plasmas generate intense self-magnetic fields on very short spatial and time scales. Two questions are posed : (1) Could a self-magnetic field be generated in a laboratory plasma with intermediate spatial and time scales? (2) If a self-magnetic field were generated,would it evolve towards a minimum energy state? If the answers turned out to be affirmative,then self-magnetic fields could possibly have interesting applications

  4. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  5. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  6. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  7. Evaluation of the tensile bond strength of an adhesive system self-etching in dentin irradiated with Er:YAG laser; Avaliacao da resistencia a tracao de um sistema adesivo self-etching em dentina irradiada com Er:YAG laser

    Energy Technology Data Exchange (ETDEWEB)

    Mello, Andrea Malluf Dabul de

    2000-07-01

    Since Buonocore (1955), several researchers have been seeking for the best adhesive system and treatment for the enamel and dentin surfaces. The use of the acid has been presented as one of the best techniques of dentin conditioning , because this promotes the removal of the 'smear layer and exhibition of dentinal structure, for a best penetration and micro- retention of the adhesive system. However, some conditioning methods have been appearing in the literature, for the substitution or interaction with the acid substances, as the laser. The objective of this work is to evaluate the tensile bond strength of the adhesive system self-etching' associated to a composed resin, in dentin surfaces conditioned with the Er:YAG laser. For this study, freshly extracted human teeth were used and in each one the dentinal surfaces , which were treated with three sandpapers of different granulations (120,400,600), to obtain a standard of the smear layer, before the irradiation of the laser and of the restoring procedure. After these procedures the specimens were storage in distilled water at 37 deg C for 24 hours. Soon after, they were submitted to the tensile strength test .After analyzing the results, we can concluded that the use of the Er:YAG laser can substitute the drill without the need of conditioning, when using the adhesive system 'self-etching' in the dentinal surfaces because there was a decline in the strength of adhesion in the groups conditioned with the laser. (author)

  8. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  9. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    Science.gov (United States)

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (Pcomposite resins to dentin, no single etching protocol is better than another. Depending on which bonding agent is being used, one etching mode may perform better. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  10. Effect of EDTA Conditioning and Carbodiimide Pretreatment on the Bonding Performance of All-in-One Self-Etch Adhesives

    Directory of Open Access Journals (Sweden)

    Shipra Singh

    2015-01-01

    Full Text Available Objective. This study evaluated the effect of ethylenediaminetetraacetic acid (EDTA conditioning and carbodiimide (EDC pretreatment on the shear bond strength of two all-in-one self-etch adhesives to dentin. Methods. Flat coronal dentin surfaces were prepared on one hundred and sixty extracted human molars. Teeth were randomly divided into eight groups according to two different self-etch adhesives used [G-Bond and OptiBond-All-In-One] and four different surface pretreatments: (a adhesive applied following manufacturer’s instructions; (b dentin conditioning with 24% EDTA gel prior to application of adhesive; (c EDC pretreatment followed by application of adhesive; (d application of EDC on EDTA conditioned dentin surface followed by application of adhesive. Composite restorations were placed in all the samples. Ten samples from each group were subjected to immediate and delayed (6-month storage in artificial saliva shear bond strength evaluation. Data collected was subjected to statistical analysis using three-way ANOVA and post hoc Tukey’s test at a significance level of p<0.05.  Results and Conclusion. EDTA preconditioning as well as EDC pretreatment alone had no significant effect on the immediate and delayed bond strengths of either of the adhesives. However, EDC pretreatment on EDTA conditioned dentin surface resulted in preservation of resin-dentin bond strength of both adhesives with no significant fall over six months.

  11. Effect of moisture and drying time on the bond strength of the one-step self-etching adhesive system

    Directory of Open Access Journals (Sweden)

    Yoon Lee

    2012-08-01

    Full Text Available Objectives To investigate the effect of dentin moisture degree and air-drying time on dentin-bond strength of two different one-step self-etching adhesive systems. Materials and Methods Twenty-four human third molars were used for microtensile bond strength testing of G-Bond and Clearfil S3 Bond. The dentin surface was either blot-dried or air-dried before applying these adhesive agents. After application of the adhesive agent, three different air drying times were evaluated: 1, 5, and 10 sec. Composite resin was build up to 4 mm thickness and light cured for 40 sec with 2 separate layers. Then the tooth was sectioned and trimmed to measure the microtensile bond strength using a universal testing machine. The measured bond strengths were analyzed with three-way ANOVA and regression analysis was done (p = 0.05. Results All three factors, materials, dentin wetness and air drying time, showed significant effect on the microtensile bond strength. Clearfil S3 Bond, dry dentin surface and 10 sec air drying time showed higher bond strength. Conclusions Within the limitation of this experiment, air drying time after the application of the one-step self-etching adhesive agent was the most significant factor affecting the bond strength, followed by the material difference and dentin moisture before applying the adhesive agent.

  12. A 12-month clinical evaluation of pit-and-fissure sealants placed with and without etch-and-rinse and self-etch adhesive systems in newly-erupted teeth

    Directory of Open Access Journals (Sweden)

    Maryam Karami Nogourani

    2012-06-01

    Full Text Available OBJECTIVES: The objective of this one-year clinical study was to investigate the effect of two adhesive systems (Adper Single Bond, a two-step etch-and-rinse and Clearfil SE Bond, a two-step self-etch system on pit-and-fissure sealant retention in newly-erupted teeth. This study compared the success of the sealants in mesial and distopalatal grooves with and without these two adhesive systems. MATERIAL AND METHODS: In a clinical trial, 35 children aged 6-8 years undergoing sealant placement were recruited. This one-year clinical study scored 70 mesial and 70 distopalatal sealants of newly-erupted permanent maxillary first molar, with a split-mouth design. All children received sealant alone in one permanent maxillary molar tooth. Children were randomized into two groups. One group received Self-etch (Se bond plus sealant and the other group received Single Bond plus sealant in another permanent maxillary molar tooth. Clinical evaluation at 3, 6 and 12 months was performed and the retention was studied in terms of the success and failure. RESULTS: The success rate of sealant in the distopalatal groove, using SeB at 3, 6 and 12 months was 93.3% (95% CI: 68.0, 99.8, 73.3% (95% CI: 44.9, 92.2 and 66.7% (95% CI: 38.4, 88.2, respectively. It was greater than that of the distopalatal groove in SB group with a success rate of 62.5% (95% CI: 35.4, 84.8, 31.3% (95% CI: 11.8, 58.7 and 31.3% (95% CI: 11.8, 58.7, at the three evaluation periods. The success rate of sealant in the mesial groove using SeB was 86.6% (95% CI: 59.5, 98.3, 53.3% (95% CI: 26.6, 78.7 and 53.3% (95% CI: 26.6, 78.7, while this was 100% (95% CI: 79.4, 100.0, 81.3% (95% CI: 54.4, 96.0 and 81.3% (95% CI: 54.4, 96.0 using SB, at 3, 6 and 12-month evaluation periods. CONCLUSIONS: These results support the use of these two bonding agents in pit-and-fissure sealants under both isolated and contaminated conditions. Further, Se bond seemed to be less sensitive to moisture contamination.

  13. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  14. Three-year randomized controlled clinical study of a one step universal adhesive and a two-step self-etch adhesive in Class II resin composite restorations

    DEFF Research Database (Denmark)

    van Dijken, Jan WV; Pallesen, Ulla

    2017-01-01

    Purpose: To evaluate in a randomized clinical evaluation the 3-year clinical durability of a one-step universal adhesive bonding system and compare it intraindividually with a 2-step self-etch adhesive in Class II restorations. Materials and Methods: Each of 57 participants (mean age 58.3 yr......) received at least two, as similar as possible, extended Class II restorations. The cavities in each of the 60 individual pairs of cavities were randomly distributed to the 1-step universal adhesive (All Bond Universal: AU) and the control 2-step self-etch adhesive (Optibond XTR: OX). A low shrinkage resin......) success rates (p>0.05). Annual failure rates were 1.8% and 2.6%, respectively.The main reason for failure was resin composite fracture. Conclusion: Class II resin composite restorations placed with a one-step universal adhesive showed good short time effectiveness....

  15. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  16. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  18. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  19. Classifying galaxy spectra at 0.5 < z < 1 with self-organizing maps

    Science.gov (United States)

    Rahmani, S.; Teimoorinia, H.; Barmby, P.

    2018-05-01

    The spectrum of a galaxy contains information about its physical properties. Classifying spectra using templates helps elucidate the nature of a galaxy's energy sources. In this paper, we investigate the use of self-organizing maps in classifying galaxy spectra against templates. We trained semi-supervised self-organizing map networks using a set of templates covering the wavelength range from far ultraviolet to near infrared. The trained networks were used to classify the spectra of a sample of 142 galaxies with 0.5 K-means clustering, a supervised neural network, and chi-squared minimization. Spectra corresponding to quiescent galaxies were more likely to be classified similarly by all methods while starburst spectra showed more variability. Compared to classification using chi-squared minimization or the supervised neural network, the galaxies classed together by the self-organizing map had more similar spectra. The class ordering provided by the one-dimensional self-organizing maps corresponds to an ordering in physical properties, a potentially important feature for the exploration of large datasets.

  20. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  1. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  2. Nanoscience with liquid crystals from self-organized nanostructures to applications

    CERN Document Server

    Li, Quan

    2014-01-01

    This book focuses on the exciting topic of nanoscience with liquid crystals: from self-organized nanostructures to applications. The elegant self-organized liquid crystalline nanostructures, the synergetic characteristics of liquid crystals and nanoparticles, liquid crystalline nanomaterials, synthesis of nanomaterials using liquid crystals as templates, nanoconfinement and nanoparticles of liquid crystals are covered and discussed, and the prospect of fabricating functional materials is highlighted. Contributions, collecting the scattered literature of the field from leading and active player

  3. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  4. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  5. Comparative Evaluation of Shear Bond Strength of Orthodontic Brackets using Laser Etching and Two Conventional Etching Techniques: An in vitro Study

    Directory of Open Access Journals (Sweden)

    Shilpa Chawla Jamenis

    2011-01-01

    Conclusion : These results indicate that the shear bond strength of all the three groups was clinically acceptable with no significant difference between them but more adhesive was left on enamel treated with acid and laser as compared to self-etch primer treated enamel.

  6. Experimental measurements of the solubility of technetium under near-field conditions

    International Nuclear Information System (INIS)

    Pilkington, N.J.; Wilkins, J.D.

    1988-05-01

    The solubility of technetium in contact with hydrated technetium dioxide under near-field conditions has been measured experimentally. The values obtained were changed little by a change in pH or in the filtration method used. The presence of organic degradation products increased slightly the solution concentration of technetium. (author)

  7. Near field plasmon and force microscopy

    OpenAIRE

    de Hollander, R.B.G.; van Hulst, N.F.; Kooyman, R.P.H.

    1995-01-01

    A scanning plasmon near field optical microscope (SPNM) is presented which combines a conventional far field surface plasmon microscope with a stand-alone atomic force microscope (AFM). Near field plasmon and force images are recorded simultaneously both with a lateral resolution limited by the probe size to about 20 nm. At variance to previous work, utilizing a scanning tunneling microscope (STM) with a metallic tip, a dielectric silicon-nitride tip is used in contact mode. This arrangement ...

  8. Antibacterial Effect of All-in-one Self-etch Adhesives on Enterococcus faecalis

    Directory of Open Access Journals (Sweden)

    Mohammad Esmaeel Ebrahimi Chaharom

    2014-12-01

    Full Text Available Background and aims. The aim of this study was to evaluate the antibacterial activity of one-step self-etch adhesives on Enterococcus faecalis on days 1, 7 and 14 with the use of modified direct contact test. Materials and methods. The modified directcontact test was used to evaluate the antibacterial effect of Adper Easy One, Bond Force, Clearfil S3 Bond, Futurabond M, G-Bond, iBond and OptiBond All-in-one adhesives on Enterococcus faecalisafter aging the samples in phosphate-buffered saline for one, seven and fourteen days. Data were analyzed using one-way ANOVA and post hoc Tukey tests. Aging effect of each adhesive was evaluated by paired-sample test. In this study, P0.05. Conclusion. iBond exhibited the highest antibacterial effect on E. faecalis after one week. Futurabond and OptiBond All-in-one exhibited antibacterial effects against E. faecalis for one week.

  9. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  10. Survey and review of near-field performance assessment

    International Nuclear Information System (INIS)

    Apted, M.J.

    1993-01-01

    Chemical reactions control the performance, stability, and rate of degradation of natural and engineered barriers to waste repositories of the near field. Chemical processes are overviewed in this context. Temperature, and associated temperature gradients, are also important parameters in near-field performance assessment. The mechanical conditions of the near-field rock will be perturbed by construction of the underground repository. Mechanical analysis in the near field is further complicated by the introduction of HLW canisters and associated engineered barrier materials. Hydrological processes important to near-field performance include those associated with fluid transport. Considerable discussions and studies have been conducted on the issue of coupling among chemical-thermal-mechanical-hydrological processes; they are overviewed. (R.P.) 2 figs., 2 tabs

  11. Brain Basis of Self: Self-Organization and Lessons from Dreaming

    Directory of Open Access Journals (Sweden)

    David eKahn

    2013-07-01

    Full Text Available Through dreaming a different facet of the self is created as a result of a self-organizing process in the brain. Self-organization in biological systems often happens as an answer to an environmental change for which the existing system cannot cope; self-organization creates a system that can cope in the newly changed environment. In dreaming, self-organization serves the function of organizing disparate memories into a dream since the dreamer herself is not able to control how individual memories become weaved into a dream. The self-organized dream provides, thereby, a wide repertoire of experiences; this expanded repertoire of experience results in an expansion of the self beyond that obtainable when awake. Since expression of the self is associated with activity in specific areas of the brain, the article also discusses the brain basis of the self by reviewing studies of brain injured patients, discussing brain imaging studies in normal brain functioning when focused, when daydreaming and when asleep and dreaming.

  12. Nonpolar III-nitride vertical-cavity surface-emitting laser with a photoelectrochemically etched air-gap aperture

    Energy Technology Data Exchange (ETDEWEB)

    Leonard, J. T., E-mail: jtleona01@gmail.com; Yonkee, B. P.; Cohen, D. A.; Megalini, L.; Speck, J. S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Lee, S. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States); DenBaars, S. P.; Nakamura, S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Department of Electrical and Computer Engineering, University of California, Santa Barbara, California 93106 (United States)

    2016-01-18

    We demonstrate a III-nitride nonpolar vertical-cavity surface-emitting laser (VCSEL) with a photoelectrochemically (PEC) etched aperture. The PEC lateral undercut etch is used to selectively remove the multi-quantum well (MQW) region outside the aperture area, defined by an opaque metal mask. This PEC aperture (PECA) creates an air-gap in the passive area of the device, allowing one to achieve efficient electrical confinement within the aperture, while simultaneously achieving a large index contrast between core of the device (the MQW within the aperture) and the lateral cladding of the device (the air-gap formed by the PEC etch), leading to strong lateral confinement. Scanning electron microscopy and focused ion-beam analysis is used to investigate the precision of the PEC etch technique in defining the aperture. The fabricated single mode PECA VCSEL shows a threshold current density of ∼22 kA/cm{sup 2} (25 mA), with a peak output power of ∼180 μW, at an emission wavelength of 417 nm. The near-field emission profile shows a clearly defined single linearly polarized (LP) mode profile (LP{sub 12,1}), which is in contrast to the filamentary lasing that is often observed in III-nitride VCSELs. 2D mode profile simulations, carried out using COMSOL, give insight into the different mode profiles that one would expect to be displayed in such a device. The experimentally observed single mode operation is proposed to be predominantly a result of poor current spreading in the device. This non-uniform current spreading results in a higher injected current at the periphery of the aperture, which favors LP modes with high intensities near the edge of the aperture.

  13. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  14. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  15. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  16. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  17. THz near-field imaging of biological tissues employing synchrotron radiation (Invited Paper)

    Science.gov (United States)

    Schade, Ulrich; Holldack, Karsten; Martin, Michael C.; Fried, Daniel

    2005-04-01

    Terahertz scanning near-field infrared microscopy (SNIM) below 1 THz is demonstrated. The near-field technique benefits from the broadband and highly brilliant coherent synchrotron radiation (CSR) from an electron storage ring and from a detection method based on locking on to the intrinsic time structure of the synchrotron radiation. The scanning microscope utilizes conical waveguides as near-field probes with apertures smaller than the wavelength. Different cone approaches have been investigated to obtain maximum transmittance. Together with a Martin-Puplett spectrometer the set-up enables spectroscopic mapping of the transmittance of samples well below the diffraction limit. Spatial resolution down to about λ/40 at 2 wavenumbers (0.06 THz) is derived from the transmittance spectra of the near-field probes. The potential of the technique is exemplified by imaging biological samples. Strongly absorbing living leaves have been imaged in transmittance with a spatial resolution of 130 μm at about 12 wavenumbers (0.36 THz). The THz near-field images reveal distinct structural differences of leaves from different plants investigated. The technique presented also allows spectral imaging of bulky organic tissues. Human teeth samples of various thicknesses have been imaged between 2 and 20 wavenumbers (between 0.06 and 0.6 THz). Regions of enamel and dentin within tooth samples are spatially and spectrally resolved, and buried caries lesions are imaged through both the outer enamel and into the underlying dentin.

  18. Self-organization of turbulence. A brief review of self-organization with particular reference to hydrodynamic and magnetohydrodynamic turbulence

    Energy Technology Data Exchange (ETDEWEB)

    Hasegawa, A [Bell Labs., Murray Hill, NJ (USA)

    1982-02-01

    Theoretical treatments of turbulence in fluids and plasmas often assume that the turbulence is isotropic and homogeneous. It is also often considered that turbulence produces uniformly distributed chaos, even when starting with a coherent initial condition. Recently, however, phenomena which do not obey these classic concepts have emerged. For example, in two-dimensional Navier-Stokes turbulence, an organized flow or structure is found to appear even from a chaotic initial condition. The author attempts to review some of the recent developments of a phenomenon called self-organization in the field of hydrodynamics and plasma physics.

  19. Self-centring technique for fibre optic microlens mounting using a concave cone-etched fibre

    International Nuclear Information System (INIS)

    Demagh, Nacer-Eddine; Guessoum, Assia; Zegari, Rabah; Gharbi, Tijani

    2011-01-01

    Several techniques of centring a microlens onto the fibre optic end face are studied. In most of them, microsphere lenses are centred with the aid of high-accuracy micro-positioners. This process is complicated with regard to the difficulty in manipulating microsphere lenses. In this paper, a simple and accurate self-centring method for mounting microsphere lenses using a concave cone etched fibre (Demagh et al 2006 Meas. Sci. Technol. 17 119–22) is described. This technique allows the centring of a wide variety of microlens radii, typically 7 µm to over 24 µm. The proposed process, however, is not affected by any spatial positioning control of microspheres. In over 85% of the attempts, the microsphere lenses were centred on the fibre axis to within 0.12 µm

  20. Engineering the near-field imaging of a rectangular-lattice photonic-crystal slab in the second band

    Institute of Scientific and Technical Information of China (English)

    2009-01-01

    Imaging properties of a two-dimensional rectangular-lattice photonic crystal (PC) slab consisting of air holes immersed in a dielectric are studied in this work. The field patterns of electromagnetic waves radiated from a point source through the PC slab are calculated with the finite-difference time-domain method. Comparing the field patterns with the corresponding equifrequency-surface contours simulated by the plane-wave expansion method, we find that an excellent-quality near-field image may be formed through the PC slab by the mechanisms of the simultaneous action of the self-collimation effect and the negative-refraction effect. Near-field imaging may be obtained within two different frequency regions in two vertical directions of the PC slab.

  1. Atmospheric Convective Organization: Self-Organized Criticality or Homeostasis?

    Science.gov (United States)

    Yano, Jun-Ichi

    2015-04-01

    Atmospheric convection has a tendency organized on a hierarchy of scales ranging from the mesoscale to the planetary scales, with the latter especially manifested by the Madden-Julian oscillation. The present talk examines two major possible mechanisms of self-organization identified in wider literature from a phenomenological thermodynamic point of view by analysing a planetary-scale cloud-resolving model simulation. The first mechanism is self-organized criticality. A saturation tendency of precipitation rate with the increasing column-integrated water, reminiscence of critical phenomena, indicates self-organized criticality. The second is a self-regulation mechanism that is known as homeostasis in biology. A thermodynamic argument suggests that such self-regulation maintains the column-integrated water below a threshold by increasing the precipitation rate. Previous analyses of both observational data as well as cloud-resolving model (CRM) experiments give mixed results. A satellite data analysis suggests self-organized criticality. Some observational data as well as CRM experiments support homeostasis. Other analyses point to a combination of these two interpretations. In this study, a CRM experiment over a planetary-scale domain with a constant sea-surface temperature is analyzed. This analysis shows that the relation between the column-integrated total water and precipitation suggests self-organized criticality, whereas the one between the column-integrated water vapor and precipitation suggests homeostasis. The concurrent presence of these two mechanisms are further elaborated by detailed statistical and budget analyses. These statistics are scale invariant, reflecting a spatial scaling of precipitation processes. These self-organization mechanisms are most likely be best theoretically understood by the energy cycle of the convective systems consisting of the kinetic energy and the cloud-work function. The author has already investigated the behavior of this

  2. Microleakage of class V cavities restored with nanofilled resin modified glass ionomer and conventional glass ionomer with self etch adhesive and self etch primer

    Directory of Open Access Journals (Sweden)

    Mansore Mirzaie

    2016-03-01

    Full Text Available Background and Aims: Microleakage is a criterion proposed for assessing the success of any restorative material. Complete seal is difficult especially for dentin margins compared to enamel margins. The aim of this study was to assess the microleakage at the enamel and dentin margins of class V cavities restored by two GIs and two self-etch adhesive systems. Materials and Methods: This study was done on forty third molars. Class V cavities (3×2×2mm were prepared on the buccal and lingual surfaces of teeth using high speed handpiece with 0.8 mm diamond fissure burr. The occlusal margins of the cavities in the enamel and gingival marginswere placed 1 mm below the CEJ. The teeth were divided into 4 groups and the bondings were cured for 20 sec and the teeth were restored. The specimens were kept in distilled water at the temperature of 37°C for 24 hrs. The teeth were thermo cycled and cut in buccolingual direction using diamond disc under water. The dye penetration was evaluated using a stereomicroscope and the leakage was scored. The scores were compared using Kruskal-Wallis test while the paired comparisons were done using Bonferroni correction. P≤0.05 was regarded as significant results. Results: Microleakage scores were similar at the occlusal and gingival walls of all test groups. At the gingival walls, the least microleakage scores were observed. “Fuji IX + SE bond” group showed significant differences with the “Fuji IX + G bond” and “Nanoglass + G bond” groups (P≤0.05. At the occlusal walls, the least scores were observed in the “Fuji IX+SE bond” specimens which were significantly different from the other groups (P≤0.05. Conclusion: Self-Cure glass ionomers yielded less microleakage scores compared to the different types of light-cures due to the less polymerization shrinkage.

  3. Survey and review of near-field performance assessment

    International Nuclear Information System (INIS)

    Apted, M.J.

    1993-01-01

    The aim of this chapter is to describe the performance assessment (PA) context in which near-field models have been developed and applied. An overview is given of a number of PA studies. Although the focus is on near-field models, the overview covers the full context in which the PAs have been performed, including the purpose of the studies and regulatory context. Special emphasis has been given to the scenarios analyzed in the assessments; the scenarios set the framework for model development and application. Another aspect to consider in a study of near-field modeling from the perspective of total PA is the linking between near-field and far-field assessment. (R.P.) 6 tabs

  4. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  5. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  6. Driving Solar Giant Cells through the Self-organization of Near-surface Plumes

    Science.gov (United States)

    Nelson, Nicholas J.; Featherstone, Nicholas A.; Miesch, Mark S.; Toomre, Juri

    2018-06-01

    Global 3D simulations of solar giant-cell convection have provided significant insight into the processes which yield the Sun’s observed differential rotation and cyclic dynamo action. However, as we move to higher-resolution simulations a variety of codes have encountered what has been termed the convection conundrum. As these simulations increase in resolution and hence the level of turbulence achieved, they tend to produce weak or even anti-solar differential rotation patterns associated with a weak rotational influence (high Rossby number) due to large convective velocities. One potential culprit for this convection conundrum is the upper boundary condition applied in most simulations, which is generally impenetrable. Here we present an alternative stochastic plume boundary condition which imposes small-scale convective plumes designed to mimic near-surface convective downflows, thus allowing convection to carry the majority of the outward solar energy flux up to and through our simulated upper boundary. The use of a plume boundary condition leads to significant changes in the convective driving realized in the simulated domain and thus to the convective energy transport, the dominant scale of the convective enthalpy flux, and the relative strength of the strongest downflows, the downflow network, and the convective upflows. These changes are present even far from the upper boundary layer. Additionally, we demonstrate that, in spite of significant changes, giant cell morphology in the convective patterns is still achieved with self-organization of the imposed boundary plumes into downflow lanes, cellular patterns, and even rotationally aligned banana cells in equatorial regions. This plume boundary presents an alternative pathway for 3D global convection simulations where driving is non-local and may provide a new approach toward addressing the convection conundrum.

  7. Assessment of power-plant effects on zooplankton in the near field

    International Nuclear Information System (INIS)

    Polgar, T.T.; Bongers, L.H.; Krainak, G.M.

    1976-01-01

    With the use of a simple entrainment model that takes into account tidal recirculation, mixing, and once-through kill rate, theoretical expressions are derived for ratios of densities of live organisms at the intake of a power plant to densities in the far field. Experimental data on the abundances of dead and live stages of Eurytemora affinis and Acartia tonsa are used to compare to model results. A study of the entrainment of zooplankton near the intake of the Morgantown power plant and a concurrent study of the distribution of zooplankton in the Potomac River provided the biological data. Physical information was derived from extensive hydrographic measurements. Comparing experimental data with model predictions showed that radical depletions occurred in the naupliar stages near the plant site which cannot be accounted for by cooling-system or delayed entrainment mortalities. These near-field changes are attributed to avoidance reactions and to mortalities in the vicinity of the plant

  8. Marginal microleakage of cervical composite resin restorations bonded using etch-and-rinse and self-etch adhesives: two dimensional vs. three dimensional methods

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2016-05-01

    Full Text Available Objectives This study was evaluated the marginal microleakage of two different adhesive systems before and after aging with two different dye penetration techniques. Materials and Methods Class V cavities were prepared on the buccal and lingual surfaces of 48 human molars. Clearfil SE Bond and Single Bond (self-etching and etch-and-rinse systems, respectively were applied, each to half of the prepared cavities, which were restored with composite resin. Half of the specimens in each group underwent 10,000 cycles of thermocycling. Microleakage was evaluated using two dimensional (2D and three dimensional (3D dye penetration techniques separately for each half of each specimen. Data were analyzed with SPSS 11.5 (SPSS Inc., using the Kruskal-Wallis and Mann-Whitney U tests (α = 0.05. Results The difference between the 2D and 3D microleakage evaluation techniques was significant at the occlusal margins of Single bond groups (p = 0.002. The differences between 2D and 3D microleakage evaluation techniques were significant at both the occlusal and cervical margins of Clearfil SE Bond groups (p = 0.017 and p = 0.002, respectively. The difference between the 2D and 3D techniques was significant at the occlusal margins of non-aged groups (p = 0.003. The difference between these two techniques was significant at the occlusal margins of the aged groups (p = 0.001. The Mann-Whitney test showed significant differences between the two techniques only at the occlusal margins in all specimens. Conclusions Under the limitations of the present study, it can be concluded that the 3D technique has the capacity to detect occlusal microleakage more precisely than the 2D technique.

  9. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  10. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  11. Laboratory evaluation of the effect of unfilled resin after the use of self-etch and total-etch dentin adhesives on the Shear Bond Strength of composite to dentin.

    Science.gov (United States)

    Nasseri, Ehsan Baradaran; Majidinia, Sara; Sharbaf, Davood Aghasizadeh

    2017-05-01

    Based on the frequent application of composite resins as tooth-colored fillings, this method is considered a viable alternative to dental amalgam. However, this method has the low bond strength of the composite to dentin. To solve this issue, various dental adhesive systems with different characteristics have been developed by dentistry experts. To assess the effect of an additional layer of unfilled resin in self-etch and total-etch dentin adhesives on the shear bond strength (SBS) of composite to dentin. Moreover, we assessed the effects of sample storage in artificial saliva on the SBS of composite to dentin. Methods: This experimental study was conducted on 160 freshly extracted human first or second premolar teeth, which were randomly divided into 16 groups. The teeth were prepared from Mashhad University of Medical Sciences, Mashhad, Iran (2008-2009). Scotchbond Multi-purpose (SBMP), single bond (SB), Clearfil SE Bond, and Clearfil S3 Bond were applied to dentin surface with or without the placement of hydrophobic resin (Margin Bond) in accordance with the instructions of the manufacturers. To expose the coronal dentin, the teeth were abraded with 600 grit SiC paper. Immediately after restoration, half of the samples were tested in terms of SBS, while the other samples were evaluated in terms of SBS after three months of storage in artificial saliva. SBS rates of dental composites evaluated by universal testing machine and samples were studied by optical stereomicroscopy to verify the failure type. Data analysis was performed in SPSS V.16 using Kolmogorov-Smirnov test, independent-samples t-test, ANOVA, and Duncan's logistic regression test. In this study, a significant reduction was observed in the SBS rates of SB and S3 bond adhesive systems after storage with and without hydrophobic resin (p>0.000). Without storage in normal saline, a significant increase was observed in the SBS rate of the SE bond (p=0.013). In addition, SBS rate of SBMP significantly

  12. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  13. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  14. Magnetic reconnection and self-organized plasma systems

    International Nuclear Information System (INIS)

    Yamada, Masaaki; Ji, Hantao

    2000-01-01

    In this paper the recent results from the Magnetic Reconnection Experiment (MRX) at PPPL are discussed along with their relationship to observations from solar flares, the magnetosphere, and current carrying pinch discharges such as tokamaks, reversed field pinches, spheromaks and field reversed configurations. It is found that the reconnection speed decreases as the angle of merging field lines decreases, consistent with the well-established observation in the dayside magnetosphere. This observation can also provide a qualitative interpretation of a generally observed trend in pinch plasmas, namely that magnetic field diffuses (or reconnects) faster when magnetic shear is larger. A recently conceived research project, SPIRIT (Self-organized Plasma with Induction, Reconnection, and Injection Techniques), will also be discussed. (author)

  15. Innovative Mechanism of Rural Organization Based on Self-Organization

    OpenAIRE

    Wang, Xing jin; Gao, Bing

    2011-01-01

    The paper analyzes the basic situation for the formation of innovative rural organizations with the form of self-organization; revels the features of self-organization, including the four aspects of openness of rural organization, innovation of rural organization is far away from equilibrium, the non-linear response mechanism of rural organization innovation and the random rise and fall of rural organization innovation. The evolution mechanism of rural organization innovation is reveled accor...

  16. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  17. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  18. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  19. Near-Field Optical Microscopy of Fractal Structures

    DEFF Research Database (Denmark)

    Coello, Victor; Bozhevolnyi, Sergey I.

    1999-01-01

    Using a photon scanning tunnelling microscope combined with a shear-force feedback system, we image both topographical and near-field optical images (at the wavelengths of 633 and 594 nm) of silver colloid fractals. Near-field optical imaging is calibrated with a standing evanescent wave pattern...

  20. Research of Self-Formation Nanostructures

    Directory of Open Access Journals (Sweden)

    Romas Petrauskas

    2011-08-01

    Full Text Available Lateral etching processes for the modeling of the geometry of self-formation nanostructures with Silvaco TCAD Athena program are analyzed. Self-formation nanostructures is modeled with different mask selectivity values equal to 2, 10, 40 and 100 with respect to the etching layer, with the etching duration of 0–180 s. The etching rates are constant – 1.33 nm/s. The analysis of the dependence of the etching systematic error on its thickness has been carried out. The computer modeled results are close to the ones produced by means of the application of the analytical calculation models by other authors.Article in Lithuanian

  1. Mapping within-field variations of soil organic carbon content using UAV multispectral visible near-infrared images

    Science.gov (United States)

    Gilliot, Jean-Marc; Vaudour, Emmanuelle; Michelin, Joël

    2016-04-01

    This study was carried out in the framework of the PROSTOCK-Gessol3 project supported by the French Environment and Energy Management Agency (ADEME), the TOSCA-PLEIADES-CO project of the French Space Agency (CNES) and the SOERE PRO network working on environmental impacts of Organic Waste Products recycling on field crops at long time scale. The organic matter is an important soil fertility parameter and previous studies have shown the potential of spectral information measured in the laboratory or directly in the field using field spectro-radiometer or satellite imagery to predict the soil organic carbon (SOC) content. This work proposes a method for a spatial prediction of bare cultivated topsoil SOC content, from Unmanned Aerial Vehicle (UAV) multispectral imagery. An agricultural plot of 13 ha, located in the western region of Paris France, was analysed in April 2013, shortly before sowing while it was still bare soil. Soils comprised haplic luvisols, rendzic cambisols and calcaric or colluvic cambisols. The UAV platform used was a fixed wing provided by Airinov® flying at an altitude of 150m and was equipped with a four channels multispectral visible near-infrared camera MultiSPEC 4C® (550nm, 660nm, 735 nm and 790 nm). Twenty three ground control points (GCP) were sampled within the plot according to soils descriptions. GCP positions were determined with a centimetric DGPS. Different observations and measurements were made synchronously with the drone flight: soil surface description, spectral measurements (with ASD FieldSpec 3® spectroradiometer), roughness measurements by a photogrammetric method. Each of these locations was sampled for both soil standard physico-chemical analysis and soil water content. A Structure From Motion (SFM) processing was done from the UAV imagery to produce a 15 cm resolution multispectral mosaic using the Agisoft Photoscan® software. The SOC content was modelled by partial least squares regression (PLSR) between the

  2. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  3. Field Organization and Disaster Medical Assistance Teams

    Directory of Open Access Journals (Sweden)

    Ibrahim ARZIMAN

    2015-10-01

    Full Text Available SUMMARY: Disasters cause an acute deterioration in all stages of life. An area affected by the disaster in which the normal activities of life are disrupted is described as a “Field” in disaster terminology. Although it is not easy to define the borders of this zone, the area where there is normally functioning society is accepted as the boundary. Disaster management is the responsibility of the local government. However, in many large disaster responses many non-governmental and international organizations play a role. A Disaster Medical Team is a trained, mobile, self-contained, self-sufficient, multidisciplinary medical team that can act in the acute phase of a sudden-onset disaster (48 to 72 hours after its occurrence to provide medical treatment in the affected area. The medical team can include physicians, nurses, paramedics and EMTS, technicians, personnel to manage logistics, security and others. Various models of Disaster Medical Teams can be observed around the world. There is paucity of evidence based literature regarding DMTs. There is a need for epidemiological studies with rigorous designs and sampling. In this section of the special edition of the journal, field organizations in health management during disasters will be summarized, with emphasis on preparedness and response phases, and disaster medical teams will be discussed. Keywords: Field organization, disaster, medical team, DMAT

  4. Innovative Mechanism of Rural Organization Based on Self-Organization

    Institute of Scientific and Technical Information of China (English)

    2011-01-01

    The paper analyzes the basic situation of the formation of innovative rural organizations with the form of self-organization;reveals the features of self-organization,including the four aspects of openness of rural organization,innovation of rural organization far away from equilibrium,the non-linear response mechanism of rural organization innovation and the random rise and fall of rural organization innovation.The evolution mechanism of rural organization innovation is revealed according to the growth stage,the ideal stage,the decline and the fall stage.The paper probes into the basic restriction mechanism of the self-organization evaluation of rural organization from three aspects,including target recognition,path dependence and knowledge sharing.The basic measures on cultivating the innovative mechanism of rural organization are put forward.Firstly,constructing the dissipative structure of rural organization innovation;secondly,cultivating the dynamic study capability of rural organization innovation system;thirdly,selecting the step-by-step evolution strategy of rural organization innovation system.

  5. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  6. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  7. Sampling Criterion for EMC Near Field Measurements

    DEFF Research Database (Denmark)

    Franek, Ondrej; Sørensen, Morten; Ebert, Hans

    2012-01-01

    An alternative, quasi-empirical sampling criterion for EMC near field measurements intended for close coupling investigations is proposed. The criterion is based on maximum error caused by sub-optimal sampling of near fields in the vicinity of an elementary dipole, which is suggested as a worst......-case representative of a signal trace on a typical printed circuit board. It has been found that the sampling density derived in this way is in fact very similar to that given by the antenna near field sampling theorem, if an error less than 1 dB is required. The principal advantage of the proposed formulation is its...

  8. Self-organized Learning Environments

    DEFF Research Database (Denmark)

    Dalsgaard, Christian; Mathiasen, Helle

    2007-01-01

    system actively. The two groups used the system in their own way to support their specific activities and ways of working. The paper concludes that self-organized learning environments can strengthen the development of students’ academic as well as social qualifications. Further, the paper identifies......The purpose of the paper is to discuss the potentials of using a conference system in support of a project based university course. We use the concept of a self-organized learning environment to describe the shape of the course. In the paper we argue that educational technology, such as conference...... systems, has a potential to support students’ development of self-organized learning environments and facilitate self-governed activities in higher education. The paper is based on an empirical study of two project groups’ use of a conference system. The study showed that the students used the conference...

  9. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  10. Literature survey of redox reactions in the near field

    International Nuclear Information System (INIS)

    Miki, Takahito; Chiba, Tamotsu; Inagaki, Manabu; Sasamoto, Hiroshi; Yui, Mikazu

    2000-01-01

    This report presents a summary of literature survey about geochemical reactions which are important to evaluate the redox conditions in the near field rock mass and buffer. The results of literature survey are summarized as follows; Minerals including ferrous iron and organic materials in the rock mass are important reductants. Initial stage after closure of repository, oxygen will be consumed by pyrite, because the reaction rate between pyrite and oxygen is relatively fast. It is possible to estimate the redox capacity for reductants by rock (mineral)-water interaction experiment in a laboratory. And it is expected that the ferrous iron-rich rock and higher porosity rock may have bigger redox capacity. It is impossible to estimate the oxygen consumption rate by reductants such as minerals including ferrous iron. The rate law and rate constant for the oxidation reaction of ferrous iron in the solution are also determined. As a conclusion, it seems that we can evaluate kinetically the evolution of geochemical conditions in the near field rock mass and buffer by excavation of drifts, based on data derived from these existing literatures. (author)

  11. Single molecule detection on the cell membrane with Near-field Scanning Optical Microscopy

    NARCIS (Netherlands)

    de Bakker, B.I.

    2004-01-01

    In this research we have developed a dedicated near- field scanning optical microscope (NSOM) for molecular biology and applied it to study the spatial organization of (fluorescently labeled) proteins at the cell surface. For the first time, protein clusters and individual molecules are resolved at

  12. Evaluation of the tensile bond strength of an adhesive system self-etching in dentin irradiated with Er:YAG laser

    International Nuclear Information System (INIS)

    Mello, Andrea Malluf Dabul de

    2000-01-01

    Since Buonocore (1955), several researchers have been seeking for the best adhesive system and treatment for the enamel and dentin surfaces. The use of the acid has been presented as one of the best techniques of dentin conditioning , because this promotes the removal of the 'smear layer and exhibition of dentinal structure, for a best penetration and micro- retention of the adhesive system. However, some conditioning methods have been appearing in the literature, for the substitution or interaction with the acid substances, as the laser. The objective of this work is to evaluate the tensile bond strength of the adhesive system self-etching' associated to a composed resin, in dentin surfaces conditioned with the Er:YAG laser. For this study, freshly extracted human teeth were used and in each one the dentinal surfaces , which were treated with three sandpapers of different granulations (120,400,600), to obtain a standard of the smear layer, before the irradiation of the laser and of the restoring procedure. After these procedures the specimens were storage in distilled water at 37 deg C for 24 hours. Soon after, they were submitted to the tensile strength test .After analyzing the results, we can concluded that the use of the Er:YAG laser can substitute the drill without the need of conditioning, when using the adhesive system 'self-etching' in the dentinal surfaces because there was a decline in the strength of adhesion in the groups conditioned with the laser. (author)

  13. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  14. Reactive ion etching of tellurite and chalcogenide waveguides using hydrogen, methane, and argon

    International Nuclear Information System (INIS)

    Vu, K. T.; Madden, S. J.

    2011-01-01

    The authors report in detail on the reactive plasma etching properties of tellurium and demonstrate a high quality etching process using hydrogen, methane, and argon. Very low loss planar ridge waveguides are demonstrated. Optical losses in tellurium dioxide waveguides below 0.1 dB/cm in most of the near infrared region of the electromagnetic spectrum and at 1550 nm have been achieved--the lowest ever reported by more than an order of magnitude and clearly suitable for planar integrated devices. The etch process is also shown to be suitable for chalcogenide glasses which may be of importance in applications such as phase change memory devices and nonlinear integrated optics.

  15. N-Type self-assembled monolayer field-effect transistors for flexible organic electronics

    NARCIS (Netherlands)

    Ringk, A.; Roelofs, Christian; Smits, E.C.P.; van der Marel, C.; Salzmann, I.; Neuhold, A.; Gelinck, G.H.; Resel, R.; de Leeuw, D.M.; Strohriegl, P.

    Within this work we present n-type self-assembled monolayer field-effect transistors (SAMFETs) based on a novel perylene bisimide. The molecule spontaneously forms a covalently fixed monolayer on top of an aluminium oxide dielectric via a phosphonic acid anchor group. Detailed studies revealed an

  16. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  17. Near-field/far-field array manifold of an acoustic vector-sensor near a reflecting boundary.

    Science.gov (United States)

    Wu, Yue Ivan; Lau, Siu-Kit; Wong, Kainam Thomas

    2016-06-01

    The acoustic vector-sensor (a.k.a. the vector hydrophone) is a practical and versatile sound-measurement device, with applications in-room, open-air, or underwater. It consists of three identical uni-axial velocity-sensors in orthogonal orientations, plus a pressure-sensor-all in spatial collocation. Its far-field array manifold [Nehorai and Paldi (1994). IEEE Trans. Signal Process. 42, 2481-2491; Hawkes and Nehorai (2000). IEEE Trans. Signal Process. 48, 2981-2993] has been introduced into the technical field of signal processing about 2 decades ago, and many direction-finding algorithms have since been developed for this acoustic vector-sensor. The above array manifold is subsequently generalized for outside the far field in Wu, Wong, and Lau [(2010). IEEE Trans. Signal Process. 58, 3946-3951], but only if no reflection-boundary is to lie near the acoustic vector-sensor. As for the near-boundary array manifold for the general case of an emitter in the geometric near field, the far field, or anywhere in between-this paper derives and presents that array manifold in terms of signal-processing mathematics. Also derived here is the corresponding Cramér-Rao bound for azimuth-elevation-distance localization of an incident emitter, with the reflected wave shown to play a critical role on account of its constructive or destructive summation with the line-of-sight wave. The implications on source localization are explored, especially with respect to measurement model mismatch in maximum-likelihood direction finding and with regard to the spatial resolution between coexisting emitters.

  18. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  19. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  20. Nanomanipulation using near field photonics.

    Science.gov (United States)

    Erickson, David; Serey, Xavier; Chen, Yih-Fan; Mandal, Sudeep

    2011-03-21

    In this article we review the use of near-field photonics for trapping, transport and handling of nanomaterials. While the advantages of traditional optical tweezing are well known at the microscale, direct application of these techniques to the handling of nanoscale materials has proven difficult due to unfavourable scaling of the fundamental physics. Recently a number of research groups have demonstrated how the evanescent fields surrounding photonic structures like photonic waveguides, optical resonators, and plasmonic nanoparticles can be used to greatly enhance optical forces. Here, we introduce some of the most common implementations of these techniques, focusing on those which have relevance to microfluidic or optofluidic applications. Since the field is still relatively nascent, we spend much of the article laying out the fundamental and practical advantages that near field optical manipulation offers over both traditional optical tweezing and other particle handling techniques. In addition we highlight three application areas where these techniques namely could be of interest to the lab-on-a-chip community, namely: single molecule analysis, nanoassembly, and optical chromatography. This journal is © The Royal Society of Chemistry 2011

  1. Photonic jet etching: Justifying the shape of optical fiber tip

    Science.gov (United States)

    Abdurrochman, Andri; Zelgowski, Julien; Lecler, Sylvain; Mermet, Frédéric; Tumbelaka, Bernard; Fontaine, Joël

    2016-02-01

    Photonic jet (PJ) is a low diverging and highly concentrated beam in the shadow side of dielectric particle (cylinder or sphere). The concentration can be more than 200 times higher than the incidence wave. It is a non-resonance phenomenon in the near-field can propagate in a few wavelengths. Many potential applications have been proposed, including PJ etching. Hence, a guided-beam is considered increasing the PJ mobility control. While the others used a combination of classical optical fibers and spheres, we are concerned on a classical optical fiber with spherical tip to generate the PJ. This PJ driven waveguide has been realized using Gaussian mode beam inside the core. It has different variable parameters compared to classical PJ, which will be discussed in correlation with the etching demonstrations. The parameters dependency between the tip and PJ properties are complex; and theoretical aspect of this interaction will be exposed to justify the shape of our tip and optical fiber used in our demonstrations. Methods to achieve such a needed optical fiber tip will also be described. Finally the ability to generate PJ out of the shaped optical fiber will be experimentally demonstrated and the potential applications for material processing will be exposed.

  2. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  3. Scanning near-field infrared microscopy on semiconductor structures

    Energy Technology Data Exchange (ETDEWEB)

    Jacob, Rainer

    2011-01-15

    Near-field optical microscopy has attracted remarkable attention, as it is the only technique that allows the investigation of local optical properties with a resolution far below the diffraction limit. Especially, the scattering-type near-field optical microscopy allows the nondestructive examination of surfaces without restrictions to the applicable wavelengths. However, its usability is limited by the availability of appropriate light sources. In the context of this work, this limit was overcome by the development of a scattering-type near-field microscope that uses a widely tunable free-electron laser as primary light source. In the theoretical part, it is shown that an optical near-field contrast can be expected when materials with different dielectric functions are combined. It is derived that these differences yield different scattering cross-sections for the coupled system of the probe and the sample. Those cross-sections define the strength of the near-field signal that can be measured for different materials. Hence, an optical contrast can be expected, when different scattering cross-sections are probed. This principle also applies to vertically stacked or even buried materials, as shown in this thesis experimentally for two sample systems. In the first example, the different dielectric functions were obtained by locally changing the carrier concentration in silicon by the implantation of boron. It is shown that the concentration of free charge-carriers can be deduced from the near-field contrast between implanted and pure silicon. For this purpose, two different experimental approaches were used, a non-interferometric one by using variable wavelengths and an interferometric one with a fixed wavelength. As those techniques yield complementary information, they can be used to quantitatively determine the effective carrier concentration. Both approaches yield consistent results for the carrier concentration, which excellently agrees with predictions from

  4. Scanning near-field infrared microscopy on semiconductor structures

    International Nuclear Information System (INIS)

    Jacob, Rainer

    2011-01-01

    Near-field optical microscopy has attracted remarkable attention, as it is the only technique that allows the investigation of local optical properties with a resolution far below the diffraction limit. Especially, the scattering-type near-field optical microscopy allows the nondestructive examination of surfaces without restrictions to the applicable wavelengths. However, its usability is limited by the availability of appropriate light sources. In the context of this work, this limit was overcome by the development of a scattering-type near-field microscope that uses a widely tunable free-electron laser as primary light source. In the theoretical part, it is shown that an optical near-field contrast can be expected when materials with different dielectric functions are combined. It is derived that these differences yield different scattering cross-sections for the coupled system of the probe and the sample. Those cross-sections define the strength of the near-field signal that can be measured for different materials. Hence, an optical contrast can be expected, when different scattering cross-sections are probed. This principle also applies to vertically stacked or even buried materials, as shown in this thesis experimentally for two sample systems. In the first example, the different dielectric functions were obtained by locally changing the carrier concentration in silicon by the implantation of boron. It is shown that the concentration of free charge-carriers can be deduced from the near-field contrast between implanted and pure silicon. For this purpose, two different experimental approaches were used, a non-interferometric one by using variable wavelengths and an interferometric one with a fixed wavelength. As those techniques yield complementary information, they can be used to quantitatively determine the effective carrier concentration. Both approaches yield consistent results for the carrier concentration, which excellently agrees with predictions from

  5. Characterization of near-field optical probes

    DEFF Research Database (Denmark)

    Vohnsen, Brian; Bozhevolnyi, Sergey I.

    1999-01-01

    Radiation and collection characteristics of four different near-field optical-fiber probes, namely, three uncoated probes and an aluminium-coated small-aperture probe, are investigated and compared. Their radiation properties are characterized by observation of light-induced topography changes...... in a photo-sensitive film illuminated with the probes, and it is confirmed that the radiated optical field is unambigiously confined only for the coated probe. Near-field optical imaging of a standing evanescent-wave pattern is used to compare the detection characteristics of the probes, and it is concluded...... that, for the imaging of optical-field intensity distributions containing predominantly evanescent-wave components, a sharp uncoated tip is the probe of choice. Complementary results obtained with optical phase-conjugation experiments with he uncoated probes are discussed in relation to the probe...

  6. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  7. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  8. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    ) substrate. Combining data from these etched patterns and surface IR spectra, a modified mechanism, which explained most experimental observations, was proposed. Control of the step-bunching instability was accomplished with a second micromachined etch barrier pattern which consisted of a circular array of seventy-two long, narrow trenches in an etch mask. Using this pattern, well aligned, regularly shaped, evenly-distributed, near-atomically flat terraces in micron size were produced controllably.

  9. Self-organization of high intensity laser pulses propagating in gases

    International Nuclear Information System (INIS)

    Koga, James

    2001-01-01

    In recent years the development of high intensity short pulse lasers has opened up wide fields of science which had previously been difficult to study. Recent experiments of short pulse lasers propagating in air have shown that these laser pulses can propagate over very long distances (up to 12 km) with little or no distortion of the pulse. Here we present a model of this propagation using a modified version of the self-organized criticality model developed for sandpiles by Bak, Tang, and Weisenfeld. The additions to the sandpile model include the formation of plasma which acts as a threshold diffusion term and self-focusing by the nonlinear index of refraction which acts as a continuous inverse diffusion. Results of this simple model indicate that a strongly self-focusing laser pulse shows self-organized critical behavior. (author)

  10. Self-organizing sensing and actuation for automatic control

    Science.gov (United States)

    Cheng, George Shu-Xing

    2017-07-04

    A Self-Organizing Process Control Architecture is introduced with a Sensing Layer, Control Layer, Actuation Layer, Process Layer, as well as Self-Organizing Sensors (SOS) and Self-Organizing Actuators (SOA). A Self-Organizing Sensor for a process variable with one or multiple input variables is disclosed. An artificial neural network (ANN) based dynamic modeling mechanism as part of the Self-Organizing Sensor is described. As a case example, a Self-Organizing Soft-Sensor for CFB Boiler Bed Height is presented. Also provided is a method to develop a Self-Organizing Sensor.

  11. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    Science.gov (United States)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  12. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  13. Effect of air-drying time of single-application self-etch adhesives on dentin bond strength.

    Science.gov (United States)

    Chiba, Yasushi; Yamaguchi, Kanako; Miyazaki, Masashi; Tsubota, Keishi; Takamizawa, Toshiki; Moore, B Keith

    2006-01-01

    This study examined the effect of air-drying time of adhesives on the dentin bond strength of several single-application self-etch adhesive systems. The adhesive/resin composite combinations used were: Adper Prompt L-Pop/Filtek Z250 (AP), Clearfil Tri-S Bond/Clearfil AP-X (CT), Fluoro Bond Shake One/Beautifil (FB), G-Bond/Gradia Direct (GB) and One-Up Bond F Plus/Palfique Estelite (OF). Bovine mandibular incisors were mounted in self-curing resin and wet ground with #600 SiC to expose labial dentin. Adhesives were applied according to each manufacturer's instructions followed by air-drying time for 0 (without air-drying), 5 and 10 seconds. After light irradiation of the adhesives, the resin composites were condensed into a mold (phi4x2 mm) and polymerized. Ten samples per test group were stored in 37 degrees C distilled water for 24 hours; they were then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Tukey's HSD tests (alpha = 0.05) were done. FE-SEM observations of the resin/dentin interface were also conducted. Dentin bond strength varied with the different air drying times and ranged from 5.8 +/- 2.4 to 13.9 +/- 2.8 MPa for AP, 4.9 +/- 1.5 to 17.1 +/- 2.3 MPa for CT, 7.9 +/- 2.8 to 13.8 +/- 2.4 MPa for FB, 3.7 +/- 1.4 to 13.4 +/- 1.2 MPa for GB and 4.6 +/- 2.1 to 13.7 +/- 2.6 MPa for OF. With longer air drying of adhesives, no significant changes in bond strengths were found for the systems used except for OF. Significantly lower bond strengths were obtained for the 10-second air-drying group for OF. From FE-SEM observations, gaps between the cured adhesive and resin composites were observed for the specimens without the air drying of adhesives except for OF. The data suggests that, with four of the single-application self-etch adhesive systems, air drying is essential to obtain adequate dentin bond strengths, but increased drying time does not significantly influence bond strength. For the other system studied, the bond strength

  14. Control of self-organizing nonlinear systems

    CERN Document Server

    Klapp, Sabine; Hövel, Philipp

    2016-01-01

    The book summarizes the state-of-the-art of research on control of self-organizing nonlinear systems with contributions from leading international experts in the field. The first focus concerns recent methodological developments including control of networks and of noisy and time-delayed systems. As a second focus, the book features emerging concepts of application including control of quantum systems, soft condensed matter, and biological systems. Special topics reflecting the active research in the field are the analysis and control of chimera states in classical networks and in quantum systems, the mathematical treatment of multiscale systems, the control of colloidal and quantum transport, the control of epidemics and of neural network dynamics.

  15. The fate of organic compounds in a cement-based repository: impact on the engineered barrier and the release of C-14 from the near field

    International Nuclear Information System (INIS)

    Wieland, E.; Rothardt, J.; Schlotterbeck, G.

    2015-01-01

    The degradation of organic materials is taken into account in the safety analysis for a L/ILW (Low- and intermediate-level radioactive waste) repository in Switzerland with the aim of assessing possible impacts on the cement barrier. The waste forms to be disposed of in the planned L/ILW repository will contain HMW polymers and LMW monomeric organic materials. It is anticipated that these organic materials have different degradation rates and therefore different life times in a repository. While the decomposition of LMW organics is expected to be fast and complete during the oxic and early anoxic states of a repository, i.e. before and shortly after repository closure, the decomposition of the HMW polymeric materials is expected to be very slow and, for some materials, to occur over the entire life time of the repository. The degradation of organic materials generates CO 2 which gives rise to carbonation of the cement barrier. The maximum acceptable loading of organics in the near field with no detrimental effect on radionuclide immobilization can be estimated on the assumption that at maximum 2/3 of the total portlandite inventory of hydrated cement is allowed to convert to CaCO 3 in the case of waste compartments for which the cementitious barrier should remain intact. The maximum loading is determined by the inventory of the organic material under consideration as well as the carbon content and the oxidation state of carbon of the material. Carbon-14 bound in organic compounds is considered to be an important contributor to the annual dose released from a L/ILW repository. While the 14 C inventory is well known, the chemical speciation of 14 C in the cementitious near field upon liberation in the course of the corrosion of activated steel is only poorly understood. Preliminary corrosion tests with non-activated steel powders show the formation of gaseous and dissolved organic carbon species, e.g. alkanes/alkenes, alcohols, aldehydes, and carboxylic acids

  16. Near-field characterization of plasmonic waveguides

    DEFF Research Database (Denmark)

    Zenin, Volodymyr

    2014-01-01

    simply by changing geometric parameters of the waveguide, keeping in mind the trade-off between confinement and propagation losses. A broad variety of plasmonic waveguides and waveguide components, including antennas for coupling the light in/out of the waveguide, requires correspondent characterization...... capabilities, especially on experimental side. The most straight-forward and powerful technique for such purpose is scanning near-field optical microscopy, which allows to probe and map near-field distribution and therefore becomes the main tool in this project. The detailed description of the used setups...

  17. Near-field probing of photonic crystal directional couplers

    DEFF Research Database (Denmark)

    Volkov, V. S.; Bozhevolnyi, S. I.; Borel, Peter Ingo

    2006-01-01

    We report the design, fabrication and characterization of a photonic crystal directional with a size of ~20 x 20 mm2 fabricated in silicon-on-insulator material. Using a scanning near-field optical microscope we demonstrate a high coupling efficiency for TM polarized light at telecom wavelengths....... By comparing the near-field optical images recorded in and after the directional coupler area, the features of light distribution are analyzed. Finally, the scanning near-field optical microscope observations are found to be in agreement with the transmission measurements conducted with the same sample....

  18. Simple lecture demonstrations of instability and self-organization

    International Nuclear Information System (INIS)

    Mayer, V V; Varaksina, E I; Saranin, V A

    2014-01-01

    A dielectric liquid layer with an electric field created inside it is proposed as a means for demonstrating the phenomenon of self-organization. The field is produced by the distributed charge transferred by a corona discharge from the tip to the liquid surface. The theory of the phenomenon is presented. An analogy with the Rayleigh – Taylor instability is drawn and a comparison with the Benard instability is given. The practicality of the method for both natural sciences and the humanities is discussed. (methodological notes)

  19. Self-guiding of high-intensity laser pulses for laser wake field acceleration

    International Nuclear Information System (INIS)

    Umstader, D.; Liu, X.

    1992-01-01

    A means of self-guiding an ultrashort and high-intensity laser pulse is demonstrated both experimentally and numerically. Its relevance to the laser wake field accelerator concept is discussed. Self-focusing and multiple foci formation are observed when a high peak power (P>100 GW), 1 μm, subpicosecond laser is focused onto various gases (air or hydrogen). It appears to result from the combined effects of self-focusing by the gas, and de-focusing both by diffraction and the plasma formed in the central high-intensity region. Quasi-stationary computer simulations show the same multiple foci behavior as the experiments. The results suggest much larger nonlinear electronic susceptibilities of a gas near or undergoing ionization in the high field of the laser pulse. Although self-guiding of a laser beam by this mechanism appears to significantly extend its high-intensity focal region, small-scale self-focusing due to beam non-uniformity is currently a limitation

  20. Asymmetric active nano-particles for directive near-field radiation

    DEFF Research Database (Denmark)

    Arslanagic, Samel; Thorsen, Rasmus O.

    2016-01-01

    In this work, we demonstrate the potential of cylindrical active coated nano-particles with certain geometrical asymmetries for the creation of directive near-field radiation. The particles are excited by a near-by magnetic line source, and their performance characteristics are reported in terms...... of radiated power, near-field and power flow distributions as well as the far-field directivity....

  1. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  2. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  3. Surface stress and large-scale self-organization at organic-metal interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Pollinger, Florian

    2009-01-22

    The role of elastic interactions, particularly for the self-organized formation of periodically faceted interfaces, was investigated in this thesis for archetype organic-metal interfaces. The cantilever bending technique was applied to study the change of surface stress upon formation of the interface between 3,4,9,10-perylene-tetracarboxylic-dianhydride (PTCDA) and Ag(111). The main focus of this work was on the investigation of the formation of the long-range ordered, self-organized faceted PTCDA/Ag(10 8 7) interface. Reciprocal space maps of this interface were recorded both by spot profile analysis low energy electron diffraction (SPA-LEED) and low energy electron microscopy (LEEM) in selected area LEED mode. Complementary to the reciprocal data, also microscopic real-space LEEM data were used to characterize the morphology of this interface. Six different facet faces ((111), (532), (743), (954), (13 9 5), and (542)) were observed for the preparation path of molecular adsorption on the substrate kept at 550 K. Facet-sensitive dark-field LEEM localized these facets to grow in homogeneous areas of microscopic extensions. The temperature-dependence of the interface formation was studied in a range between 418 K and 612 K in order to learn more about the kinetics of the process. Additional steeper facets of 27 inclination with respect to the (111) surface were observed in the low temperature regime. Furthermore, using facet-sensitive dark-field LEEM, spatial and size distributions of specific facets were studied for the different temperatures. Moreover, the facet dimensions were statistically analyzed. The total island size of the facets follows an exponential distribution, indicating a random growth mode in absence of any mutual facet interactions. While the length distribution of the facets also follows an exponential distribution, the width distribution is peaked, reflecting the high degree of lateral order. This anisotropy is temperature-dependent and occurs

  4. Near-field enhanced femtosecond laser nano-drilling of glass substrate

    International Nuclear Information System (INIS)

    Zhou, Y.; Hong, M.H.; Fuh, J.Y.H.; Lu, L.; Lukyanchuk, B.S.; Wang, Z.B.

    2008-01-01

    Particle mask assisted near-field enhanced femtosecond laser nano-drilling of transparent glass substrate was demonstrated in this paper. A particle mask was fabricated by self-assembly of spherical 1 μm silica particles on the substrate surface. Then the samples were exposed to femtosecond laser (800 nm, 100 fs) and characterized by field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). The nano-hole array was found on the glass surface. The hole sizes were measured from 200 to 300 nm with an average depth of 150 nm and increased with laser fluence. Non-linear triple-photon absorption and near-field enhancement were the main mechanisms of the nano-feature formation. Calculations based on Mie theory shows an agreement with experiment results. More debris, however, was found at high laser fluence. This can be attributed to the explosion of silica particles because the focusing point is inside the 1 μm particle. The simulation predicts that the focusing point will move outside the particle if the particle size increases. The experiment performed under 6.84 μm silica particles verified that no debris was formed. And for all the samples, no cracks were found on the substrate surface because of ultra-short pulse width of femtosecond laser. This method has potential applications in nano-patterning of transparent glass substrate for nano-structure device fabrication

  5. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  6. Self-organization process of a magnetohydrodynamic plasma in the presence of thermal conduction

    International Nuclear Information System (INIS)

    Zhu, Shao-ping; Horiuchi, Ritoku; Sato, Tetsuya; Watanabe, K.; Hayashi, T.; Todo, Y.; Watanabe, T.H.; Kageyama, A.; Takamaru, H.

    1995-12-01

    A self-organization process of a magnetohydrodynamic(MHD) plasma with a finite thermal conductivity is investigated by means of a three-dimensional MHD simulation. With no thermal conduction an MHD system self-organizes to a non-Taylor's state in which the electric current perpendicular to the magnetic field remains comparable to the parallel electric current. In the presence of thermal conductivity the perpendicular component of electric current and the nonuniformity of thermal pressure generated by driven reconnection tend to be smoothened. Thus, the self-organized state approaches to a force-free minimum energy state under the influence of thermal conduction. Detailed energy conversion processes are also studied to find that the rapid decay of magnetic energy during the self-organization process is caused not only through the ohmic heating, but also through the work done by the j x B force. (author)

  7. A Data-Driven, Integrated Flare Model Based on Self-Organized Criticality

    Science.gov (United States)

    Dimitropoulou, M.; Isliker, H.; Vlahos, L.; Georgoulis, M.

    2013-09-01

    We interpret solar flares as events originating in solar active regions having reached the self-organized critical state, by alternatively using two versions of an "integrated flare model" - one static and one dynamic. In both versions the initial conditions are derived from observations aiming to investigate whether well-known scaling laws observed in the distribution functions of characteristic flare parameters are reproduced after the self-organized critical state has been reached. In the static model, we first apply a nonlinear force-free extrapolation that reconstructs the three-dimensional magnetic fields from two-dimensional vector magnetograms. We then locate magnetic discontinuities exceeding a threshold in the Laplacian of the magnetic field. These discontinuities are relaxed in local diffusion events, implemented in the form of cellular-automaton evolution rules. Subsequent loading and relaxation steps lead the system to self-organized criticality, after which the statistical properties of the simulated events are examined. In the dynamic version we deploy an enhanced driving mechanism, which utilizes the observed evolution of active regions, making use of sequential vector magnetograms. We first apply the static cellular automaton model to consecutive solar vector magnetograms until the self-organized critical state is reached. We then evolve the magnetic field inbetween these processed snapshots through spline interpolation, acting as a natural driver in the dynamic model. The identification of magnetically unstable sites as well as their relaxation follow the same rules as in the static model after each interpolation step. Subsequent interpolation/driving and relaxation steps cover all transitions until the end of the sequence. Physical requirements, such as the divergence-free condition for the magnetic field vector, are approximately satisfied in both versions of the model. We obtain robust power laws in the distribution functions of the modelled

  8. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  9. Near-real-time feedback control system for liver thermal ablations based on self-referenced temperature imaging

    International Nuclear Information System (INIS)

    Keserci, Bilgin M.; Kokuryo, Daisuke; Suzuki, Kyohei; Kumamoto, Etsuko; Okada, Atsuya; Khankan, Azzam A.; Kuroda, Kagayaki

    2006-01-01

    Our challenge was to design and implement a dedicated temperature imaging feedback control system to guide and assist in a thermal liver ablation procedure in a double-donut 0.5T open MR scanner. This system has near-real-time feedback capability based on a newly developed 'self-referenced' temperature imaging method using 'moving-slab' and complex-field-fitting techniques. Two phantom validation studies and one ex vivo experiment were performed to compare the newly developed self-referenced method with the conventional subtraction method and evaluate the ability of the feedback control system in the same MR scanner. The near-real-time feedback system was achieved by integrating the following primary functions: (1) imaging of the moving organ temperature; (2) on-line needle tip tracking; (3) automatic turn-on/off the heating devices; (4) a Windows operating system-based novel user-interfaces. In the first part of the validation studies, microwave heating was applied in an agar phantom using a fast spoiled gradient recalled echo in a steady state sequence. In the second part of the validation and ex vivo study, target visualization, treatment planning and monitoring, and temperature and thermal dose visualization with the graphical user interface of the thermal ablation software were demonstrated. Furthermore, MR imaging with the 'self-referenced' temperature imaging method has the ability to localize the hot spot in the heated region and measure temperature elevation during the experiment. In conclusion, we have demonstrated an interactively controllable feedback control system that offers a new method for the guidance of liver thermal ablation procedures, as well as improving the ability to assist ablation procedures in an open MR scanner

  10. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  11. High-Density Near-Field Optical Disc Recording

    Science.gov (United States)

    Shinoda, Masataka; Saito, Kimihiro; Ishimoto, Tsutomu; Kondo, Takao; Nakaoki, Ariyoshi; Ide, Naoki; Furuki, Motohiro; Takeda, Minoru; Akiyama, Yuji; Shimouma, Takashi; Yamamoto, Masanobu

    2005-05-01

    We developed a high-density near-field optical recording disc system using a solid immersion lens. The near-field optical pick-up consists of a solid immersion lens with a numerical aperture of 1.84. The laser wavelength for recording is 405 nm. In order to realize the near-field optical recording disc, we used a phase-change recording media and a molded polycarbonate substrate. A clear eye pattern of 112 GB capacity with 160 nm track pitch and 50 nm bit length was observed. The equivalent areal density is 80.6 Gbit/in2. The bottom bit error rate of 3 tracks-write was 4.5× 10-5. The readout power margin and the recording power margin were ± 30.4% and ± 11.2%, respectively.

  12. Effect of smear layer deproteinization on bonding of self-etch adhesives to dentin: a systematic review and meta-analysis

    Science.gov (United States)

    Alshaikh, Khaldoan H.; Mahmoud, Salah H.

    2018-01-01

    Objectives The aim of this systematic review was to critically analyze previously published studies of the effects of dentin surface pretreatment with deproteinizing agents on the bonding of self-etch (SE) adhesives to dentin. Additionally, a meta-analysis was conducted to quantify the effects of the above-mentioned surface pretreatment methods on the bonding of SE adhesives to dentin. Materials and Methods An electronic search was performed using the following databases: Scopus, PubMed and ScienceDirect. The online search was performed using the following keywords: ‘dentin’ or ‘hypochlorous acid’ or ‘sodium hypochlorite’ and ‘self-etch adhesive.’ The following categories were excluded during the assessment process: non-English articles, randomized clinical trials, case reports, animal studies, and review articles. The reviewed studies were subjected to meta-analysis to quantify the effect of the application time and concentration of sodium hypochlorite (NaOCl) and hypochlorous acid (HOCl) deproteinizing agents on bonding to dentin. Results Only 9 laboratory studies fit the inclusion criteria of this systematic review. The results of the meta-analysis revealed that the pooled average microtensile bond strength values to dentin pre-treated with deproteinizing agents (15.71 MPa) was significantly lower than those of the non-treated control group (20.94 MPa). Conclusions In light of the currently available scientific evidence, dentin surface pretreatment with deproteinizing agents does not enhance the bonding of SE adhesives to dentin. The HOCl deproteinizing agent exhibited minimal adverse effects on bonding to dentin in comparison with NaOCl solutions. PMID:29765895

  13. Self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes

    International Nuclear Information System (INIS)

    Kondoh, Yoshiomi; Hakoiwa, Toru; Okada, Akihito; Kobayashi, Naohiro; Takahashi, Toshiki

    2006-01-01

    A novel set of simultaneous eigenvalue equations having dissipative terms are derived to find self-similarly evolving and minimally dissipated stable states of plasmas realized after relaxation and self-organization processes. By numerically solving the set of eigenvalue equations in a cylindrical model, typical spatial profiles of plasma parameters, electric and magnetic fields and diffusion factors are presented, all of which determine self-consistently with each other by physical laws and mutual relations among them, just as in experimental plasmas. (author)

  14. Non-contact transportation using near-field acoustic levitation

    Science.gov (United States)

    Ueha; Hashimoto; Koike

    2000-03-01

    Near-field acoustic levitation, where planar objects 10 kg in weight can levitate stably near the vibrating plate, is successfully applied both to non-contact transportation of objects and to a non-contact ultrasonic motor. Transporting apparatuses and an ultrasonic motor have been fabricated and their characteristics measured. The theory of near-field acoustic levitation both for a piston-like sound source and a flexural vibration source is also briefly described.

  15. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  16. Radiation Entropy and Near-Field Thermophotovoltaics

    Science.gov (United States)

    Zhang, Zhuomin M.

    2008-08-01

    Radiation entropy was key to the original derivation of Planck's law of blackbody radiation, in 1900. This discovery opened the door to quantum mechanical theory and Planck was awarded the Nobel Prize in Physics in 1918. Thermal radiation plays an important role in incandescent lamps, solar energy utilization, temperature measurements, materials processing, remote sensing for astronomy and space exploration, combustion and furnace design, food processing, cryogenic engineering, as well as numerous agricultural, health, and military applications. While Planck's law has been fruitfully applied to a large number of engineering problems for over 100 years, questions have been raised about its limitation in micro/nano systems, especially at subwavelength distances or in the near field. When two objects are located closer than the characteristic wavelength, wave interference and photon tunneling occurs that can result in significant enhancement of the radiative transfer. Recent studies have shown that the near-field effects can realize emerging technologies, such as superlens, sub-wavelength light source, polariton-assisted nanolithography, thermophotovoltaic (TPV) systems, scanning tunneling thermal microscopy, etc. The concept of entropy has also been applied to explain laser cooling of solids as well as the second law efficiency of devices that utilize thermal radiation to produce electricity. However, little is known as regards the nature of entropy in near-field radiation. Some history and recent advances are reviewed in this presentation with a call for research of radiation entropy in the near field, due to the important applications in the optimization of thermophotovoltaic converters and in the design of practical systems that can harvest photon energies efficiently.

  17. The geochemistry of the near-field

    International Nuclear Information System (INIS)

    McKinley, I.G.

    1985-10-01

    This report describes a study of the Swiss disposal concept used in 'Project Gewaehr 1985' safety analysis. The main components of the near-field of a high level waste repository are the waste glass matrix, the thick steel canister and the surrounding backfill of compressed bentonite. In this report it is concluded that mineralogical alteration of the backfill will be negligibly small over the million year period considered. Its physical and chemical properties can thus be relied on for such a period. The canister will retain its integrity for > 10/sup 3/ y and thereafter will act as an Eh/pH buffer. The near-field buffers ensure more alkaline and reducing conditions than in the far-field. Complete degradation of the glass matrix will take > 10/sup 5/ years and nuclide release will be limited by their congruent dissolution although it may be further constrained by low solubility. Diffusion of dissolved nuclides through the backfill is so slow that many species decay to insignificance within it. The large uptake capacity of the bentonite also significantly extends the release duration for longer lived, non-solubility limited nuclides thus decreasing output mixima. Possible perturbing factors such as radiolysis and hydrogen production by anoxic corrosion are of little importance but modelling of speciation/solubility in the near-field and, in particular, colloid formation and mobility are identified as areas in which more work is required. Although the main analysis aims to err on the side of conservatism, the extent of such pessimism is assessed in a 'realistic' appraisal of the near-field. This suggests that the engineered barriers will prevent any radiologically significant releases over periods in excess of a million years which would strengthen their role in the multiple barrier safety concept. (author)

  18. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    Science.gov (United States)

    2016-06-18

    Wen Lien for his dedication to research and his assistance in piloting this study. Thank you to Dr. David Lee for his continued mentorship not only...porous layer (5 microns to 50 microns deep ) (Lopes, 2007). In 1975, Silverstone reported three different types of etch patterns on enamel surfaces

  19. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  20. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  1. RFID Antenna Near-field Characterization Using a New 3D Magnetic Field Probe

    Directory of Open Access Journals (Sweden)

    Kassem Jomaa

    2017-05-01

    Full Text Available In this paper the design of a new 3D magnetic field (H-field probe with a near-field scanning system is presented, then the near electromagnetic fields radiated by a Library RFID system is characterized. The proposed system is developed in order to determine the magnetic near-field emitted by electronic devices. The designed isotropic H-field probe consists of three orthogonal and identical loops each of diameter of 6 mm having 3 turns. The antenna factor of the designed probe is presented for a frequency range from 10 MHz to 1 GHz. The designed probe is tested and validated using a standard passive circuit as a device under test. An RFID reader antenna is also designed and simulated on HFSS (high frequency structural simulator and the radiated magnetic field, obtained by simulations, is then compared to the real measured one above the fabricated circuit. The obtained levels are checked if they satisfy the European and ICNIRP Electromagnetic Fields Guidelines.

  2. Marginal microleakage of class V resin-based composite restorations bonded with six one-step self-etch systems

    Directory of Open Access Journals (Sweden)

    Alfonso Sánchez-Ayala

    2013-06-01

    Full Text Available This study compared the microleakage of class V restorations bonded with various one-step self-etching adhesives. Seventy class V resin-based composite restorations were prepared on the buccal and lingual surfaces of 35 premolars, by using: Clearfil S 3 Bond, G-Bond, iBond, One Coat 7.0, OptiBond All-In-One, or Xeno IV. The Adper Single Bond etch-and-rinse two-step adhesive was employed as a control. Specimens were thermocycled for 500 cycles in separate water baths at 5°C and 55°C and loaded under 40 to 70 N for 50,000 cycles. Marginal microleakage was measured based on the penetration of a tracer agent. Although the control showed no microleakage at the enamel margins, there were no differences between groups (p = 0.06. None of the adhesives avoided microleakage at the dentin margins, and they displayed similar performances (p = 0.76. When both margins were compared, iBond® presented higher microleakage (p < 0.05 at the enamel margins (median, 1.00; Q3–Q1, 1.25–0.00 compared to the dentin margins (median, 0.00; Q3–Q1, 0.25–0.00. The study adhesives showed similar abilities to seal the margins of class V restorations, except for iBond®, which presented lower performance at the enamel margin.

  3. Spherical near-field scanning at the Technical University of Denmark

    DEFF Research Database (Denmark)

    Hansen, J. E.; Jensen, F.

    1988-01-01

    The early work (1969-79) on spherical near-field antenna measurements at the Technical University of Denmark (TUD) is outlined. A spherical near-field transmission formula is described and the first probe-corrected spherical near-field measurements are discussed. The TUD-ESA (European Space Agency...

  4. Sealing properties of a self-etching primer system to normal caries-affected and caries-infected dentin.

    Science.gov (United States)

    Lee, Kwang-Won; Son, H-H; Yoshiyama, Masatoshi; Tay, Franklin R; Carvalho, Ricardo M; Pashley, David H

    2003-09-01

    To compare the ability of an experimental antibacterial self-etching primer adhesive system to seal exposure sites in normal, caries-affected and caries-infected human dentin. 30 extracted human third molars were used within 1 month of extraction. 10 intact normal teeth comprised the normal group. 20 teeth with occlusal caries that radiographically extended halfway to the pulp were excavated using caries-detector solution (CDS) and a #4 round carbide bur in a slowspeed handpiece. Half of those teeth were fully excavated free of CDS-stained material without exposing the pulp, and were designated as the caries-affected dentin group. The remaining 10 teeth were excavated as close to the pulp as possible without obtaining an exposure, but whose dentin continued to stain red with CDS; this group was designated as the caries-infected dentin group. The remaining dentin thickness in all of the specimens in the other two groups was then reduced to the same extent as the caries-infected group. Direct exposures of the pulp chamber were made with a 1/4 round bur in the normal dentin or a 25 gauge needle in the other two groups. After measuring the fluid flow through the exposure, the sites were then sealed with an experimental antibacterial fluoride-containing self-etching primer adhesive systems (ABF). Fluid conductance was remeasured every week for 16 weeks. The fluid conductance through the exposure fell 99% in all groups following resin sealing. The seals of normal and caries-affected dentin remained relatively stable over the 16 weeks, while the seals of caries-infected dentin gradually deteriorated, reaching significance at 8 weeks. TEM examination revealed very thin (ca. 0.5 mm) hybrid layers in normal dentin, 3-4 microm thick hybrid layers in caries-affected dentin and 40 microm thick hybrid layers in caries-infected dentin. The tubules of caries-infected dentin were enlarged and filled with bacteria. Resin tags passed around these bacteria in the top 20-40 microm

  5. Self-organization phenomena in plasma physics

    International Nuclear Information System (INIS)

    Sanduloviciu, M.; Popescu, S.

    2001-01-01

    The self-assembling in nature and laboratory of structures in systems away from thermodynamic equilibrium is one of the problems that mostly fascinates the scientists working in all branches of science. In this context a substantial progress has been obtained by investigating the appearance of spatial and spatiotemporal patterns in plasma. These experiments revealed the presence of a scenario of self-organization able to suggest an answer to the central problem of the 'Science of Complexity', why matter transits spontaneously from a disordered into an ordered state? Based on this scenario of self-organization we present arguments proving the possibility to explain the challenging problems of nonequilibrium physics in general. These problems refer to: (i) genuine origin of phase transitions observed in gaseous conductors and semiconductors; (ii) the elucidation of the role played by self-organization in the simulation of oscillations; (iii) the physical basis of anomalous transport of matter and energy with special reference to the possibilities of improving the economical performance of fusion devices; (iv) the possibility to use self-confined gaseous space charged configurations as an alternative to the magnetically confined plasma used at present in fusion devices. In other branches of sciences, as for instance in Biology, the self-organization scenario reveals a new insight into a mechanism able to explain the appearance of the simplest possible space charge configuration able to evolve, under suitable conditions, into prebiotic structures. Referring to phenomena observed in nature, the same self-organization scenario suggests plausible answers to the appearance of ball lightening but also to the origin of the flickering phenomena observed in the light emission of the Sun and stars. For theory the described self-organization scenario offers a new physical basis for many problems of nonlinear science not solved yet and also a new model for the so-called 'self

  6. Pattern classification and recognition of invertebrate functional groups using self-organizing neural networks.

    Science.gov (United States)

    Zhang, WenJun

    2007-07-01

    Self-organizing neural networks can be used to mimic non-linear systems. The main objective of this study is to make pattern classification and recognition on sampling information using two self-organizing neural network models. Invertebrate functional groups sampled in the irrigated rice field were classified and recognized using one-dimensional self-organizing map and self-organizing competitive learning neural networks. Comparisons between neural network models, distance (similarity) measures, and number of neurons were conducted. The results showed that self-organizing map and self-organizing competitive learning neural network models were effective in pattern classification and recognition of sampling information. Overall the performance of one-dimensional self-organizing map neural network was better than self-organizing competitive learning neural network. The number of neurons could determine the number of classes in the classification. Different neural network models with various distance (similarity) measures yielded similar classifications. Some differences, dependent upon the specific network structure, would be found. The pattern of an unrecognized functional group was recognized with the self-organizing neural network. A relative consistent classification indicated that the following invertebrate functional groups, terrestrial blood sucker; terrestrial flyer; tourist (nonpredatory species with no known functional role other than as prey in ecosystem); gall former; collector (gather, deposit feeder); predator and parasitoid; leaf miner; idiobiont (acarine ectoparasitoid), were classified into the same group, and the following invertebrate functional groups, external plant feeder; terrestrial crawler, walker, jumper or hunter; neustonic (water surface) swimmer (semi-aquatic), were classified into another group. It was concluded that reliable conclusions could be drawn from comparisons of different neural network models that use different distance

  7. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  8. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  9. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  10. Polarization resolved imaging with a reflection near-field optical microscope

    DEFF Research Database (Denmark)

    Bozhevolnyi, Sergey I.; Xiao, Mufei; Hvam, Jørn Märcher

    1999-01-01

    Using a rigorous microscopic point-dipole description of probe-sample interactions, we study imaging with a reflection scanning near-field optical microscope. Optical content, topographical artifacts, sensitivity window-i.e., the scale on which near-field optical images represent mainly optical...... configuration is preferable to the cross-linear one, since it ensures more isotropic (in the surface plane) near-field imaging of surface features. The numerical results are supported with experimental near-field images obtained by using a reflection microscope with an uncoated fiber tip....

  11. Self-Aligned Growth of Organic Semiconductor Single Crystals by Electric Field.

    Science.gov (United States)

    Kotsuki, Kenji; Obata, Seiji; Saiki, Koichiro

    2016-01-19

    We proposed a novel but facile method for growing organic semiconductor single-crystals via solvent vapor annealing (SVA) under electric field. In the conventional SVA growth process, nuclei of crystals appeared anywhere on the substrate and their crystallographic axes were randomly distributed. We applied electric field during the SVA growth of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) on the SiO2/Si substrate on which a pair of electrodes had been deposited beforehand. Real-time observation of the SVA process revealed that rodlike single crystals grew with their long axes parallel to the electric field and bridged the prepatterned electrodes. As a result, C8-BTBT crystals automatically formed a field effect transistor (FET) structure and the mobility reached 1.9 cm(2)/(V s). Electric-field-assisted SVA proved a promising method for constructing high-mobility single-crystal FETs at the desired position by a low-cost solution process.

  12. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  13. Topological geons with self-gravitating phantom scalar field

    Science.gov (United States)

    Kratovitch, P. V.; Potashov, I. M.; Tchemarina, Ju V.; Tsirulev, A. N.

    2017-12-01

    A topological geon is the quotient manifold M/Z 2 where M is a static spherically symmetric wormhole having the reflection symmetry with respect to its throat. We distinguish such asymptotically at solutions of the Einstein equations according to the form of the time-time metric function by using the quadrature formulas of the so-called inverse problem for self-gravitating spherically symmetric scalar fields. We distinguish three types of geon spacetimes and illustrate them by simple examples. We also study possible observational effects associated with bounded geodesic motion near topological geons.

  14. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    Science.gov (United States)

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  15. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  16. Computational lens for the near field

    DEFF Research Database (Denmark)

    Carney, P. Scott; Franzin, Richard A.; Bozhevolnyi, Sergey I.

    2004-01-01

    A method is presented to reconstruct the structure of a scattering object from data acquired with a photon scanning tunneling microscope . The data may be understood to form a Gabor type near-field hologram and are obtained at a distance from the sample where the field is defocused and normally...

  17. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  18. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  19. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  20. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  1. The concept of self-organizing systems. Why bother?

    Science.gov (United States)

    Elverfeldt, Kirsten v.; Embleton-Hamann, Christine; Slaymaker, Olav

    2016-04-01

    Complexity theory and the concept of self-organizing systems provide a rather challenging conceptual framework for explaining earth systems change. Self-organization - understood as the aggregate processes internal to an environmental system that lead to a distinctive spatial or temporal organization - reduces the possibility of implicating a specific process as being causal, and it poses some restrictions on the idea that external drivers cause a system to change. The concept of self-organizing systems suggests that many phenomena result from an orchestration of different mechanisms, so that no causal role can be assigned to an individual factor or process. The idea that system change can be due to system-internal processes of self-organization thus proves a huge challenge to earth system research, especially in the context of global environmental change. In order to understand the concept's implications for the Earth Sciences, we need to know the characteristics of self-organizing systems and how to discern self-organizing systems. Within the talk, we aim firstly at characterizing self-organizing systems, and secondly at highlighting the advantages and difficulties of the concept within earth system sciences. The presentation concludes that: - The concept of self-organizing systems proves especially fruitful for small-scale earth surface systems. Beach cusps and patterned ground are only two of several other prime examples of self-organizing earth surface systems. They display characteristics of self-organization like (i) system-wide order from local interactions, (ii) symmetry breaking, (iii) distributed control, (iv) robustness and resilience, (v) nonlinearity and feedbacks, (vi) organizational closure, (vii) adaptation, and (viii) variation and selection. - It is comparatively easy to discern self-organization in small-scale systems, but to adapt the concept to larger scale systems relevant to global environmental change research is more difficult: Self-organizing

  2. Near-field second-harmonic generation from gold nanoellipsoids

    Energy Technology Data Exchange (ETDEWEB)

    Celebrano, M; Zavelani-Rossi, M; Polli, D; Cerullo, G [Istituto di Fotonica e Nanotecnologie, CNR, Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo Da Vinci 32, 20133 Milano (Italy); Biagioni, P; Finazzi, M; Duo, L [LNESS - Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo Da Vinci 32, 20133 Milano (Italy); Labardi, M; Allegrini, M [CNR-INFM, polyLab, Dipartimento di Fisica ' Enrico Fermi' , Universita di Pisa, Largo Pontecorvo 3, 56127 Pisa (Italy); Grand, J; Adam, P M; Royer, P [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Universite de Technologie de Troyes, 12 rue Marie Curie, BP 2060 10010 Troyes cedex (France)

    2008-07-01

    Second-harmonic generation from single gold nanofabricated particles is experimentally investigated by a nonlinear scanning near-field optical microscope (SNOM). High peak power femtosecond polarized light pulses at the output of a hollow pyramid aperture allow for efficient second-harmonic imaging, with sub-100-nm spatial resolution and high contrast. The near-field nonlinear response is found to be directly related to both local surface plasmon resonances and particle morphology. The combined analysis of linear and second-harmonic SNOM images allows one to discriminate among near-field scattering, absorption and re-emission processes, which would not be possible with linear techniques alone. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Near-Field Source Localization by Using Focusing Technique

    Science.gov (United States)

    He, Hongyang; Wang, Yide; Saillard, Joseph

    2008-12-01

    We discuss two fast algorithms to localize multiple sources in near field. The symmetry-based method proposed by Zhi and Chia (2007) is first improved by implementing a search-free procedure for the reduction of computation cost. We present then a focusing-based method which does not require symmetric array configuration. By using focusing technique, the near-field signal model is transformed into a model possessing the same structure as in the far-field situation, which allows the bearing estimation with the well-studied far-field methods. With the estimated bearing, the range estimation of each source is consequently obtained by using 1D MUSIC method without parameter pairing. The performance of the improved symmetry-based method and the proposed focusing-based method is compared by Monte Carlo simulations and with Crammer-Rao bound as well. Unlike other near-field algorithms, these two approaches require neither high-computation cost nor high-order statistics.

  4. Near-Field Source Localization by Using Focusing Technique

    Directory of Open Access Journals (Sweden)

    Joseph Saillard

    2008-12-01

    Full Text Available We discuss two fast algorithms to localize multiple sources in near field. The symmetry-based method proposed by Zhi and Chia (2007 is first improved by implementing a search-free procedure for the reduction of computation cost. We present then a focusing-based method which does not require symmetric array configuration. By using focusing technique, the near-field signal model is transformed into a model possessing the same structure as in the far-field situation, which allows the bearing estimation with the well-studied far-field methods. With the estimated bearing, the range estimation of each source is consequently obtained by using 1D MUSIC method without parameter pairing. The performance of the improved symmetry-based method and the proposed focusing-based method is compared by Monte Carlo simulations and with Crammer-Rao bound as well. Unlike other near-field algorithms, these two approaches require neither high-computation cost nor high-order statistics

  5. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  6. Friction-induced vibrations and self-organization mechanics and non-equilibrium thermodynamics of sliding contact

    CERN Document Server

    Nosonovsky, Michael

    2013-01-01

    Many scientists and engineers do not realize that, under certain conditions, friction can lead to the formation of new structures at the interface, including in situ tribofilms and various patterns. In turn, these structures-usually formed by destabilization of the stationary sliding regime-can lead to the reduction of friction and wear. Friction-Induced Vibrations and Self-Organization: Mechanics and Non-Equilibrium Thermodynamics of Sliding Contact combines the mechanical and thermodynamic methods in tribology, thus extending the field of mechanical friction-induced vibrations to non-mechanical instabilities and self-organization processes at the frictional interface. The book also relates friction-induced self-organization to novel biomimetic materials, such as self-lubricating, self-cleaning, and self-healing materials. Explore Friction from a Different Angle-as a Fundamental Force of Nature The book begins with an exploration of friction as a fundamental force of nature throughout the history of science....

  7. Self-organized patterns of macroscopic quantum tunneling in molecular magnets.

    Science.gov (United States)

    Garanin, D A; Chudnovsky, E M

    2009-03-06

    We study low temperature resonant spin tunneling in molecular magnets induced by a field sweep with account of dipole-dipole interactions. Numerical simulations uncovered formation of self-organized patterns of the magnetization and of the ensuing dipolar field that provide resonant conditions inside a finite volume of the crystal. This effect is robust with respect to disorder and should be relevant to the dynamics of the magnetization steps observed in molecular magnets.

  8. Growing hierarchical probabilistic self-organizing graphs.

    Science.gov (United States)

    López-Rubio, Ezequiel; Palomo, Esteban José

    2011-07-01

    Since the introduction of the growing hierarchical self-organizing map, much work has been done on self-organizing neural models with a dynamic structure. These models allow adjusting the layers of the model to the features of the input dataset. Here we propose a new self-organizing model which is based on a probabilistic mixture of multivariate Gaussian components. The learning rule is derived from the stochastic approximation framework, and a probabilistic criterion is used to control the growth of the model. Moreover, the model is able to adapt to the topology of each layer, so that a hierarchy of dynamic graphs is built. This overcomes the limitations of the self-organizing maps with a fixed topology, and gives rise to a faithful visualization method for high-dimensional data.

  9. Optimality and self-organization in river deltas

    Science.gov (United States)

    Tejedor, A.; Longjas, A.; Edmonds, D. A.; Zaliapin, I. V.; Georgiou, T. T.; Rinaldo, A.; Foufoula-Georgiou, E.

    2017-12-01

    Deltas are nourished by channel networks, whose connectivity constrains, if not drives, the evolution, functionality and resilience of these systems. Understanding the coevolution of deltaic channels and their flux organization is crucial for guiding maintenance strategies of these highly stressed systems from a range of anthropogenic activities. However, in contrast to tributary channel networks, to date, no theory has been proposed to explain how deltas self-organize to distribute water and sediment to the delta top and the shoreline. Here, we hypothesize the existence of an optimality principle underlying the self-organized partition of fluxes in delta channel networks. Specifically, we hypothesize that deltas distribute water and sediment fluxes on a given delta topology such as to maximize the diversity of flux delivery to the shoreline. By introducing the concept of nonlocal Entropy Rate (nER) and analyzing ten field deltas in diverse environments, we present evidence that supports our hypothesis, suggesting that delta networks achieve dynamically accessible maxima of their nER. Furthermore, by analyzing six simulated deltas using the Delf3D model and following their topologic and flux re-organization before and after major avulsions, we further study the evolution of nER and confirm our hypothesis. We discuss how optimal flux distributions in terms of nER, when interpreted in terms of resilience, are configurations that reflect an increased ability to withstand perturbations.

  10. Some variations of the Kristallin-I near-field model

    International Nuclear Information System (INIS)

    Smith, P.A.; Curti, E.

    1995-11-01

    The Kristallin-I project is an integrated analysis of the final disposal of vitrified high-level radioactive waste (HLW) in the crystalline basement of Northern Switzerland. It includes an analysis of the radiological consequences of radionuclide release from a repository. This analysis employs a chain of independent models for the near-field, geosphere and biosphere. In constructing these models, processes are incorporated that are believed to be relevant to repository safety, while other processes are neglected. In the present report, a set of simplified, steady-state models of the near-field is developed to investigate the possible effects of specific processes which are neglected in the time-dependent Kristallin-I near-field model. These processes are neglected, either because they are thought unlikely to occur to a significant degree, or because they are likely to make a positive contribution to the performance of the near-field barrier to radionuclide migration, but are insufficiently understood to justify incorporating them in a safety assessment. The aim of this report is to investigate whether the arguments for neglecting these processes in the Kristallin-I near-field model can be justified. (author) figs., tabs., refs

  11. Self-organizing magnetic beads for biomedical applications

    International Nuclear Information System (INIS)

    Gusenbauer, Markus; Kovacs, Alexander; Reichel, Franz; Exl, Lukas; Bance, Simon; Özelt, Harald; Schrefl, Thomas

    2012-01-01

    In the field of biomedicine magnetic beads are used for drug delivery and to treat hyperthermia. Here we propose to use self-organized bead structures to isolate circulating tumor cells using lab-on-chip technologies. Typically blood flows past microposts functionalized with antibodies for circulating tumor cells. Creating these microposts with interacting magnetic beads makes it possible to tune the geometry in size, position and shape. We developed a simulation tool that combines micromagnetics and discrete particle dynamics, in order to design micropost arrays made of interacting beads. The simulation takes into account the viscous drag of the blood flow, magnetostatic interactions between the magnetic beads and gradient forces from external aligned magnets. We developed a particle–particle particle–mesh method for effective computation of the magnetic force and torque acting on the particles. - Highlights: ► We propose to use self-organized bead structures to isolate circulating tumor cells. ► Flexible ways are important to get a high probability of catching cancer cells. ► The beads make it possible to tune the geometry in size position and shape.

  12. Wafer-scale self-organized InP nanopillars with controlled orientation for photovoltaic devices.

    Science.gov (United States)

    Sanatinia, Reza; Berrier, Audrey; Dhaka, Veer; Perros, Alexander P; Huhtio, Teppo; Lipsanen, Harri; Anand, Srinivasan

    2015-10-16

    A unique wafer-scale self-organization process for generation of InP nanopillars is demonstrated, which is based on maskless ion-beam etching (IBE) of InP developed to obtain the nanopillars, where the height, shape, and orientation of the nanopillars can be varied by controlling the processing parameters. The fabricated InP nanopillars exhibit broadband suppression of the reflectance, 'black InP,' a property useful for solar cells. The realization of a conformal p-n junction for carrier collection, in the fabricated solar cells, is achieved by a metalorganic vapor phase epitaxy (MOVPE) overgrowth step on the fabricated pillars. The conformal overgrowth retains the broadband anti-reflection property of the InP nanopillars, indicating the feasibility of this technology for solar cells. Surface passivation of the formed InP nanopillars using sulfur-oleylamine solution resulted in improved solar-cell characteristics. An open-circuit voltage of 0.71 V and an increase of 0.13 V compared to the unpassivated device were achieved.

  13. Wafer-scale self-organized InP nanopillars with controlled orientation for photovoltaic devices

    International Nuclear Information System (INIS)

    Sanatinia, Reza; Berrier, Audrey; Anand, Srinivasan; Dhaka, Veer; Perros, Alexander P; Huhtio, Teppo; Lipsanen, Harri

    2015-01-01

    A unique wafer-scale self-organization process for generation of InP nanopillars is demonstrated, which is based on maskless ion-beam etching (IBE) of InP developed to obtain the nanopillars, where the height, shape, and orientation of the nanopillars can be varied by controlling the processing parameters. The fabricated InP nanopillars exhibit broadband suppression of the reflectance, ‘black InP,’ a property useful for solar cells. The realization of a conformal p–n junction for carrier collection, in the fabricated solar cells, is achieved by a metalorganic vapor phase epitaxy (MOVPE) overgrowth step on the fabricated pillars. The conformal overgrowth retains the broadband anti-reflection property of the InP nanopillars, indicating the feasibility of this technology for solar cells. Surface passivation of the formed InP nanopillars using sulfur-oleylamine solution resulted in improved solar-cell characteristics. An open-circuit voltage of 0.71 V and an increase of 0.13 V compared to the unpassivated device were achieved. (paper)

  14. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  15. Motion of Charged Particles near Magnetic Field Discontinuities

    International Nuclear Information System (INIS)

    Dodin, I.Y.; Fisch, N.J.

    2000-01-01

    The motion of charged particles in slowly changing magnetic fields exhibits adiabatic invariance even in the presence of abrupt magnetic discontinuities. Particles near discontinuities in magnetic fields, what we call ''boundary particles'', are constrained to remain near an arbitrarily fractured boundary even as the particle drifts along the discontinuity. A new adiabatic invariant applies to the motion of these particles

  16. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  17. Simultaneous measurement of magnetic field and temperature based on an etched TCFMI cascaded with an FBG

    Science.gov (United States)

    Yan, Guofeng; Zhang, Liang; He, Sailing

    2016-04-01

    In this paper, a dual-parameter measurement scheme based on an etched thin core fiber modal interferometer (TCMI) cascaded with a fiber Bragg grating (FBG) is proposed and experimentally demonstrated for simultaneous measurement of magnetic field and temperature. The magnetic field and temperature responses of the packaged TCFMI were first investigated, which showed that the magnetic field sensitivity could be highly enhanced by decreasing of the TCF diameter and the temperature-cross sensitivities were up to 3-7 Oe/°C at 1550 nm. Then, the theoretical analysis and experimental demonstration of the proposed dual-parameter sensing scheme were conducted. Experimental results show that, the reflection of the FBG has a magnetic field intensity and temperature sensitivities of -0.017 dB/Oe and 0.133 dB/°C, respectively, while the Bragg wavelength of the FBG is insensitive to magnetic field and has a temperature sensitivity of 13.23 pm/°C. Thus by using the sensing matrix method, the intensity of the magnetic field and the temperature variance can be measured, which enables magnetic field sensing under strict temperature environments. In the on-off time response test, the fabricated sensor exhibited high repeatability and short response time of ∼19.4 s. Meanwhile the reflective sensing probe type is more compact and practical for applications in hard-to-reach conditions.

  18. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  19. Near-field optical recording based on solid immersion lens system

    Science.gov (United States)

    Hong, Tao; Wang, Jia; Wu, Yan; Li, Dacheng

    2002-09-01

    Near-field optical recording based on solid immersion lens (SIL) system has attracted great attention in the field of high-density data storage in recent years. The diffraction limited spot size in optical recording and lithography can be decreased by utilizing the SIL. The SIL near-field optical storage has advantages of high density, mass storage capacity and compatibility with many technologies well developed. We have set up a SIL near-field static recording system. The recording medium is placed on a 3-D scanning stage with the scanning range of 70×70×70μm and positioning accuracy of sub-nanometer, which will ensure the rigorous separation control in SIL system and the precision motion of the recording medium. The SIL is mounted on an inverted microscope. The focusing between long working distance objective and SIL can be monitored and observed by the CCD camera and eyes. Readout signal can be collected by a detector. Some experiments have been performed based on the SIL near-field recording system. The attempt of the near-field recording on photochromic medium has been made and the resolution improvement of the SIL has been presented. The influence factors in SIL near-field recording system are also discussed in the paper.

  20. A mechanism for the formation and sustainment of the self-organized global profile and E   ×   B staircase in tokamak plasmas

    Science.gov (United States)

    Wang, W.; Kishimoto, Y.; Imadera, K.; Li, J. Q.; Wang, Z. X.

    2018-05-01

    The mechanism for the formation and sustainment of a self-organized global profile and the ‘ E   ×   B staircase’ are investigated through simulations of a flux-driven ion temperature gradient (ITG) turbulence based on GKNET, a 5D global gyrokinetic code. The staircase is found to be initiated from the radially extended ITG mode structures with nearly up-down symmetry during the saturation phase, and is established as it evolves into a quasi-steady turbulence, leading to a self-organized global temperature profile and to meso-scale isomorphic profiles of the radial electric field and the temperature gradient. It is found that the quasi-regular E   ×   B shear flow pattern is primarily originated from an even-symmetrical zonal flow produced by the extended ITG mode, which flow pattern exhibits an in-phase relation with the mean flow variation induced by the temperature relaxation. Consequently, the staircase is initiated through the profiles of total electric field and temperature gradient with a self-organized manner. Since the sign of E   ×   B shear flow at the central part are opposite to that at both edges, it disintegrates the ITG mode into smaller scale eddies. Meanwhile, smaller scale eddies tend to be aligned radially by spontaneous phase matching, which can provide the growth of mode amplitude and the formation of radially extended mode structures, leading to the bursty heat transport. This process is repeated quasi-periodically, sustaining self-organized structures and the E   ×   B staircase. Moreover, the equilibrium mean field is found to be of specific importance in causing the structures and dynamics from meso- to macro scales in toroidal plasmas.

  1. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    Energy Technology Data Exchange (ETDEWEB)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States); Cheng, Gary J., E-mail: gjcheng@purdue.edu [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States)

    2012-03-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  2. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    International Nuclear Information System (INIS)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard; Cheng, Gary J.

    2012-01-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  3. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  4. Fabrication of luminescent porous silicon with stain etches and evidence that luminescence originates in amorphous layers

    Science.gov (United States)

    Fathauer, R. W.; George, T.; Ksendzov, A.; Lin, T. L.; Pike, W. T.; Vasquez, R. P.; Wu, Z.-C.

    1992-01-01

    Simple immersion of Si in stain etches of HF:HNO3:H2O or NaNO2 in aqueous HF was used to produce films exhibiting luminescence in the visible similar to that of anodically-etched porous Si. All of the luminescent samples consist of amorphous porous Si in at least the near surface region. No evidence was found for small crystalline regions within these amorphous layers.

  5. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  6. Self-organization in Complex Systems The Past, Present, and Future of Synergetics : International Symposium

    CERN Document Server

    Pelster, Axel

    2016-01-01

    This proceedings volume contains talks and poster presentations from the International Symposium "Self-Organization in Complex Systems: The Past, Present, and Future of Synergetics", which took place at Hanse-Wissenschaftskolleg, an Institute of Advanced Studies, in Delmenhorst, Germany, during the period November 13 - 16, 2012. The Symposium was organized in honour of Hermann Haken, who celebrated his 85th birthday in 2012. With his fundamental theory of Synergetics he had laid the mathematical-physical basis for describing and analyzing self-organization processes in a diversity of fields of research. The quest for common and universal principles of self-organization in complex systems was clearly covered by the wide range of interdisciplinary topics reported during the Symposium. These extended from complexity in classical systems and quantum systems over self-organisation in neuroscience even to the physics of finance. Moreover, by combining a historical view with a present status report the Symposium con...

  7. Thermodynamics of the near field

    International Nuclear Information System (INIS)

    Apps, J.A.

    1985-01-01

    The near field is normally taken to mean the part of the geologic setting of a repository that is affected by mechanical or thermal perturbations resulting from repository excavations and emplacement of radioactive waste. The near-field host rocks, the waste package, and the intervening backfill constitute a series of engineered and natural barriers that should be designed to initially prevent and subsequently control radionuclide release. Nuclear Regulatory Commission regulations 10 CFR part 60 specify that the waste package must not allow any release of radionuclides for at least 300 years, and preferably 1000 years. Thereafter, the release rate of any radionuclide is not to exceed on part in 100,000 per year of the inventory that is calculated to be present 1000 years after closure. In this paper, the author briefly outlines recent developments and identifies important fundamental research in thermodynamics and related areas that is needed to resolve some of the current uncertainties

  8. The effect of various adhesives, enamel etching, and base treatment on the failure frequency of customized lingual brackets: a randomized clinical trial.

    Science.gov (United States)

    Mavreas, Dimitrios; Cuzin, Jean-François; Boonen, Guillaume; Vande Vannet, Bart

    2018-05-25

    The aim of this paper was to compare failure differences in precious metal customized lingual brackets bonded with three adhesive systems. Also, differences in failure of non-precious metal brackets with and without a silicatized base layer bonded with the same adhesive, as well as the influence of enamel etching prior to using a self-etching dual cure resin were explored. Five different groups were defined in a semi-randomized approach. Group 1 (IME): Maxcem Elite with 378 Incognito brackets and etched teeth, Group 2 (IMNE): Maxcem Elite with 193 Incognito brackets on non-etched teeth, Group 3 (INE): Nexus+Excite with 385 Incognito brackets, Group 4 (IRE): Relyx with 162 Incognito brackets, Group 5 (HRME) and Group 6 (HNRME): Maxcem Elite with 182 Harmony brackets with silicatized and non-slicatized bases respectively. Bracket failures were recorded over a 12-month period. The number of failures during the observation period was small in the various adhesives types of groups, as well as in HRME and HNRME groups, and the comparisons among those groups were non-significant (P > 0.05). A statistically significant difference (P brackets failure frequencies (rates) are not different for the three adhesive materials tested. 2. Eliminating the etching stage when using self-etch/self-adhesive adhesives, may lead to a dramatic increase in the failure rates. 3. Silicoating of stainless steel customized lingual brackets does not seem to influence the failure of the bonds.

  9. Near-Field Spectroscopy with Nanoparticles Deposited by AFM

    Science.gov (United States)

    Anderson, Mark S.

    2008-01-01

    An alternative approach to apertureless near-field optical spectroscopy involving an atomic-force microscope (AFM) entails less complexity of equipment than does a prior approach. The alternative approach has been demonstrated to be applicable to apertureless near-field optical spectroscopy of the type using an AFM and surface enhanced Raman scattering (SERS), and is expected to be equally applicable in cases in which infrared or fluorescence spectroscopy is used. Apertureless near-field optical spectroscopy is a means of performing spatially resolved analyses of chemical compositions of surface regions of nanostructured materials. In apertureless near-field spectroscopy, it is common practice to utilize nanostructured probe tips or nanoparticles (usually of gold) having shapes and dimensions chosen to exploit plasmon resonances so as to increase spectroscopic-signal strengths. To implement the particular prior approach to which the present approach is an alternative, it is necessary to integrate a Raman spectrometer with an AFM and to utilize a special SERS-active probe tip. The resulting instrumentation system is complex, and the tasks of designing and constructing the system and using the system to acquire spectro-chemical information from nanometer-scale regions on a surface are correspondingly demanding.

  10. Optical and structural properties of porous zinc oxide fabricated via electrochemical etching method

    International Nuclear Information System (INIS)

    Ching, C.G.; Lee, S.C.; Ooi, P.K.; Ng, S.S.; Hassan, Z.; Hassan, H. Abu; Abdullah, M.J.

    2013-01-01

    Highlights: • Hillock like porous structure zinc oxide was obtained via electrochemical etching. • Anisotropic dominance etching process by KOH etchant. • Reststrahlen features are sensitive to multilayer porous structure. • Determination of porosity from IR reflectance spectrum. -- Abstract: We investigated the optical and structural properties of porous zinc oxide (ZnO) thin film fabricated by ultraviolet light-assisted electrochemical etching. This fabrication process used 10 wt% potassium hydroxide solution as an electrolyte. Hillock-like porous ZnO films were successfully fabricated according to the field emission scanning electron microscopy results. The cross-sectional study of the sample indicated that anisotropic-dominated etching process occurred. However, the atomic force microscopic results showed an increase in surface roughness of the sample after electrochemical etching. A resonance hump induced by the porous structure was observed in the infrared reflectance spectrum. Using theoretical modeling technique, ZnO porosification was verified, and the porosity of the sample was determined

  11. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  12. Near-Field Resonance Microwave Tomography and Holography

    Science.gov (United States)

    Gaikovich, K. P.; Smirnov, A. I.; Yanin, D. V.

    2018-02-01

    We develop the methods of electromagnetic computer near-field microwave tomography of distributed subsurface inhomogeneities of complex dielectric permittivity and of holography (shape retrieval) of internally homogeneous subsurface objects. The methods are based on the solution of the near-field inverse scattering problem from measurements of the resonance-parameter variations of microwave probes above the medium surface. The capabilities of the proposed diagnostic technique are demonstrated in the numerical simulation for sensors with a cylindrical capacitor as a probe element, the edge capacitance of which is sensitive to subsurface inhomogeneities.

  13. Near-field optical microscope using a silicon-nitride probe

    NARCIS (Netherlands)

    van Hulst, N.F.; Moers, M.H.P.; Moers, M.H.P.; Noordman, O.F.J.; Noordman, O.F.J.; Tack, R.G.; Segerink, Franciscus B.; Bölger, B.; Bölger, B.

    1993-01-01

    Operation of an alternative near-field optical microscope is presented. The microscope uses a microfabricated silicon- nitride probe with integrated cantilever, as originally developed for force microscopy. The cantilever allows routine close contact near-field imaging o­n arbitrary surfaces without

  14. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  15. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  16. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  17. Multifunctional Nanoparticles Self-Assembled from Small Organic Building Blocks for Biomedicine.

    Science.gov (United States)

    Xing, Pengyao; Zhao, Yanli

    2016-09-01

    Supramolecular self-assembly shows significant potential to construct responsive materials. By tailoring the structural parameters of organic building blocks, nanosystems can be fabricated, whose performance in catalysis, energy storage and conversion, and biomedicine has been explored. Since small organic building blocks are structurally simple, easily modified, and reproducible, they are frequently employed in supramolecular self-assembly and materials science. The dynamic and adaptive nature of self-assembled nanoarchitectures affords an enhanced sensitivity to the changes in environmental conditions, favoring their applications in controllable drug release and bioimaging. Here, recent significant research advancements of small-organic-molecule self-assembled nanoarchitectures toward biomedical applications are highlighted. Functionalized assemblies, mainly including vesicles, nanoparticles, and micelles are categorized according to their topological morphologies and functions. These nanoarchitectures with different topologies possess distinguishing advantages in biological applications, well incarnating the structure-property relationship. By presenting some important discoveries, three domains of these nanoarchitectures in biomedical research are covered, including biosensors, bioimaging, and controlled release/therapy. The strategies regarding how to design and characterize organic assemblies to exhibit biomedical applications are also discussed. Up-to-date research developments in the field are provided and research challenges to be overcome in future studies are revealed. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Review of international near-field modeling for high-level waste disposal

    International Nuclear Information System (INIS)

    Apted, M.J.; Andersson, K.; Pescatore, C.

    1993-01-01

    The primary components of nuclear waste repositories that mitigate radionuclide release are the near-field and the far-field subsystems. The near-field encompasses the waste package, which is composed of engineered barriers; the far-field includes the natural barriers. An international survey and review is being conducted on the latest developments in modeling of near-field performance, with particular emphasis on the conceptual and mathematical models for source-term calculations. The objectives of this review will be to establish the status and commonality among models and methods for assessing near-field performance, as well as to identify possible future needs for continued comparison and collaboration. In parallel with the technical evaluation, an international technical Workshop on near-field performance assessment will be held, in association with the Nuclear Energy Agency, on May 11-13, 1993 in Cadarache, France

  19. Near-field photon wave mechanics in the Lorenz gauge

    International Nuclear Information System (INIS)

    Keller, Ole

    2007-01-01

    Optical near-field interactions are studied theoretically in the perspective of photon wave mechanics paying particular attention to the dynamics in the wave-vector time domain. A unitary transformation is used to replace the scalar and longitudinal photon variables by so-called near-field and gauge photon variables. Dynamical equations are established for these types of photon variables, and it is shown that these equations are invariant against gauge transformations within the Lorenz gauge. The near-field photon is absent in the free-field limit, and the gauge photon can be eliminated by a suitable gauge transformation. Implicit solutions for the near-field, gauge, and transverse photon variables are obtained and discussed. The general theory is applied to an investigation of transverse photon propagation in a uniform solid-state plasma dominated by the diamagnetic field-matter interaction. It is found that the diamagnetic response can be incorporated in a quantum mechanical wave equation for a massive transverse photon. The Compton wave number of the massive photon equals the plasma wave number of the electron system. A dynamical equation describing the emission of a massive transverse photon from a mesoscopic source embedded in the plasma is finally established

  20. Control and near-field detection of surface plasmon interference patterns.

    Science.gov (United States)

    Dvořák, Petr; Neuman, Tomáš; Břínek, Lukáš; Šamořil, Tomáš; Kalousek, Radek; Dub, Petr; Varga, Peter; Šikola, Tomáš

    2013-06-12

    The tailoring of electromagnetic near-field properties is the central task in the field of nanophotonics. In addition to 2D optics for optical nanocircuits, confined and enhanced electric fields are utilized in detection and sensing, photovoltaics, spatially localized spectroscopy (nanoimaging), as well as in nanolithography and nanomanipulation. For practical purposes, it is necessary to develop easy-to-use methods for controlling the electromagnetic near-field distribution. By imaging optical near-fields using a scanning near-field optical microscope, we demonstrate that surface plasmon polaritons propagating from slits along the metal-dielectric interface form tunable interference patterns. We present a simple way how to control the resulting interference patterns both by variation of the angle between two slits and, for a fixed slit geometry, by a proper combination of laser beam polarization and inhomogeneous far-field illumination of the structure. Thus the modulation period of interference patterns has become adjustable and new variable patterns consisting of stripelike and dotlike motifs have been achieved, respectively.

  1. Cosmological models in globally geodesic coordinates. II. Near-field approximation

    International Nuclear Information System (INIS)

    Liu Hongya

    1987-01-01

    A near-field approximation dealing with the cosmological field near a typical freely falling observer is developed within the framework established in the preceding paper [J. Math. Phys. 28, xxxx(1987)]. It is found that for the matter-dominated era the standard cosmological model of general relativity contains the Newtonian cosmological model, proposed by Zel'dovich, as its near-field approximation in the observer's globally geodesic coordinate system

  2. Universal self-similar dynamics of relativistic and nonrelativistic field theories near nonthermal fixed points

    Science.gov (United States)

    Piñeiro Orioli, Asier; Boguslavski, Kirill; Berges, Jürgen

    2015-07-01

    We investigate universal behavior of isolated many-body systems far from equilibrium, which is relevant for a wide range of applications from ultracold quantum gases to high-energy particle physics. The universality is based on the existence of nonthermal fixed points, which represent nonequilibrium attractor solutions with self-similar scaling behavior. The corresponding dynamic universality classes turn out to be remarkably large, encompassing both relativistic as well as nonrelativistic quantum and classical systems. For the examples of nonrelativistic (Gross-Pitaevskii) and relativistic scalar field theory with quartic self-interactions, we demonstrate that infrared scaling exponents as well as scaling functions agree. We perform two independent nonperturbative calculations, first by using classical-statistical lattice simulation techniques and second by applying a vertex-resummed kinetic theory. The latter extends kinetic descriptions to the nonperturbative regime of overoccupied modes. Our results open new perspectives to learn from experiments with cold atoms aspects about the dynamics during the early stages of our universe.

  3. Optimization of s-Polarization Sensitivity in Apertureless Near-Field Optical Microscopy

    Directory of Open Access Journals (Sweden)

    Yuika Saito

    2012-01-01

    Full Text Available It is a general belief in apertureless near-field microscopy that the so-called p-polarization configuration, where the incident light is polarized parallel to the axis of the probe, is advantageous to its counterpart, the s-polarization configuration, where the incident light is polarized perpendicular to the probe axis. While this is true for most samples under common near-field experimental conditions, there are samples which respond better to the s-polarization configuration due to their orientations. Indeed, there have been several reports that have discussed such samples. This leads us to an important requirement that the near-field experimental setup should be equipped with proper sensitivity for measurements with s-polarization configuration. This requires not only creation of effective s-polarized illumination at the near-field probe, but also proper enhancement of s-polarized light by the probe. In this paper, we have examined the s-polarization enhancement sensitivity of near-field probes by measuring and evaluating the near-field Rayleigh scattering images constructed by a variety of probes. We found that the s-polarization enhancement sensitivity strongly depends on the sharpness of the apex of near-field probes. We have discussed the efficient value of probe sharpness by considering a balance between the enhancement and the spatial resolution, both of which are essential requirements of apertureless near-field microscopy.

  4. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  5. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  6. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  7. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  8. Supersonic acoustic intensity with statistically optimized near-field acoustic holography

    DEFF Research Database (Denmark)

    Fernandez Grande, Efren; Jacobsen, Finn

    2011-01-01

    The concept of supersonic acoustic intensity was introduced some years ago for estimating the fraction of the flow of energy radiated by a source that propagates to the far field. It differs from the usual (active) intensity by excluding the near-field energy resulting from evanescent waves...... to the information provided by the near-field acoustic holography technique. This study proposes a version of the supersonic acoustic intensity applied to statistically optimized near-field acoustic holography (SONAH). The theory, numerical results and an experimental study are presented. The possibility of using...

  9. Near-field radiative heat transfer between clusters of dielectric nanoparticles

    International Nuclear Information System (INIS)

    Dong, J.; Zhao, J.M.; Liu, L.H.

    2017-01-01

    In this work, we explore the near-field radiative heat transfer between two clusters of silicon carbide (SiC) nanoparticles using the many-body radiative heat transfer theory. The effects of fractal dimension of clusters, many-body interaction between nanoparticles and relative orientation of clusters on the thermal conductance are studied. Meanwhile, the applicability of the equivalent volume spheres (EVS) approximation for near-field radiative heat transfer between clusters is examined. It is observed that the thermal conductance is larger for clusters with larger fractal dimension, which is more significant in the near-field. The thermal conductance of EVS resembles that of the clusters, but EVS overestimates the conductance of clusters, especially in the near-field. Compared to the case of two nanoparticles, the conductance of nanoparticle clusters decays much slower with increasing distance in the near-field, but shares similar dependence on the distance in the far-field. The thermal conductance of SiC nanoparticle clusters is inhibited by the many-body interaction when surface phonon polariton is supported but enhanced at frequencies close to the resonance frequency. The total thermal conductance is decreased due to many-body interaction among particles in the cluster. The relative orientation between the clusters is also an important factor in the near-field, especially for clusters with lower fractal dimension. - Highlights: • Near-field radiative heat transfer between clusters of nanoparticles is studied. • The many-body radiative heat transfer theory is applied for rigorous analysis. • The accuracy of equivalent volume spheres approximation is examined. • Clusters with larger fractal dimension have larger radiative thermal conductance. • Many-body interaction inhibits the total radiative thermal conductance.

  10. New developments in near-field acoustic holography

    NARCIS (Netherlands)

    Roozen, N.B.; Geerlings, A.C.; Verhaar, B.T.; Vliegenthart, T.

    2007-01-01

    In the field of noise-control engineering, information about the individual strength, andlocation, of the most dominant sources is of vital importance. This information allows theacoustic engineer to take effective measures in his effort to reduce the emitted acoustic noiselevels. Near-field

  11. Self-Guided Field Explorations: Integrating Earth Science into Students' Lives

    Science.gov (United States)

    Kirkby, K. C.; Kirkby, S.

    2013-12-01

    Self-guided field explorations are a simple way to transform an earth science class into a more pedagogically effective experience. Previous experience demonstrated that self-guided student explorations of museum and aquarium exhibits were both extremely popular and remarkably effective. That success led our program to test an expansion of the concept to include self-guided student explorations in outdoor field settings. Preliminary assessment indicates these self-guided field explorations are nearly as popular with students as the museum and aquarium explorations and are as pedagogically effective. Student gains on post-instruction assessment match or exceed those seen in instructor-assisted, hands-on, small group laboratory activities and completely eclipse gains achieved by traditional lecture instruction. As importantly, self-guided field explorations provide a way to integrate field experiences into large enrollment courses where the sheer scale of class trips makes them logistically impossible. This expands course breadth, integrating new topics that could not be as effectively covered by the original class structure. Our introductory program assessed two models of self-guided field explorations. A walking/cycling exploration of the Saint Anthony Falls area, a mile from campus, focuses on the intersections of geological processes with human history. Students explore the geology behind the waterfalls' evolution as well as its subsequent social and economic impacts on human history. A second exploration focuses on the campus area geology, including its building stones as well as its landscape evolution. In both explorations, the goal was to integrate geology with the students' broader understanding of the world they live in. Although the explorations' creation requires a significant commitment, once developed, self-guided explorations are surprisingly low maintenance. These explorations provide a model of a simple, highly effective pedagogical tool that is

  12. Near-field levitated quantum optomechanics with nanodiamonds

    Science.gov (United States)

    Juan, M. L.; Molina-Terriza, G.; Volz, T.; Romero-Isart, O.

    2016-08-01

    We theoretically show that the dipole force of an ensemble of quantum emitters embedded in a dielectric nanosphere can be exploited to achieve near-field optical levitation. The key ingredient is that the polarizability from the ensemble of embedded quantum emitters can be larger than the bulk polarizability of the sphere, thereby enabling the use of repulsive optical potentials and consequently the levitation using optical near fields. In levitated cavity quantum optomechanics, this could be used to boost the single-photon coupling by combining larger polarizability to mass ratio, larger field gradients, and smaller cavity volumes while remaining in the resolved sideband regime and at room temperature. A case study is done with a nanodiamond containing a high density of silicon-vacancy color centers that is optically levitated in the evanescent field of a tapered nanofiber and coupled to a high-finesse microsphere cavity.

  13. Near field optics and nanoscopy

    CERN Document Server

    Fillard, J P

    1996-01-01

    This book contains the most recent information on optical nanoscopy. Far-Field and Near-Field properties on e.m. waves are presented which illustrate how optical images can be obtained from sub-micron objects. Scanning Probe techniques and computer processing are covered here. An explanation is given on how propagating photons or evanescent waves can behave over distances shorter than the wavelength, taking into account the presence of small objects. Quantum tunneling of photons is explained comparatively with the electron mechanism. Technical details are given on photon tunneling microscopes.

  14. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    Science.gov (United States)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  15. Self-field effects on electron dynamics in free-electron lasers with axial magnetic field

    International Nuclear Information System (INIS)

    Mirzanejhad, S.; Maraghechi, B.; Mohsenpour, T.

    2004-01-01

    A self-consistent method for the analysis of self-magnetic field for a free-electron laser with a one-dimensional helical wiggler and an axial guide magnetic field is presented. The equilibrium orbits and their stability, under the influence of self-electric and self-magnetic fields, are analyzed. New unstable orbits, in the first part of the Group I orbits and in the resonance region of the Group II orbits, are found. It is shown that an increase in the defocusing effect of self-fields will widen the unstable orbits. An anomalous self-field regime is found where an increase in the defocusing effect of self-fields can have stabilizing effect on the resonance region

  16. Self-consistent neutral point current and fields from single particle dynamics

    International Nuclear Information System (INIS)

    Martin, R.F. Jr.

    1988-01-01

    In order to begin to build a global model of the magnetotail-auroral region interaction, it is of interest to understand the role of neutral points as potential centers of particle energization in the tail. In this paper, the single particle current is calculated near a magnetic neutral point with magnetotail properties. This is balanced with the Ampere's law current producing the magnetic field to obtain the self-consistent electric field for the problem. Also calculated is the current-electric field relationship and, in the regime where this relation is linear, an effective conductivity. Results for these macroscopic quantities are surprisingly similar to the values calculated for a constant normal field current sheet geometry. Application to magnetotail modeling is discussed. 11 references

  17. Near-field mapping by laser ablation of PMMA coatings

    DEFF Research Database (Denmark)

    Fiutowski, J.; Maibohm, C.; Kostiucenko, O.

    2011-01-01

    The optical near-field of lithography-defined gold nanostructures, arranged into regular arrays on a gold film, is characterized via ablation of a polymer coating by laser illumination. The method utilizes femto-second laser pulses from a laser scanning microscope which induces electrical field...... that the different stages in the ablation process can be controlled and characterized making the technique suitable for characterizing optical near-fields of metal nanostructures....

  18. Stable anodes for lithium ion batteries made of self-organized mesoporous silicon

    International Nuclear Information System (INIS)

    Wolter, Sascha J; Köntges, Marc; Brendel, Rolf; Bahnemann, Detlef

    2016-01-01

    Alloy-forming compounds, such as electrodes for lithium ion batteries, stand out in terms of their theoretical specific charge capacity while still lacking in mechanical stability due to significant volume changes during operation. Herein, we examine the approach of combining low structural dimensions of the active material with built-in expansion volumes and assess their benefit for silicon anodes in lithium ion batteries. Consequently, self-organized mesoporous silicon is prepared as a suitable anode material for lithium ion batteries without any pre-structuring methods. The anodes are made by employing electrochemical etching methods in a scalable process and are characterized by ellipsometry. Thermally evaporated copper is utilized as the current collector. A sheet of freestanding silicon in contact with copper is used as an anode material with a thickness of 3 μm. After an initialization phase, electrochemical characterization reveals an anode stability of more than 160 cycles with a specific charge capacity of 730 mAh/g. The mechanical stability of the anode is examined by taking SEM measurements of the used electrode material. (paper)

  19. Self-organization of domain growth in the Ising model with impurities

    DEFF Research Database (Denmark)

    Andersen, Jørgen Vitting; Mouritsen, Ole G.

    1992-01-01

    We have studied avalanchelike rearrangements of domain patterns in the two-dimensional Ising model with static impurities, which is quenched to low temperatures. When breaking the up-down symmetry of the spins by a small applied field, the mere fluctuation of a single spin eventually results...... in a cascade of spin flips at the domain boundaries. We have analyzed the lifetime and size distribution functions for the avalanches and related the results to the general phenomena of self-organized criticality and to recent experiments on cellular magnetic domain patterns in magnetic garnet films. Our...... results suggest that the self-organized state in this system appears to be subcritical, in agreement with a recent theory....

  20. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane

    2012-10-03

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.