WorldWideScience

Sample records for self-annealed copper films

  1. Quantitative microstructure characterization of self-annealed copper films with electron backscatter diffraction

    DEFF Research Database (Denmark)

    Pantleon, Karen; Gholinia, A.; Somers, Marcel A. J.

    2008-01-01

    Electron backscatter diffraction (EBSD) was applied to analyze cross sections of self-annealed copper electrodeposits, for which earlier the kinetics of self-annealing had been investigated by in-situ X-ray diffraction (XRD). The EBSD investigations on the grain size, grain boundary character...... and crystallographic texture of copper films with different thicknesses essentially supplement results from in-situ XRD. Twin relations between neighboring grains were identified from the orientation maps and the observed twin chains confirm multiple twinning in copper electrodeposits as the mechanism...

  2. X-ray diffraction investigation of self-annealing in nanocrystalline copper electrodeposits

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2006-01-01

    X-ray diffraction analysis and electrical resistivity measurements were conducted simultaneously for in-situ examination of self-annealing in copper electrodeposits. Considerable growth of the as-deposited nano-sized crystallites occurs with time and the crystallographic texture changes by multip...... twinning during self-annealing. The kinetics of self-annealing depends on the layer thickness as well as on the orientation and/or the size of the as-deposited crystallites. (c) 2006 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.......X-ray diffraction analysis and electrical resistivity measurements were conducted simultaneously for in-situ examination of self-annealing in copper electrodeposits. Considerable growth of the as-deposited nano-sized crystallites occurs with time and the crystallographic texture changes by multiple...

  3. Evolution of the microstructure in electrochemically deposited copper films at room temperature

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2007-01-01

    The room temperature evolution of the microstructure in copper electrodeposits (self-annealing) was investigated by means of X-ray diffraction analysis and simultaneous measurement of the electrical resistivity as a function of time with an unprecedented time resolution. Independent of the copper...... the crystallographic texture changes by a multiple twinning mechanism. The kinetics of self-annealing is strongly affected by the thickness of the deposit. Storage of the copper films at sub-zero temperatures effectively hinders self-annealing and does not affect the kinetics of self-annealing upon reheating to room...... temperature....

  4. Structural and phase changes in copper-fullerene films by ion implantation and annealing

    International Nuclear Information System (INIS)

    Shpilevsky, E.M.; Baran, L.V.; Okatova, G.P.; Jakimovich, A.V.

    2001-01-01

    The structural and phase changes and the electrical properties of copper - fullerene (Cu-C 60 ) films by the ion implantation(B + , E=80 keV, D 5·10 21 m -2 ) and the thermal annealing are described. We found the copper-fullerene solid supersaturated solution formed in process of the two-component films obtaining. The result of the thermal annealing is the phase segregation of fullerene. It has been established the ion implantation adduces to the partial fragmentation of fullerene, to the destruction of the C 60 molecules and to the formation of the CuB 24 , B 25 C and B 4 C phases

  5. Effects of vacuum annealing on the optical and electrical properties of p-type copper-oxide thin-film transistors

    International Nuclear Information System (INIS)

    Sohn, Joonsung; Song, Sang-Hun; Kwon, Hyuck-In; Nam, Dong-Woo; Cho, In-Tak; Lee, Jong-Ho; Cho, Eou-Sik

    2013-01-01

    We have investigated the effects of vacuum annealing on the optical and electrical properties of the p-type copper-oxide thin-film transistors (TFTs). The vacuum annealing of the copper-oxide thin-film was performed using the RF magnetron sputter at various temperatures. From the x-ray diffraction and UV-vis spectroscopy, it is demonstrated that the high-temperature vacuum annealing reduces the copper-oxide phase from CuO to Cu 2 O, and increases the optical transmittance in the visible part of the spectrum. The fabricated copper-oxide TFT does not exhibit the switching behavior under low-temperature vacuum annealing conditions. However, as the annealing temperature increases, the drain current begins to be modulated by a gate voltage, and the TFT exhibits a high current on–off ratio over 10 4 as the vacuum annealing temperature increases over 450 °C. These results show that the vacuum annealing process can be an effective method of simultaneously improving the optical and electrical performances in p-type copper-oxide TFTs. (paper)

  6. Influence of post-deposition annealing on structural, morphological and optical properties of copper (II) acetylacetonate thin films.

    Science.gov (United States)

    Abdel-Khalek, H; El-Samahi, M I; El-Mahalawy, Ahmed M

    2018-05-21

    In this study, the effect of thermal annealing under vacuum conditions on structural, morphological and optical properties of thermally evaporated copper (II) acetylacetonate, cu(acac) 2 , thin films were investigated. The copper (II) acetylacetonate thin films were deposited using thermal evaporation technique at vacuum pressure ~1 × 10 -5  mbar. The deposited films were thermally annealed at 323, 373, 423, and 473 K for 2 h in vacuum. The thermogravimetric analysis of cu(acac) 2 powder indicated a thermal stability of cu(acac) 2 up to 423 K. The effects of thermal annealing on the structural properties of cu(acac) 2 were evaluated employing X-ray diffraction method and the analysis showed a polycrystalline nature of the as-deposited and annealed films with a preferred orientation in [1¯01] direction. Fourier transformation infrared (FTIR) technique was used to negate the decomposition of copper (II) acetylacetonate during preparation or/and annealing up to 423 K. The surface morphology of the prepared films was characterized by means of field emission scanning electron microscopy (FESEM). A significant enhancement of the morphological properties of cu(acac) 2 thin films was obtained till the annealing temperature reaches 423 K. The variation of optical constants that estimated from spectrophotometric measurements of the prepared thin films was investigated as a function of annealing temperature. The annealing process presented significantly impacted the nonlinear optical properties such as third-order optical susceptibility χ (3) and nonlinear refractive index n 2 of cu(acac) 2 thin films. Copyright © 2018 Elsevier B.V. All rights reserved.

  7. X-Ray diffraction analysis of thermally evaporated copper tin selenide thin films at different annealing temperature

    International Nuclear Information System (INIS)

    Mohd Amirul Syafiq Mohd Yunos; Zainal Abidin Talib; Wan Mahmood Mat Yunus; Josephine Liew Ying Chyi; Wilfred Sylvester Paulus

    2010-01-01

    Semiconductor thin films Copper Tin Selenide, Cu 2 SnSe 3 , a potential compound for semiconductor radiation detector or solar cell applications were prepared by thermal evaporation method onto well-cleaned glass substrates. The as-deposited films were annealed in flowing purified nitrogen, N 2 , for 2 hours in the temperature range from 100 to 500 degree Celsius. The structure of as-deposited and annealed films has been studied by X-ray diffraction technique. The semi-quantitative analysis indicated from the Reitveld refinement show that the samples composed of Cu 2 SnSe 3 and SnSe. These studies revealed that the films were structured in mixed phase between cubic space group F-43 m (no. 216) and orthorhombic space group P n m a (no. 62). The crystallite size and lattice strain were determined from Scherrer calculation method. The results show that increasing in annealing temperature resulted in direct increase in crystallite size and decrease in lattice strain. (author)

  8. Effects of 500 keV electron irradiation and subsequent annealing on 1/f noise in copper films

    International Nuclear Information System (INIS)

    Pelz, J.; Clarke, J.

    1985-10-01

    Polycrystalline copper films were maintained at 90K on the cold stage of an electron microscope and irradiated with 500keV electrons to induce defect. With an electron dose of about 5 x 10 20 cm -2 , the spectral density of the noise voltage across the films increased by an order of magnitude while the electrical resistivity increased by at most 10%. The films were annealed at progressively higher temperatures; after each annealing process the 1/f noise and resistivity were remeasured at 90K. Both the 1/f noise and resistivity were reduced, but at the lower annealing temperatures the fractional reduction in the added noise was substantially more than in the added resistivity. These result suggest that a large fraction of the added noise may be generated by a small mobile fraction of the added defects that are more readily annealed than the majority of the defects. After a room temperature annealing process, both the noise and resistivity returned nearly to their initial values. The temperature dependence of the noise after irradiation and partial annealing was consistant with the Dutta-Dimon-Horn thermal activation model

  9. The effects of 500 keV electron irradiation and subsequent annealing on 1/f noise in copper films

    International Nuclear Information System (INIS)

    Pelz, J.; Clarke, J.

    1986-01-01

    Polycrystalline copper films were maintained at 90K on the cold stage of an electron microscope and irradiated with 500keV electrons to induce defects. With an electron dose of about 5 x 10 20 cm -2 , the spectral density of the noise voltage across the films increased by an order of magnitude while the electrical resistivity increased by at most 10%. The films were annealed at progressively higher temperatures; after each annealing process the 1/f noise and resistivity were remeasured at 90K. Both the 1/f noise and resistivity were reduced, but at the lower annealing temperatures the fractional reduction in the added noise was substantially more than in the added resistivity. These results suggest that a large fraction of the added noise may be generated by a small mobile fraction of the added defects that are more readily annealed than the majority of the defects. After a room temperature annealing process, both the noise and resistivity returned nearly to their initial values. The temperature dependence of the noise after irradiation and partial annealing was consistent with the Dutta-Dimon-Horn thermal activation model. (Auth.)

  10. Copper oxide thin films anchored on glass substrate by sol gel spin coating technique

    Science.gov (United States)

    Krishnaprabha, M.; Venu, M. Parvathy; Pattabi, Manjunatha

    2018-05-01

    Owing to the excellent optical, thermal, electrical and photocatalytic properties, copper oxide nanoparticles/films have found applications in optoelectronic devices like solar/photovoltaic cells, lithium ion batteries, gas sensors, catalysts, magnetic storage media etc. Copper oxide is a p-type semiconductor material having a band gap energy varying from 1.2 eV-2.1 eV. Syzygium Samarangense fruit extract was used as reducing agent to synthesize copper oxide nanostructures at room temperature from 10 mM copper sulphate pentahydrate solution. The synthesized nanostructures are deposited onto glass substrate by spin coating followed by annealing the film at 200 °C. Both the copper oxide colloid and films are characterized using UV-Vis spectroscopy, field emission scanning electron microscopy (FESEM) and energy dispersive spectroscopy (EDS) techniques. Presence of 2 peaks at 500 nm and a broad peak centered around 800 nm in the UV-Vis absorbance spectra of copper oxide colloid/films is indicative of the formation of anisotropic copper oxide nanostructures is confirmed by the FESEM images which showed the presence of triangular shaped and rod shaped particles. The rod shaped particles inside island like structures were found in unannealed films whereas the annealed films contained different shaped particles with reduced sizes. The elemental analysis using EDS spectra of copper oxide nanoparticles/films showed the presence of both copper and oxygen. Electrical properties of copper oxide nanoparticles are affected due to quantum size effect. The electrical studies carried out on both unannealed and annealed copper oxide films revealed an increase in resistivity with annealing of the films.

  11. Effect of deposition rate on melting point of copper film catalyst substrate at atomic scale

    Science.gov (United States)

    Marimpul, Rinaldo; Syuhada, Ibnu; Rosikhin, Ahmad; Winata, Toto

    2018-03-01

    Annealing process of copper film catalyst substrate was studied by molcular dynamics simulation. This copper film catalyst substrate was produced using thermal evaporation method. The annealing process was limited in nanosecond order to observe the mechanism at atomic scale. We found that deposition rate parameter affected the melting point of catalyst substrate. The change of crystalline structure of copper atoms was observed before it had been already at melting point. The optimum annealing temperature was obtained to get the highest percentage of fcc structure on copper film catalyst substrate.

  12. Improved behavior of cooper-amine complexes during thermal annealing for conductive thin film synthesis

    Energy Technology Data Exchange (ETDEWEB)

    Ayag, Kevin Ray; Panama, Gustavo; Paul, Shrabani; Kim, Hong Doo [Dept. of Advanced Materials Engineering for Information and Electronics, Kyung Hee University, Yongin (Korea, Republic of)

    2017-02-15

    Previous studies successfully produced conductive thin films from organo-metallic-compounds-based inks. Some inks like those made from copper salt and amines, however, tend to move during thermal annealing and, thus, affect the conductive pattern on the substrate. In this study, conductive inks were synthesized by forming complexes of copper with amines and/or blended amines. To build-up an organo-metallic framework and preserve the pattern throughout the annealing period, diamine was added to the complex in different proportions. The prepared inks were coated on glass substrate and were annealed on a hot plate at 170°C under the gaseous mixture of formic acid and alcohol for 5 min. The metallic film was observed to retain the original pattern of the ink during and after annealing. Adhesion on the substrate was also improved. Inks with blended amines produced films with lower resistivities. The lowest electrical resistivity recorded was 4.99 μΩ cm, three times that of bulk copper.

  13. Effect of self purification on the structural optical and electrical properties of copper doped oxidized Zn films

    International Nuclear Information System (INIS)

    Koshy, Obey; Abdul Khadar, M.

    2015-01-01

    The effect of self purification mechanism is studied on oxidized Cu–Zn thin films. Oxidized Cu–Zn thin films were prepared by thermal evaporation on glass substrates. XRD studies indicate that the oxidized Cu–Zn thin films are of hexagonal wurtzite structure. AFM images shows that with increase in copper wt. percent the nanoparticle morphology of oxidized Zn film turned to one dimensional nanorod morphology. XPS spectra of the oxidized Cu–Zn thin films shows the oxidized state of zinc and copper. The PL spectra of oxidized Zn film showed a strong and narrow near band edge emission at 380 nm whereas in the case of oxidized Cu–Zn thin films the emission showed peak near 410 nm corresponding to peak related to copper. With increase in copper content, the intensity of the defect emission decreased due to the self purification mechanism in nanomaterials. In addition the resistivity of doped films increased due to the self purification mechanism in nanomaterials. - Highlights: • Copper doping in ZnO resulted in the increase in blue emission due to defect levels formed. • The intensity of the luminescence peak of the doped film sample decreased and resistivity increased due to the self purification mechanism in nanomaterials.

  14. Controlling the optical parameters of self-assembled silver films with wetting layers and annealing

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Trzcinski, Marek; Szoplik, Tomasz

    2017-11-01

    We investigated the influence of presence of Ni and Ge wetting layers as well as annealing on the permittivity of Ag films with thicknesses of 20, 35 and 65 nm. Most of the research on thin silver films deals with very small (wetting and capping material, post-process annealing). Our study, based on atomic force microscopy, ellipsometric and X-ray photoelectron spectroscopy measurements, shows that utilizing a wetting layer is comparable to increasing the thickness of the silver film. Both operations decrease the roughness-to-thickness ratio, thus decreasing the scattering losses and both narrow the Lorentz-shaped interband transition peak. However, while increasing silver thickness increases absorption on the free carriers, the use of wetting layers influences the self-assembled internal structure of silver films in such a way, that the free carrier absorption decreases. Wetting layers also introduce additional contributions from effects like segregation or diffusion, which evolve in time and due to annealing.

  15. Comparative Study of Electroless Copper Film on Different Self-Assembled Monolayers Modified ABS Substrate

    Directory of Open Access Journals (Sweden)

    Jiushuai Xu

    2014-04-01

    Full Text Available Copper films were grown on (3-Mercaptopropyltrimethoxysilane (MPTMS, (3-Aminopropyltriethoxysilane (APTES and 6-(3-(triethoxysilylpropylamino-1,3,5- triazine-2,4-dithiol monosodium (TES self-assembled monolayers (SAMs modified acrylonitrile-butadiene-styrene (ABS substrate via electroless copper plating. The copper films were examined using scanning electron microscopy (SEM and X-ray diffraction (XRD. Their individual deposition rate and contact angle were also investigated to compare the properties of SAMs and electroless copper films. The results indicated that the formation of copper nuclei on the TES-SAMs modified ABS substrate was faster than those on the MPTMS-SAMs and APTES-SAMs modified ABS substrate. SEM images revealed that the copper film on TES-SAM modified ABS substrate was smooth and uniform, and the density of copper nuclei was much higher. Compared with that of TES-SAMs modified resin, the coverage of copper nuclei on MPTMS and APTES modified ABS substrate was very limited and the copper particle size was too big. The adhesion property test demonstrated that all the SAMs enhanced the interfacial interaction between copper plating and ABS substrate. XRD analysis showed that the copper film deposited on SAM-modified ABS substrate had a structure with Cu(111 preferred orientation, and the copper film deposited on TES-SAMs modified ABS substrate is better than that deposited on MPTMS-SAMs or APTES-SAMs modified ABS resins in electromigrtion resistance.

  16. Synthesis of copper nanoparticles in a fluoropolymer matrix by annealing in vacuum

    Energy Technology Data Exchange (ETDEWEB)

    Safonov, Alexey, E-mail: safonov@itp.nsc.ru [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation); Sulyaeva, Veronica [Nikolaev Institute of Inorganic Chemistry SB RAS, Lavrentyev Ave. 3, 630090, Novosibirsk (Russian Federation); Timoshenko, Nikolay; Starinskiy, Sergey [Kutateladze Institute of Thermophysics SB RAS, Lavrentyev Ave. 1, 630090, Novosibirsk (Russian Federation)

    2017-07-12

    In this paper, a method for synthesizing copper nanoparticles in a fluoropolymer matrix is proposed which prevents the reduction in the plasmonic properties due to the oxidation of the metal. The basic idea of the proposed method of nanoparticle synthesis is to anneal of thin metal film coated with a thin layer of fluoropolymer. The morphology and optical properties of the resulting composites were determined. The optical properties remained unchanged after several months of storage under standard conditions. - Highlights: • The copper-fluoropolymer composites are obtained by a combination of GJD and HWCVD. • The annealing of thin Cu film covered with fluoropolymer leads to formation of NPs. • The dilution of the localized surface plasmon resonance due to oxidation was analyzed. • The plasmonic properties of the Cu NPs are saved in the fluoropolymer matrix. • The fluoropolymer matrix prevents oxidation of metal NPs.

  17. Influences of annealing temperature on sprayed CuFeO2 thin films

    Science.gov (United States)

    Abdelwahab, H. M.; Ratep, A.; Abo Elsoud, A. M.; Boshta, M.; Osman, M. B. S.

    2018-06-01

    Delafossite CuFeO2 thin films were successfully prepared onto quartz substrates using simple spray pyrolysis technique. Post annealing under nitrogen atmosphere for 2 h was necessary to form delafossite CuFeO2 phase. The effect of alteration in annealing temperature (TA) 800, 850 and 900 °C was study on structural, morphology and optical properties. The XRD results for thin film annealed at TA = 850 °C show single phase CuFeO2 with rhombohedral crystal system and R 3 bar m space group with preferred orientation along (0 1 2). The prepared copper iron oxide thin films have an optical transmission ranged ∼40% in the visible region. The optical direct optical band gap of the prepared thin films was ranged ∼2.9 eV.

  18. Pulsed Laser Annealing of Thin Films of Self-Assembled Nanocrystals

    KAUST Repository

    Baumgardner, William J.

    2011-09-27

    We investigated how pulsed laser annealing can be applied to process thin films of colloidal nanocrystals (NCs) into interconnected nanostructures. We illustrate the relationship between incident laser fluence and changes in morphology of PbSe NC films relative to bulk-like PbSe films. We found that laser pulse fluences in the range of 30 to 200 mJ/cm2 create a processing window of opportunity where the NC film morphology goes through interesting transformations without large-scale coalescence of the NCs. NC coalescence can be mitigated by depositing a thin film of amorphous silicon (a-Si) on the NC film. Remarkably, pulsed laser annealing of the a-Si/PbSe NC films crystallized the silicon while NC morphology and translational order of the NC film are preserved. © 2011 American Chemical Society.

  19. Preparation of copper doped DLC films by DC PE-CVD method

    International Nuclear Information System (INIS)

    Marton, M.; Vojs, M.; Kotlar, M.; Michniak, P.; Flickyngerova, S.; Vesely, M.; Redhammer, R.

    2012-01-01

    We used PECVD method for deposition of Cu incorporated DLC thin films from CH 4 /Ar gas mixture. The size of nanoparticles varied with changing the deposition conditions in the range of tenth to hundreds of nm. After annealing process, new small Cu particles appeared in the space between the as deposited ones, and all the particles were distributed more homogenous within the films. The resistivity of the DLC films decreased first with adding of copper to 10 to 6·10 3 Ωcm, and second with the annealing process to 4·10 -2 to 3 Ωcm. Raman spectra show the tendency of DLCs to become more graphitic with increasing annealing temperature, which may be one possible contribution to increased conductivity of the annealed Cu-DLC films. (authors)

  20. Improving Performance of CIGS Solar Cells by Annealing ITO Thin Films Electrodes

    Directory of Open Access Journals (Sweden)

    Chuan Lung Chuang

    2015-01-01

    Full Text Available Indium tin oxide (ITO thin films were grown on glass substrates by direct current (DC reactive magnetron sputtering at room temperature. Annealing at the optimal temperature can considerably improve the composition, structure, optical properties, and electrical properties of the ITO film. An ITO sample with a favorable crystalline structure was obtained by annealing in fixed oxygen/argon ratio of 0.03 at 400°C for 30 min. The carrier concentration, mobility, resistivity, band gap, transmission in the visible-light region, and transmission in the near-IR regions of the ITO sample were -1.6E+20 cm−3, 2.7E+01 cm2/Vs, 1.4E-03 Ohm-cm, 3.2 eV, 89.1%, and 94.7%, respectively. Thus, annealing improved the average transmissions (400–1200 nm of the ITO film by 16.36%. Moreover, annealing a copper-indium-gallium-diselenide (CIGS solar cell at 400°C for 30 min in air improved its efficiency by 18.75%. The characteristics of annealing ITO films importantly affect the structural, morphological, electrical, and optical properties of ITO films that are used in solar cells.

  1. Thermal Stability of Copper-Aluminum Alloy Thin Films for Barrierless Copper Metallization on Silicon Substrate

    Science.gov (United States)

    Wang, C. P.; Dai, T.; Lu, Y.; Shi, Z.; Ruan, J. J.; Guo, Y. H.; Liu, X. J.

    2017-08-01

    Copper thin films with thickness of about 500 nm doped with different aluminum concentrations have been prepared by magnetron sputtering on Si substrate and their crystal structure, microstructure, and electrical resistivity after annealing at various temperatures (200°C to 600°C) for 1 h or at 400°C for different durations (1 h to 11 h) investigated by grazing-incidence x-ray diffraction (GIXRD) analysis, scanning electron microscopy (SEM), and four-point probe (FPP) measurements. Cu-1.8Al alloy thin film exhibited good thermal stability and low electrical resistivity (˜5.0 μΩ cm) after annealing at 500°C for 1 h or 400°C for 7 h. No copper silicide was observed at the Cu-Al/Si interface by GIXRD analysis or SEM for this sample. This result indicates that doping Cu thin film with small amounts of Al can achieve high thermal stability and low electrical resistivity, suggesting that Cu-1.8Al alloy thin film could be used for barrierless Cu metallization on Si substrate.

  2. Morphology and thermal stability of Ti-doped copper nitride films

    International Nuclear Information System (INIS)

    Fan Xiaoyan; Wu Zhiguo; Li Huajun; Geng Baisong; Li Chun; Yan Pengxun

    2007-01-01

    A weakly Ti-doped copper nitride (Cu 3 N) film was prepared by cylindrical magnetron sputtering. The XPS results indicate that Ti atoms do not substitute for the Cu atoms but probably locate at the grain boundaries. The columnar grains size is about half of that of the undoped Cu 3 N film and the surface is smoother. For weakly Ti-doped Cu 3 N films, a dense layer appears on top of the columnar crystals. The RMS of the Cu film formed by annealing of the weakly Ti-doped Cu 3 N film is more than twice larger than that of the film before annealing. Compared with the undoped Cu 3 N film, it possesses fine thermal stability both in vacuum and in atmosphere

  3. Effect of annealing on bulk heterojunction organic solar cells based on copper phthalocyanine and perylene derivative

    KAUST Repository

    Kim, Inho

    2012-02-01

    We investigated the effects of annealing on device performances of bulk heterojunction organic solar cells based on copper phthalocyanine (CuPc) and N,N′-3,4,9,10-perylenetetracarboxylic diimide (PTCDI-C6). Blended films of CuPc and PTCDI-C6 with annealing at elevated temperature were characterized by measuring optical absorption, photoluminescence, and X-ray diffraction. Enhanced molecular ordering and increments in domain sizes of donor and acceptor for the blended films were observed, and their influences on device performances were discussed. Annealing led to substantial improvements in photocurrent owing to enhanced molecular ordering and formation of percolation pathways. © 2011 Elsevier B.V. All rights reserved.

  4. Investigation of interdiffusion in copper-nickel bilayer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Abdul-Lettif, Ahmed M. [Physics Department, College of Science, Babylon University, Hilla (Iraq)]. E-mail: abdullettif@yahoo.com

    2007-01-15

    Auger depth profiling technique and X-ray diffraction analysis have been employed to study the interdiffusion in vacuum-deposited copper-nickel bilayer thin films. An adaptation of the Whipple model was used to determine the diffusion coefficients of both nickel in copper and copper in nickel. The calculated diffusion coefficient is (2.0x10{sup -7} cm{sup 2}/s)exp(-1.0 eV/kT) for nickel in copper, and (6x10{sup -8} cm{sup 2}/s)exp(-0.98 eV/kT) for copper in nickel. The difference between the diffusion parameters obtained in the present work and those extracted by other investigators is attributed essentially to the difference in the films microstructure and to the annealing ambient. It is concluded that interdiffusion in the investigated films is described by type-B kinetics in which rapid grain-boundary diffusion is coupled to defect-enhanced diffusion into the grain interior. The present data raise a question about the effectiveness of nickel as a diffusion barrier between copper and the silicon substrate.

  5. Interpretation of microstructure evolution during self-annealing and thermal annealing of nanocrystalline electrodeposits—A comparative study

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2010-01-01

    and nickel electrodeposits was achieved by time-resolved X-ray diffraction line profile analysis and crystallographic texture analysis during room temperature storage and during isothermal annealing at elevated temperatures. These in-situ studies with unique time resolution allowed quantification of the self-annealing......Electrodeposition results in a non-equilibrium state of the as-deposited nanocrystalline microstructure, which evolves towards an energetically more favorable state as a function of time and/or temperature upon deposition. Real-time investigation of the evolving microstructure in copper, silver...... kinetics of copper and silver electrodeposits as well as the annealing kinetics of electrodeposited nickel. Similarities and characteristic differences of the kinetics and mechanisms of microstructure evolution in the various electrodeposits are discussed and the experimental results are attempted...

  6. Interpretation of microstructure evolution during self-annealing and thermal annealing of nanocrystalline electrodeposits-A comparative study

    International Nuclear Information System (INIS)

    Pantleon, Karen; Somers, Marcel A.J.

    2010-01-01

    Electrodeposition results in a non-equilibrium state of the as-deposited nanocrystalline microstructure, which evolves towards an energetically more favorable state as a function of time and/or temperature upon deposition. Real-time investigation of the evolving microstructure in copper, silver and nickel electrodeposits was achieved by time-resolved X-ray diffraction line profile analysis and crystallographic texture analysis during room temperature storage and during isothermal annealing at elevated temperatures. These in-situ studies with unique time resolution allowed quantification of the self-annealing kinetics of copper and silver electrodeposits as well as the annealing kinetics of electrodeposited nickel. Similarities and characteristic differences of the kinetics and mechanisms of microstructure evolution in the various electrodeposits are discussed and the experimental results are attempted to be interpreted in terms of recovery, recrystallization and grain growth.

  7. Effect of annealing temperature on the PEC performance of electrodeposited copper oxides

    Science.gov (United States)

    Marathey, Priyanka; Pati, Ranjan; Mukhopadhyay, Indrajit; Ray, Abhijit

    2018-05-01

    In this work, we have deposited Cu2O film on fluorine doped tin oxide (FTO) substrate by electrodeposition. Pure CuO phase has been obtained by annealing the electrodeposited Cu2O film at optimized temperature (500°C) for two hours in air. Copper(I) oxide films showed good photo response with a current density of 0.54mA/cm2 at 0 V vs RHE. It is evident from UV-Visible spectroscopic analysis that the bandgap of Cu(I) and Cu(II) oxides differs from each other resulting in significant change in photo current for these two phases, observed in the PEC study. However CuO film showed better stability as compared to Cu2O film.

  8. Determination of the optical band gap for amorphous and nanocrystalline copper oxide thin films prepared by SILAR technique

    International Nuclear Information System (INIS)

    Abdel Rafea, M; Roushdy, N

    2009-01-01

    Amorphous copper oxide films were deposited using the SILAR technique. Both Cu 2 O and CuO crystallographic phases exist in deposited and annealed films. Crystallization and growth processes by annealing at temperatures up to 823 K form grains with nano- and micro-spherical shapes. The calculated crystallite size from the XRD measurement was found to be in the range 14-21 nm while nano-spheres in the diameter range 50-100 nm were observed by SEM micrographs. The band gap for amorphous film was found to be 2.3 eV which increased slowly to 2.4 eV by annealing the film at 373 K. This was explained by defect redistribution in amorphous films. Annealing in the temperature range 373-673 K decreased the band gap gradually to 1.85 eV. The decrease of the band gap with annealing temperature in the range 373-673 K agrees well with the Brus model of the energy gap confinement effect in nanostructured semiconducting materials. Annealing in the temperature range 673-823 K decreases the band gap slowly to 1.7 eV due to the smaller contribution of the confinement effect. Below 573 K, Cu 2 O is the most probable crystalline phase in the film, while Cu 2 O and CuO crystalline phases may coexist at annealing temperatures above 573 K due to further oxidation of Cu 2 O. A wider transmittance spectral window in the visible region was obtained by controlling the annealing conditions of the amorphous copper oxide film and its applicability to the window layer of solar cell was suggested.

  9. Annealing effect of ITO and ITO/Cu transparent conductive films in low pressure hydrogen atmosphere

    International Nuclear Information System (INIS)

    Lin, T.-C.; Chang, S.-C.; Chiu, C.-F.

    2006-01-01

    A layer of copper was sputtered onto an indium tin oxide (ITO) glass substrates to form an ITO/Cu film, using a direct current magnetron operated at room temperature and in argon gas. The ITO and ITO/Cu films were heated in vacuum, and in hydrogen gas, to study their dependence of electronic and optical properties on annealing temperature. The resistivity of the ITO film was reduced from 6.2 x 10 -4 to 2.7 x 10 -4 Ω cm, and the average optical transmittance was improved to above 90% by the annealing process. The ITO/Cu film showed a low value of resistivity of 2.8 x 10 -4 Ω cm and the transmittance was between 58 and 72%

  10. Thermal Annealing Effect on Poly(3-hexylthiophene: Fullerene:Copper-Phthalocyanine Ternary Photoactive Layer

    Directory of Open Access Journals (Sweden)

    H. Derouiche

    2013-01-01

    Full Text Available We have fabricated poly(3-hexylthiophene (P3HT/copper phthalocyanine (CuPc/fullerene (C60 ternary blend films. This photoactive layer is sandwiched between an indium tin oxide (ITO/poly(3,4-ethylenedioxythiophene:poly(styrene sulfonate (PEDOT/PSS photoanode and a bathocuproine (BCP/aluminium photocathode. The thin films have been characterized by atomic force microscope (AFM and ultraviolet/visible spectroscopy in order to study the influence of P3HT doping on the morphological and optical properties of the photoactive layer. We have also compared the characteristics of three different organic solar cells: ITO/PEDOT:PSS/CuPc0.5:C600.5/BCP/Al and ITO/PEDOT:PSS/P3HT0.3:CuPc0.3:C600.4/BCP/Al with and without annealing. Both structures show good photovoltaic behaviour. Indeed, the incorporation of P3HT into CuPc:C60 thin film improves all the photovoltaic characteristics. We have also seen that thermal annealing significantly improves the optical absorption ability and stabilizes the organic solar cells making it more robust to chemical degradation.

  11. Structural, optical and electrical properties of copper antimony sulfide thin films grown by a citrate-assisted single chemical bath deposition

    Science.gov (United States)

    Loranca-Ramos, F. E.; Diliegros-Godines, C. J.; Silva González, R.; Pal, Mou

    2018-01-01

    Copper antimony sulfide (CAS) has been proposed as low toxicity and earth abundant absorber materials for thin film photovoltaics due to their suitable optical band gap, high absorption coefficient and p-type electrical conductivity. The present work reports the formation of copper antimony sulfide by chemical bath deposition using sodium citrate as a complexing agent. We show that by tuning the annealing condition, one can obtain either chalcostibite or tetrahedrite phase. However, the main challenge was co-deposition of copper and antimony as ternary sulfides from a single chemical bath due to the distinct chemical behavior of these metals. The as-deposited films were subjected to several trials of thermal treatment using different temperatures and time to find the optimized annealing condition. The films were characterized by different techniques including Raman spectroscopy, X-ray diffraction (XRD), profilometer, scanning electron microscopy (SEM), UV-vis spectrophotometer, and Hall Effect measurements. The results show that the formation of chalcostibite and tetrahedrite phases is highly sensitive to annealing conditions. The electrical properties obtained for the chalcostibite films varied as the annealing temperature increases from 280 to 350 °C: hole concentration (n) = 1017-1018 cm-3, resistivity (ρ) = 1.74-2.14 Ωcm and carrier mobility (μ) = 4.7-9.26 cm2/Vseg. While for the tetrahedrite films, the electrical properties were n = 5 × 1019 cm-3, μ = 18.24 cm2/Vseg, and ρ = 5.8 × 10-3 Ωcm. A possible mechanism for the formation of ternary copper antimony sulfide has also been proposed.

  12. Pulsed Laser Annealing of Thin Films of Self-Assembled Nanocrystals

    KAUST Repository

    Baumgardner, William J.; Choi, Joshua J.; Bian, Kaifu; Fitting Kourkoutis, Lena; Smilgies, Detlef-M.; Thompson, Michael O.; Hanrath, Tobias

    2011-01-01

    We investigated how pulsed laser annealing can be applied to process thin films of colloidal nanocrystals (NCs) into interconnected nanostructures. We illustrate the relationship between incident laser fluence and changes in morphology of PbSe NC

  13. Effect of annealing on the structural properties of electron beam deposited CIGS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalam, M. [Department of Electronics, Erode Arts College, Erode (India)], E-mail: prabhu7737@yahoo.com; Kannan, M.D.; Jayakumar, S.; Balasundaraprabhu, R. [Thin Film Center, PSG College of Technology, Coimbatore (India); Muthukumarasamy, N. [Department of Physics, Coimbatore Institute of Technology, Coimbatore (India)

    2008-08-30

    CIGS bulk compound of three different compositions CuIn{sub 0.85}Ga{sub 0.15}Se{sub 2}, CuIn{sub 0.80}Ga{sub 0.20}Se{sub 2} and CuIn{sub 0.75}Ga{sub 0.25}Se{sub 2} have been prepared by direct reaction of elemental copper, indium, gallium and selenium. CIGS thin films of the three compositions have been deposited onto glass and silicon substrates using the prepared bulk by electron beam deposition method. The structural properties of the deposited films have been studied using X-ray diffraction technique. The as-deposited CIGS films have been found to be amorphous in nature. To study the effect of annealing on the structural properties, the films have been annealed in vacuum of the order of 10{sup -5} Torr. The X-ray diffractograms of the annealed CIGS films exhibited peaks revealing that the annealed films are crystalline in nature with tetragonal chalcopyrite structure. The (112) peak corresponding to the chalcopyrite structure has been observed to be the dominating peak in all the annealed films. The position of the (112) peak and other peaks in the X-ray diffraction pattern has been observed to shift to higher values of 2{theta} with the increase of gallium concentration. The lattice parameter values 'a' and 'c' have been calculated and they are found to be dependent on the concentration of gallium in the films. The FWHM in the X-ray diffraction pattern is found to decrease with an increase in annealing temperature indicating that the crystalline nature of the CIGS improves with increase in annealing temperature. The films grown on silicon substrates have been found to be of better crystalline quality than those deposited on glass substrates. The micro structural parameters like grain size, dislocation density and strain have been evaluated. The chemical constituents present in the deposited CIGS films have been identified using energy dispersive X-ray analysis. The surface topographical study on the films has been performed by AFM. The

  14. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    International Nuclear Information System (INIS)

    Labidi, A.; Bejaoui, A.; Ouali, H.; Akkari, F. Chaffar; Hajjaji, A.; Gaidi, M.; Kanzari, M.; Bessais, B.; Maaref, M.

    2011-01-01

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  15. Dry air effects on the copper oxides sensitive layers formation for ethanol vapor detection

    Energy Technology Data Exchange (ETDEWEB)

    Labidi, A., E-mail: Ahmed_laabidi@yahoo.fr [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Bejaoui, A.; Ouali, H. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia); Akkari, F. Chaffar [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Hajjaji, A.; Gaidi, M. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Kanzari, M. [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs, ENIT, Universite de Tunis el Manar, BP 37, Le belvedere 1002, Tunis (Tunisia); Bessais, B. [Laboratoire de Photovoltaique, Centre de Recherches et de technologies de l' energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia); Maaref, M. [URPSC (UR 99/13-18) Unite de Recherche de Physique des Semiconducteurs et Capteurs, IPEST, Universite de Carthage, BP 51, La Marsa 2070, Tunis (Tunisia)

    2011-09-15

    The copper oxide films have been deposited by thermal evaporation and annealed under ambient air and dry air respectively, at different temperatures. The structural characteristics of the films were investigated by X-ray diffraction. They showed the presences of two hydroxy-carbonate minerals of copper for annealing temperatures below 250 deg. C. Above this temperature the conductivity measurements during the annealing process, show a transition phase from metallic copper to copper oxides. The copper oxides sensitivity toward ethanol were performed using conductivity measurements at the working temperature of 200 deg. C. A decrease of conductivity was observed under ethanol vapor, showing the p-type semi-conducting characters of obtained copper oxide films. It was found that the sensing properties of copper oxide toward ethanol depend mainly on the annealing conditions. The best responses were obtained with copper layers annealed under dry air.

  16. The measurement of conductivity of copper indium disulphide thin films against temperature and thickness

    International Nuclear Information System (INIS)

    Yussof Wahab; Roslinda Zainal; Samsudi Sakrani

    1996-01-01

    Ternary semiconductor copper indium disulphide (CuInS sub 2) thin films have been prepared by thermal evaporation. Three stacked layers of film starting with copper, indium and finally sulphur was deposited on glass substrate in the thickness ratio of 1: 1: I0. The films were then annealed in carbon block by method known as encapsulated sulphurization at 350 degree C for 4 hours. The XRD analysis for four samples of thickness of 449.5, 586, 612 and 654 nm showed that stoichiometric CuInS sub 2, were formed at this annealing condition. The electrical conductivity of CuInS sub 2 thin films were measured against temperature from 150K to 300K. The conductivity values were between 76.6 Sm sup -1 to 631.26 Sm sup -1 and the result showed that it increase exponentially with temperature for the above temperature range. The resulting activation energies were found to be in the range 0.05 to 0.08 eV. This suggested that hopping mechanism predominant to the conducting process. It also found that the conductivity decreased with increasing film thickness

  17. Annealing enhancement effect by light illumination on proton irradiated Cu(In, Ga)Se2 thin-film solar cells

    International Nuclear Information System (INIS)

    Kawakita, Shirou; Imaizumi, Mitsuru; Matsuda, Sumio; Yamaguchi, Masafumi; Kushiya, Katsumi; Ohshima, Takeshi; Itoh, Hisayoshi

    2002-01-01

    In this paper, we investigated the high radiation tolerance of copper indium gallium di-selenide (CIGS) thin-film solar cells by conducting in situ measurements of short circuit current and open circuit voltage of CIGS thin-film solar cells during and after proton irradiation under short circuit condition. We found that the annealing rate of proton-induced defects in CIGS thin-film solar cells under light illumination with an AM0 solar simulator is higher than that under dark conditions. The activation energy of proton-induced defects in the CIGS thin-film solar cells with (without) light illumination is 0.80 eV (0.92 eV), which implies on enhanced defect annealing rate in CIGS thin-film solar cells due to minority-carrier injection. (author)

  18. Synthesis of CuInSe2 thin films from electrodeposited Cu11In9 precursors by two-step annealing

    Directory of Open Access Journals (Sweden)

    TSUNG-WEI CHANG

    2014-02-01

    Full Text Available In this study, copper indium selenide (CIS films were synthesized from electrodeposited Cu-In-Se precursors by two-step annealing. The agglomeration phenomenon of the electrodeposited In layer usually occurred on the Cu surface. A thermal process was adopted to turn Cu-In precursors into uniform Cu11In9 binary compounds. After deposition of the Se layer, annealing was employed to form chalcopyrite CIS. However, synthesis of CIS from Cu11In9 requires sufficient thermal energy. Annealing temperature and time were investigated to grow high quality CIS film. Various electrodeposition conditions were investigated to achieve the proper atomic ratio of CIS. The properties of the CIS films were characterized by scanning electron microscopy (SEM, X-ray Diffraction (XRD, and Raman spectra.

  19. Cyclic Solvent Vapor Annealing for Rapid, Robust Vertical Orientation of Features in BCP Thin Films

    Science.gov (United States)

    Paradiso, Sean; Delaney, Kris; Fredrickson, Glenn

    2015-03-01

    Methods for reliably controlling block copolymer self assembly have seen much attention over the past decade as new applications for nanostructured thin films emerge in the fields of nanopatterning and lithography. While solvent assisted annealing techniques are established as flexible and simple methods for achieving long range order, solvent annealing alone exhibits a very weak thermodynamic driving force for vertically orienting domains with respect to the free surface. To address the desire for oriented features, we have investigated a cyclic solvent vapor annealing (CSVA) approach that combines the mobility benefits of solvent annealing with selective stress experienced by structures oriented parallel to the free surface as the film is repeatedly swollen with solvent and dried. Using dynamical self-consistent field theory (DSCFT) calculations, we establish the conditions under which the method significantly outperforms both static and cyclic thermal annealing and implicate the orientation selection as a consequence of the swelling/deswelling process. Our results suggest that CSVA may prove to be a potent method for the rapid formation of highly ordered, vertically oriented features in block copolymer thin films.

  20. Synthesis and characterization of copper antimony tin sulphide thin films for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Ali, N., E-mail: nisar.ali@utm.my [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Department of Physics, Govt. Post Graduate Jehanzeb College Saidu Sharif, Swat, 19200 (Pakistan); Hussain, A. [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Ahmed, R., E-mail: rashidahmed@utm.my [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Wan Shamsuri, W.N. [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Fu, Y.Q., E-mail: richard.fu@northumbria.ac.uk [Department of Physics and Electrical Engineering, Faculty of Engineering & Environment, University of Northumbria, Newcastle upon Tyne, NE1 8ST (United Kingdom)

    2016-12-30

    Highlights: • A new and novel material for solar cell applications is demonstrated as a replacement for toxic and expansive compounds. • The materials used in this compound are abundant and low cost. • Compound exhibit unusual optical and electrical properties. • The band gap was found to be comparable with that of GaAs. - Abstract: Low price thin film modules based on Copper antimony tin sulphide (CATS) are introduced for solar harvesting to compete for the already developed compound semiconductors. Here, CATS thin films were deposited on soda lime glass by thermal evaporation technique followed by a rapid thermal annealing in an argon atmosphere. From Our XRD analysis, it was revealed that the annealed samples were poly-crystalline and their crystallinity was improved with increasing annealing temperature. The constituent elements and their corresponding chemical states were identified using X-ray photoelectron spectroscopy. The obtained optical band gap of 1.4 eV for CATS thin film is found nearly equal to GaAs – one of the highly efficient thin film material for solar cell technology. Furthermore, our observed good optical absorbance and low transmittance for the annealed CATS thin films in the visible region of light spectrum assured the aptness of the CATS thin films for solar cell applications.

  1. Optical scattering characteristic of annealed niobium oxide films

    International Nuclear Information System (INIS)

    Lai Fachun; Li Ming; Wang Haiqian; Hu Hailong; Wang Xiaoping; Hou, J.G.; Song Yizhou; Jiang Yousong

    2005-01-01

    Niobium oxide (Nb 2 O 5 ) films with thicknesses ranging from 200 to 1600 nm were deposited on fused silica at room temperature by low frequency reactive magnetron sputtering system. In order to study the optical losses resulting from the microstructures, the films with 500 nm thickness were annealed at temperatures between 600 and 1100 deg. C, and films with thicknesses from 200 to 1600 nm were annealed at 800 deg. C. Scanning electron microscopy and atomic force microscopy images show that the root mean square of surface roughness, the grain size, voids, microcracks, and grain boundaries increase with increasing both the annealing temperature and the thickness. Correspondingly, the optical transmittance and reflectance decrease, and the optical loss increases. The mechanisms of the optical losses are discussed. The results suggest that defects in the volume and the surface roughness should be the major source for the optical losses of the annealed films by causing pronounced scattering. For samples with a determined thickness, there is a critical annealing temperature, above which the surface scattering contributes to the major optical losses. In the experimental scope, for the films annealed at temperatures below 900 deg. C, the major optical losses resulted from volume scattering. However, surface roughness was the major source for the optical losses when the 500-nm films were annealed at temperatures above 900 deg. C

  2. New barrierless copper-alloy film for future applications

    Science.gov (United States)

    Lin, Chon-Hsin Lin

    2015-09-01

    Since Cu metallization results in a conductivity and an electromigration resistance greater than those of Al, it has become popular for making Si-based interconnects for numerous devices in the field of microelectronics. Following the current trend of miniaturization required for most electronic components, there is a greater need for further size reduction in Si-based devices. The most critical side effect of size reduction is the increase in electronic scattering and resistivity when the barrier-layer thickness is further reduced. To explore advanced Cu-metallization methods and to develop a more economical manufacturing process for Cu-alloy films, the development of Cu materials having better quality and higher thermal stability becomes imperative for the metallization and annealing processes. For this purpose, we first fabricated Cu(GeNx) films and examined their thermal stability and electrical reliability after either cyclic or isothermal annealing. The excellent thermal and electrical properties make these new Cu-alloy films highly promising for applications that require more reliable and inexpensive copper interconnects. In this study, we fabricated Cu alloy films by doping a minute amount of Ge or GeNx, respectively, into the Cu films via barrierless Cu metallization, an inexpensive manufacturing method. Using these newly fabricated alloy films, we were able to eliminate or at least substantially reduce the detrimental interaction between the alloy and the barrierless Si substrate. The Cu(GeNx) films also exhibited high thermal stability, low resistivity and leakage current, and long time-dependent dielectric breakdown (TDDB) lifetimes, making such novel films a candidate for high-quality, economical, and more reliable Cu interconnects.

  3. Self-assembled 1-octadecyl-1H-benzimidazole film on copper ...

    Indian Academy of Sciences (India)

    ature for the formation of a protective film on copper have been established using impedance studies. The. OBI film ... these molecules limits their application in industry.7. Owing to strict ..... and (b) shows AFM images such as 3D-topography,.

  4. Surface Impedance of Copper MOB Depending on the Annealing Temperature and Deformation Degree

    International Nuclear Information System (INIS)

    Kutovoj, V.A.; Nikolaenko, A.A.; Stoev, P.I.; Vinogradov, D.V.

    2006-01-01

    Results of researches of influence of annealing temperature and deformation degree on mechanical features of copper MOB are presented. It is shown that minimal surface resistance is observed in copper samples that were subject to pre-deformation and were annealed in the range of temperatures 873...923 K

  5. Structural and optical properties of Ag-doped copper oxide thin films on polyethylene napthalate substrate prepared by low temperature microwave annealing

    Energy Technology Data Exchange (ETDEWEB)

    Das, Sayantan; Alford, T. L. [Department of Chemistry and Biochemistry, Arizona State University, Tempe, Arizona 85287, USA and School for Engineering of Matter, Transport and Energy, Arizona State University, Tempe, Arizona 85287 (United States)

    2013-06-28

    Silver doped cupric oxide thin films are prepared on polyethylene naphthalate (flexible polymer) substrates. Thin films Ag-doped CuO are deposited on the substrate by co-sputtering followed by microwave assisted oxidation of the metal films. The low temperature tolerance of the polymer substrates led to the search for innovative low temperature processing techniques. Cupric oxide is a p-type semiconductor with an indirect band gap and is used as selective absorption layer solar cells. X-ray diffraction identifies the CuO phases. Rutherford backscattering spectrometry measurements confirm the stoichiometry of each copper oxide formed. The surface morphology is determined by atomic force microscopy. The microstructural properties such as crystallite size and the microstrain for (-111) and (111) planes are calculated and discussed. Incorporation of Ag led to the lowering of band gap in CuO. Consequently, it is determined that Ag addition has a strong effect on the structural, morphological, surface, and optical properties of CuO grown on flexible substrates by microwave annealing. Tauc's plot is used to determine the optical band gap of CuO and Ag doped CuO films. The values of the indirect and direct band gap for CuO are found to be 2.02 eV and 3.19 eV, respectively.

  6. In situ annealing of hydroxyapatite thin films

    International Nuclear Information System (INIS)

    Johnson, Shevon; Haluska, Michael; Narayan, Roger J.; Snyder, Robert L.

    2006-01-01

    Hydroxyapatite is a bioactive ceramic that mimics the mineral composition of natural bone. Unfortunately, problems with adhesion, poor mechanical integrity, and incomplete bone ingrowth limit the use of many conventional hydroxyapatite surfaces. In this work, we have developed a novel technique to produce crystalline hydroxyapatite thin films involving pulsed laser deposition and postdeposition annealing. Hydroxyapatite films were deposited on Ti-6Al-4V alloy and Si (100) using pulsed laser deposition, and annealed within a high temperature X-ray diffraction system. The transformation from amorphous to crystalline hydroxyapatite was observed at 340 deg. C. Mechanical and adhesive properties were examined using nanoindentation and scratch adhesion testing, respectively. Nanohardness and Young's modulus values of 3.48 and 91.24 GPa were realized in unannealed hydroxyapatite films. Unannealed and 350 deg. C annealed hydroxyapatite films exhibited excellent adhesion to Ti-6Al-4V alloy substrates. We anticipate that the adhesion and biological properties of crystalline hydroxyapatite thin films may be enhanced by further consideration of deposition and annealing parameters

  7. Crystallinity and mechanical effects from annealing Parylene thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jackson, Nathan, E-mail: Nathan.Jackson@tyndall.ie [Tyndall National Institute, University College Cork, Cork (Ireland); Stam, Frank; O' Brien, Joe [Tyndall National Institute, University College Cork, Cork (Ireland); Kailas, Lekshmi [University of Limerick, Limerick (Ireland); Mathewson, Alan; O' Murchu, Cian [Tyndall National Institute, University College Cork, Cork (Ireland)

    2016-03-31

    Parylene is commonly used as thin film polymer for MEMS devices and smart materials. This paper investigates the impact on bulk properties due to annealing various types of Parylene films. A thin film of Parylene N, C and a hybrid material consisting of Parylene N and C were deposited using a standard Gorham process. The thin film samples were annealed at varying temperatures from room temperature up to 300 °C. The films were analyzed to determine the mechanical and crystallinity effects due to different annealing temperatures. The results demonstrate that the percentage of crystallinity and the full-width-half-maximum value on the 2θ X-ray diffraction scan increases as the annealing temperature increases until the melting temperature of the Parylene films was achieved. Highly crystalline films of 85% and 92% crystallinity were achieved for Parylene C and N respectively. Investigation of the hybrid film showed that the individual Parylene films behave independently to each other, and the crystallinity of one film had no significant impact to the other film. Mechanical testing showed that the elastic modulus and yield strength increase as a function of annealing, whereas the elongation-to-break parameter decreases. The change in elastic modulus was more significant for Parylene C than Parylene N and this is attributed to the larger change in crystallinity that was observed. Parylene C had a 112% increase in crystallinity compared to a 61% increase for Parylene N, because the original Parylene N material was more crystalline than Parylene C so the change of crystallinity was greater for Parylene C. - Highlights: • A hybrid material consisting of Parylene N and C was developed. • Parylene N has greater crystallinity than Parylene C. • Phase transition of Parylene N due to annealing results in increased crystallinity. • Annealing caused increased crystallinity and elastic modulus in Parylene films. • Annealed hybrid Parylene films crystallinity behave

  8. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Science.gov (United States)

    Horak, P.; Bejsovec, V.; Vacik, J.; Lavrentiev, V.; Vrnata, M.; Kormunda, M.; Danis, S.

    2016-12-01

    Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C-600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C-600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu2O phase was identified. However, the oxidation at 200 °C led to a more complicated composition - in the depth Cu2O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH)2. A limited amount of Cu2O was also found in samples annealed at 600 °C. The sheet resistance RS of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing RS was measured in the range 2.64 MΩ/□-2.45 GΩ/□. The highest RS values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the 16O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed an increased response to hydrogen at 300 °C, while Au-covered films were more sensitive to methanol vapours at 350 °C.

  9. Effects of solvent evaporation conditions on solvent vapor annealed cylinder-forming block polymer thin films

    Science.gov (United States)

    Grant, Meagan; Jakubowski, William; Nelson, Gunnar; Drapes, Chloe; Baruth, A.

    Solvent vapor annealing is a less time and energy intensive method compared to thermal annealing, to direct the self-assembly of block polymer thin films. Periodic nanostructures have applications in ultrafiltration, magnetic arrays, or other structures with nanometer dimensions, driving its continued interest. Our goal is to create thin films with hexagonally packed, perpendicular aligned cylinders of poly(lactide) in a poly(styrene) matrix that span the thickness of the film with low anneal times and low defect densities, all with high reproducibility, where the latter is paramount. Through the use of our computer-controlled, pneumatically-actuated, purpose-built solvent vapor annealing chamber, we have the ability to monitor and control vapor pressure, solvent concentration within the film, and solvent evaporation rate with unprecedented precision and reliability. Focusing on evaporation, we report on two previously unexplored areas, chamber pressure during solvent evaporation and the flow rate of purging gas aiding the evaporation. We will report our exhaustive results following atomic force microscopy analysis of films exposed to a wide range of pressures and flow rates. Reliably achieving well-ordered films, while occurring within a large section of this parameter space, was correlated with high-flow evaporation rates and low chamber pressures. These results have significant implications on other methods of solvent annealing, including ``jar'' techniques.

  10. Thin copper oxide films prepared by ion beam sputtering with subsequent thermal oxidation: Application in chemiresistors

    Energy Technology Data Exchange (ETDEWEB)

    Horak, P., E-mail: phorak@ujf.cas.cz [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Bejsovec, V.; Vacik, J.; Lavrentiev, V. [Nuclear Physics Institute, Academy of Sciences of the Czech Republic, 250 68 Řež (Czech Republic); Vrnata, M. [Department of Physics and Measurements, The University of Chemistry and Technology, Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Kormunda, M. [Department of Physics, Jan Evangelista Purkyně University in Ústí nad Labem, České mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Danis, S. [Department of Condensed Matter Physics, Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 5, 121 16 Prague 2 (Czech Republic)

    2016-12-15

    Highlights: • A rapid oxidation process of thin copper films. • Sheet resistance up to 10{sup 9} Ω/◊. • Mixed oxide phase at 200 °C with significant hydroxide presence. • Gas sensing response to 1000 ppm of hydrogen and methanol vapours. • Increased sensitivity with Pd and Au catalyst to hydrogen and methanol, respectively. - Abstract: Copper oxide films were prepared by thermal oxidation of thin Cu films deposited on substrates by ion beam sputtering. The subsequent oxidation was achieved in the temperature range of 200 °C–600 °C with time of treatment from 1 to 7 h (with a 1-h step) in a furnace open to air. At temperatures 250 °C–600 °C, the dominant phase formed was CuO, while at 200 °C mainly the Cu{sub 2}O phase was identified. However, the oxidation at 200 °C led to a more complicated composition − in the depth Cu{sub 2}O phase was observed, though in the near-surface layer the CuO dominant phase was found with a significant presence of Cu(OH){sub 2}. A limited amount of Cu{sub 2}O was also found in samples annealed at 600 °C. The sheet resistance R{sub S} of the as-deposited Cu sample was 2.22 Ω/□, after gradual annealing R{sub S} was measured in the range 2.64 MΩ/□–2.45 GΩ/□. The highest R{sub S} values were obtained after annealing at 300 °C and 350 °C, respectively. Oxygen depth distribution was studied using the {sup 16}O(α,α) nuclear reaction with the resonance at energy 3032 keV. It was confirmed that the higher oxidation degree of copper is located in the near-surface region. Preliminary tests of the copper oxide films as an active layer of a chemiresistor were also performed. Hydrogen and methanol vapours, with a concentration of 1000 ppm, were detected by the sensor at an operating temperature of 300 °C and 350 °C, respectively. The response of the sensors, pointed at the p-type conductivity, was improved by the addition of thin Pd or Au catalytic films to the oxidic film surface. Pd-covered films showed

  11. Rapid thermal annealing of FePt and FePt/Cu thin films

    Energy Technology Data Exchange (ETDEWEB)

    Brombacher, Christoph

    2011-01-10

    Chemically ordered FePt is one of the most promising materials to reach the ultimate limitations in storage density of future magnetic recording devices due to its high uniaxial magnetocrystalline anisotropy and a corrosion resistance superior to rare-earth based magnets. In this study, FePt and FePt/Cu bilayers have been sputter deposited at room temperature onto thermally oxidized silicon wafers, glass substrates and self-assembled arrays of spherical SiO{sub 2} particles with diameters down to 10 nm. Millisecond flash lamp annealing, as well as conventional rapid thermal annealing was employed to induce the phase transformation from the chemically disordered A1 phase into the chemically ordered L1{sub 0} phase. The influence of the annealing temperature, annealing time and the film thickness on the ordering transformation and (001) texture evolution of FePt films with near equiatomic composition was studied. Whereas flash lamp annealed FePt films exhibit a polycrystalline morphology with high chemical L1{sub 0} order, rapid thermal annealing can lead to the formation of chemically ordered FePt films with (001) texture on amorphous SiO{sub 2}/Si substrates. The resultant high perpendicular magnetic anisotropy and large coercivities up to 40 kOe are demonstrated. Simultaneously to the ordering transformation, rapid thermal annealing to temperatures exceeding 600 C leads to a break up of the continuous FePt film into separated islands. This dewetting behavior was utilized to create regular arrays of FePt nanostructures on SiO{sub 2} particle templates with periods down to 50 nm. The addition of Cu improves the (001) texture formation and chemical ordering for annealing temperatures T{sub a} {<=}600 C. In addition, the magnetic anisotropy and the coercivity of the ternary FePtCu alloy can be effectively tailored by adjusting the Cu content. The prospects of FePtCu based exchange spring media, as well as the magnetic properties of FePtCu nanostructures fabricated

  12. The influence of annealing atmosphere on the material properties of sol-gel derived SnO2:Sb films before and after annealing

    International Nuclear Information System (INIS)

    Jeng, Jiann-Shing

    2012-01-01

    SnO 2 films with and without Sb doping were prepared by the sol-gel spin-coating method. Material properties of the SnO 2 films with different Sb contents were investigated before and after annealing under O 2 or N 2 . When SnO 2 films are annealed under N 2 or O 2 , the resistivity decreases with increasing annealing temperature, which may be related to the increased crystallinity and reduced film defects. The intensity of SnO 2 peaks for both O 2 - and N 2 -annealed films increases as the annealing temperature increases. Small nodules are revealed on the surface of SnO 2 films after annealing in N 2 or O 2 atmospheres, and some voids are present on the surface of N 2 -annealed SnO 2 films. After doping with Sb, the resistivity of SnO 2 films after annealing in O 2 is greater than that of N 2 -annealed SnO 2 films. The surface morphology of SnO 2 films incorporating different molar ratios of Sb after annealing are similar to that of as-spun SnO 2 films with adding Sb. There were no voids found on the surfaces of N 2 -annealed SnO 2 :Sb films. In addition, the peak intensity of SnO 2 :Sb films after O 2 -annealing is higher than those films after N 2 -annealing. The chemical binding states and Hall mobility of the high-temperature annealed SnO 2 films without and with adding Sb are also related to the annealing atmospheres. This study discusses the connection among the material properties of the SnO 2 films with different Sb contents and how these properties are influenced by the Sb-doping concentration and the annealing atmospheres of SnO 2 films.

  13. Evolution of subsurface nanocavities in copper under argon bombardment and annealing

    NARCIS (Netherlands)

    Kulikov, D.V.; Kurnosikov, O.; Kharlamov, V.S.; Trushin, Yu.V.

    2013-01-01

    The experimental and theoretical studies of evolution of nanocavities in argon-irradiated copper under annealing are presented. The subsurface argon-filled nanocavities are formed during a short annealing at a temperature around 1000 K by migration and interaction of complexes of the simplest

  14. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  15. Chemical Annealing of Zinc Tetraphenylporphyrin Films: Effects on Film Morphology and Organic Photovoltaic Performance

    KAUST Repository

    Trinh, Cong

    2012-07-10

    We present a chemical annealing process for organic thin films. In this process, a thin film of a molecular material, such as zinc tetraphenylporphyrin (ZnTPP), is exposed to a vapor of nitrogen-based ligand (e.g., pyrazine, pz, and triazine, tz), forming a film composed of the metal-ligand complex. Fast and quantitative formation of the complex leads to marked changes in the morphology and optical properties of the film. X-ray diffraction studies show that the chemical annealing process converts amorphous ZnTPP films to crystalline ZnTPP•ligand films, whose porphryin planes lie nearly parallel to the substrate (average deviation is 8° for the ZnTPP•pz film). Organic solar cells were prepared with ZnTPP donor and C 60 acceptor layers. Devices were prepared with and without chemical annealing of the ZnTPP layer with a pyrazine ligand. The devices with chemically annealed ZnTPP donor layer show an increase in short-circuit current (J SC) and fill factor (FF) relative to analogous unannealed devices, presumably because of enhanced exciton diffusion length and improved charge conductivity. The open circuit voltages (V OC) of the chemically annealed devices are lower than their unannealed counterpart because of enhanced polaron pair recombination at the donor/acceptor heterojunction. A net improvement of 5-20% in efficiency has been achieved, after chemical annealing of ZnTPP films with pyrazine. © 2012 American Chemical Society.

  16. Effects of annealing on evaporated SnS thin films

    International Nuclear Information System (INIS)

    Samsudi Sakrani; Bakar Ismail

    1994-01-01

    The effects of annealing of evaporated tin sulphide thin films (SnS) are described. The films were initially deposited onto glass substrate, followed by annealing in an encapsulated carbon block under the running argon gas at 310 degree Celsius. Short time annealing of the films results in a slight change of the compositions to a mix SnS/SnS sub 2 compound, and the tendency of increasing SnS sub 2 formation was observed on the films annealed for longer periods up to 20 hours. X-ray results showed the transformation of SnS peaks (040) and (080) to predominantly SnS sub 2 peaks - (001), (100), (101), and (110). The associated absorption coefficients measured on the films were found to be greater than 10 sup 5 cm sup -1, with indication of higher photon energy leading to the formation of SnS sub 2 compound

  17. Effects of annealing on evaporated SnS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sakrani, Samsudi; Ismail, Bakar [Universiti Teknologi Malaysia, Skudai, Johor Bahru (Malaysia). Dept. of Physics

    1994-12-31

    The effects of annealing of evaporated tin sulphide thin films (SnS) are described. The films were initially deposited onto glass substrate, followed by annealing in an encapsulated carbon block under the running argon gas at 310 degree Celsius. Short time annealing of the films results in a slight change of the compositions to a mix SnS/SnS sub 2 compound, and the tendency of increasing SnS sub 2 formation was observed on the films annealed for longer periods up to 20 hours. X-ray results showed the transformation of SnS peaks (040) and (080) to predominantly SnS sub 2 peaks - (001), (100), (101), and (110). The associated absorption coefficients measured on the films were found to be greater than 10 sup 5 cm sup -1, with indication of higher photon energy leading to the formation of SnS sub 2 compound.

  18. Effects of different annealing atmospheres on the properties of cadmium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, E., E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Kahraman, S. [Department of Metallurgy and Material Engineering, Faculty of Technology, Mustafa Kemal University, 31034 Hatay (Turkey); Güder, H.S. [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2015-08-15

    Graphical abstract: The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. - Highlights: • Compactness and smoothness of the films were enhanced after sulfur annealing. • Micro-strain values of some films were improved after sulfur annealing. • Dislocation density values of some films were improved after sulfur annealing. • Band gap values of the films were improved after sulfur annealing. - Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by using chemical bath deposition (CBD) technique. The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. Compactness and smoothness of the films (especially for pH 10.5 and 11) enhanced after sulfur annealing. pH value of the precursor solution remarkably affected the roughness, uniformity and particle sizes of the films. Based on the analysis of X-ray diffraction (XRD) patterns of the films, micro-strain and dislocation density values of the sulfur-annealed films (pH 10.5 and 11) were found to be lower than those of air-annealed films. Air-annealed films (pH 10.5, 11 and 11.5) exhibited higher transmittance than sulfur-annealed films in the wavelength region of 550–800 nm. Optical band gap values of the films were found between 2.31 eV and 2.36 eV.

  19. Enhanced Self-Biased Magnetoelectric Coupling in Laser-Annealed Pb(Zr,Ti)O3 Thick Film Deposited on Ni Foil.

    Science.gov (United States)

    Palneedi, Haribabu; Maurya, Deepam; Geng, Liwei D; Song, Hyun-Cheol; Hwang, Geon-Tae; Peddigari, Mahesh; Annapureddy, Venkateswarlu; Song, Kyung; Oh, Yoon Seok; Yang, Su-Chul; Wang, Yu U; Priya, Shashank; Ryu, Jungho

    2018-04-04

    Enhanced and self-biased magnetoelectric (ME) coupling is demonstrated in a laminate heterostructure comprising 4 μm-thick Pb(Zr,Ti)O 3 (PZT) film deposited on 50 μm-thick flexible nickel (Ni) foil. A unique fabrication approach, combining room temperature deposition of PZT film by granule spray in vacuum (GSV) process and localized thermal treatment of the film by laser radiation, is utilized. This approach addresses the challenges in integrating ceramic films on metal substrates, which is often limited by the interfacial chemical reactions occurring at high processing temperatures. Laser-induced crystallinity improvement in the PZT thick film led to enhanced dielectric, ferroelectric, and magnetoelectric properties of the PZT/Ni composite. A high self-biased ME response on the order of 3.15 V/cm·Oe was obtained from the laser-annealed PZT/Ni film heterostructure. This value corresponds to a ∼2000% increment from the ME response (0.16 V/cm·Oe) measured from the as-deposited PZT/Ni sample. This result is also one of the highest reported values among similar ME composite systems. The tunability of self-biased ME coupling in PZT/Ni composite has been found to be related to the demagnetization field in Ni, strain mismatch between PZT and Ni, and flexural moment of the laminate structure. The phase-field model provides quantitative insight into these factors and illustrates their contributions toward the observed self-biased ME response. The results present a viable pathway toward designing and integrating ME components for a new generation of miniaturized tunable electronic devices.

  20. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  1. On crystallization of bisphenol-A polycarbonate thin films upon annealing

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Chunhong; Li, Qichao; Mao, Wenfeng; Wang, Peng; He, Chunqing, E-mail: hecq@whu.edu.cn

    2015-10-16

    Crystallization of polycarbonate (PC) films as a function of annealing time has been investigated by various methods. A distinct diffraction peak at 17.56°, a sharp decrease of film thickness, an increase of refractive index and branch-type structures on the surface are found merely for the film after crystallization. Interestingly, positron annihilation parameters demonstrate fractional free-volumes in PC films vary significantly not only before crystallization but also at the early stage of annealing, which are not found by other methods. The results show that free-volumes in PC film must be increased remarkably before crystallization, which enables the occurrence of molecule rearrangement. - Highlights: • Fractional free-volume in PC film decreased of early stage of annealing. • Crystallization of PC film on Si substrate occurred after annealed for ∼48 hours. • Fractional free-volume in PC film increased remarkably before crystallization. • Positron diffusion length and S parameter revealed the variation of free volumes.

  2. On crystallization of bisphenol-A polycarbonate thin films upon annealing

    International Nuclear Information System (INIS)

    Yang, Chunhong; Li, Qichao; Mao, Wenfeng; Wang, Peng; He, Chunqing

    2015-01-01

    Crystallization of polycarbonate (PC) films as a function of annealing time has been investigated by various methods. A distinct diffraction peak at 17.56°, a sharp decrease of film thickness, an increase of refractive index and branch-type structures on the surface are found merely for the film after crystallization. Interestingly, positron annihilation parameters demonstrate fractional free-volumes in PC films vary significantly not only before crystallization but also at the early stage of annealing, which are not found by other methods. The results show that free-volumes in PC film must be increased remarkably before crystallization, which enables the occurrence of molecule rearrangement. - Highlights: • Fractional free-volume in PC film decreased of early stage of annealing. • Crystallization of PC film on Si substrate occurred after annealed for ∼48 hours. • Fractional free-volume in PC film increased remarkably before crystallization. • Positron diffusion length and S parameter revealed the variation of free volumes

  3. Nano-crystallization in ZnO-doped In_2O_3 thin films via excimer laser annealing for thin-film transistors

    International Nuclear Information System (INIS)

    Fujii, Mami N.; Ishikawa, Yasuaki; Bermundo, Juan Paolo Soria; Uraoka, Yukiharu; Ishihara, Ryoichi; Cingel, Johan van der; Mofrad, Mohammad R. T.; Kawashima, Emi; Tomai, Shigekazu; Yano, Koki

    2016-01-01

    In a previous work, we reported the high field effect mobility of ZnO-doped In_2O_3 (IZO) thin film transistors (TFTs) irradiated by excimer laser annealing (ELA) [M. Fujii et al., Appl. Phys. Lett. 102, 122107 (2013)]. However, a deeper understanding of the effect of ELA on the IZO film characteristics based on crystallinity, carrier concentrations, and optical properties is needed to control localized carrier concentrations for fabricating self-aligned structures in the same oxide film and to adequately explain the physical characteristics. In the case of as-deposited IZO film used as the channel, a high carrier concentration due to a high density of oxygen vacancies was observed; such a film does not show the required TFT characteristics but can act as a conductive film. We achieved a decrease in the carrier concentration of IZO films by crystallization using ELA. This means that ELA can form localized conductive or semi-conductive areas on the IZO film. We confirmed that the reason for the carrier concentration decrease was the decrease of oxygen-deficient regions and film crystallization. The annealed IZO films showed nano-crystalline phase, and the temperature at the substrate was substantially less than the temperature limit for flexible films such as plastic, which is 50°C. This paves the way for the formation of self-aligned structures and separately formed conductive and semi-conductive regions in the same oxide film.

  4. Surface films and corrosion of copper

    International Nuclear Information System (INIS)

    Hilden, J.; Laitinen, T.; Maekelae, K.; Saario, T.; Bojinov, M.

    1999-03-01

    In Sweden and Finland the spent nuclear fuel is planned to be encapsulated in cast iron canisters that have an outer shield made of copper. The copper shield is responsible for the corrosion protection of the canister construction. General corrosion of the copper is not expected to be the limiting factor in the waste repository environment when estimating the life-time of the canister construction. However, different forms of localised corrosion, i.e. pitting, stress corrosion cracking, or environmentally assisted creep fracture may cause premature failure of the copper shield. Of the probable constituents in the groundwater, nitrites, chlorides, sulphides and carbonates have been suggested to promote localised corrosion of copper. The main assumption made in planning this research program is that the surface films forming on copper in the repository environment largely determine the susceptibility of copper to the different forms of localised corrosion. The availability of reactants, which also may become corrosion rate limiting, is investigated in several other research programs. This research program consists of a set of successive projects targeted at characterising the properties of surface films on copper in repository environment containing different detrimental anions. A further aim was to assess the significance of the anion-induced changes in the stability of the oxide films with regard to localised corrosion of copper. This report summarises the results from a series of investigations on properties of surface films forming on copper in water of pH = 8.9 at temperature of 80 deg C and pressure of 2 MPa. The main results gained so far in this research program are as follows: The surface films forming on copper in the thermodynamic stability region of monovalent copper at 80 deg C consist of a bulk part (about 1 mm thick) which is a good ionic and electronic conductor, and an outer, interfacial layer (0.001 - 0.005 mm thick) which shows p-type semiconductor

  5. Preparation of CuGaSe2 absorber layers for thin film solar cells by annealing of efficiently electrodeposited Cu-Ga precursor layers from ionic liquids

    International Nuclear Information System (INIS)

    Steichen, M.; Larsen, J.; Guetay, L.; Siebentritt, S.; Dale, P.J.

    2011-01-01

    CuGaSe 2 absorber layers were prepared on molybdenum substrates by electrochemical codeposition of copper and gallium and subsequential annealing in selenium vapour. The electrodeposition was made from a deep eutectic based ionic liquid consisting of choline chloride/urea (Reline) with a plating efficiency of over 85%. The precursor film composition is controlled by the ratio of the copper to gallium fluxes under hydrodynamic conditions and by the applied deposition potential. X-ray diffraction reveals CuGa 2 alloying during the electrodeposition and CuGaSe 2 formation after annealing. Photoluminescence (PL) and photocurrent spectroscopy revealed the good opto-electronic properties of the CuGaSe 2 absorber films. The absorber layers have been converted to full devices with the best device achieving 4.0 % solar conversion efficiency.

  6. Effects of structural modification via high-pressure annealing on solution-processed InGaO films and thin-film transistors

    International Nuclear Information System (INIS)

    Rim, You Seung; Choi, Hyung-Wook; Kim, Kyung Hwan; Kim, Hyun Jae

    2016-01-01

    We investigated the structural modification of solution-processed nanocrystalline InGaO films via high-pressure annealing and fabricated thin-film transistors. The grain size of InGaO films annealed in the presence of oxygen under high pressure was significantly changed compared the films annealed without high pressure ambient. The O1s XPS peak distribution of InGaO films annealed under high pressure at 350 °C showed a peak similar to that of the non-pressure annealed film at 500 °C. The high-pressure annealing process promoted the elimination of organic residues and dehydroxylation of the metal hydroxide (M–OH) complex. We confirmed the improved device performance of high-pressure annealed InGaO-based thin-film transistors owing to the reduction in charge-trap density. (paper)

  7. Solution-processed copper-nickel nanowire anodes for organic solar cells

    Science.gov (United States)

    Stewart, Ian E.; Rathmell, Aaron R.; Yan, Liang; Ye, Shengrong; Flowers, Patrick F.; You, Wei; Wiley, Benjamin J.

    2014-05-01

    This work describes a process to make anodes for organic solar cells from copper-nickel nanowires with solution-phase processing. Copper nanowire films were coated from solution onto glass and made conductive by dipping them in acetic acid. Acetic acid removes the passivating oxide from the surface of copper nanowires, thereby reducing the contact resistance between nanowires to nearly the same extent as hydrogen annealing. Films of copper nanowires were made as oxidation resistant as silver nanowires under dry and humid conditions by dipping them in an electroless nickel plating solution. Organic solar cells utilizing these completely solution-processed copper-nickel nanowire films exhibited efficiencies of 4.9%.This work describes a process to make anodes for organic solar cells from copper-nickel nanowires with solution-phase processing. Copper nanowire films were coated from solution onto glass and made conductive by dipping them in acetic acid. Acetic acid removes the passivating oxide from the surface of copper nanowires, thereby reducing the contact resistance between nanowires to nearly the same extent as hydrogen annealing. Films of copper nanowires were made as oxidation resistant as silver nanowires under dry and humid conditions by dipping them in an electroless nickel plating solution. Organic solar cells utilizing these completely solution-processed copper-nickel nanowire films exhibited efficiencies of 4.9%. Electronic supplementary information (ESI) available. See DOI: 10.1039/c4nr01024h

  8. Annealing assisted structural and surface morphological changes in Langmuir–Blodgett films of nickel octabutoxy phthalocyanine

    Energy Technology Data Exchange (ETDEWEB)

    Shilpa Harish, T.; Viswanath, P., E-mail: viswanath@cnsms.res.in

    2016-01-01

    We report our studies on thin films of metallo-phthalocyanine (MPc), Nickel(II)1,4,8,11,15,18,22,25-octabutoxy-29H,31H-phthalocyanine (NiPc(OBu){sub 8}) transferred in a well defined thermodynamic state over a self assembled monolayer (octadecyl trichlorosilane)/SiO{sub 2}/Si substrate using the Langmuir–Blodgett (LB) method. The films are characterized using differential scanning calorimetry (DSC), grazing incidence X-ray diffraction (GIXD) and atomic force microscopy (AFM) techniques. DSC studies on powdered samples in the bulk indicate enantiotropic solid–solid phase transition. GIXD studies on the as-deposited LB film show a Bragg peak indicating crystallinity of the thin film. Annealing (373 K) results in reduction of lattice spacing (1.21 Å) signifying changes in molecular packing within the unit cell. At this stage, an additional Bragg peak is observed which grows at the expense of the former one and they coexist between 373 K and 423 K. A discontinuity in lattice spacing from 20.73 to 15.12 Å with annealing indicates clearly a structural change of the underlying crystalline lattice. Correspondingly, the surface morphology images obtained using AFM show, with annealing, a transformation from spherical granular morphology to elongated, flat crystallites suggesting asymmetric growth process. Statistical parameters of the grain extracted from the AFM images show that the size, fractal dimension and circularity are affected by annealing. Based on these studies, we infer the structural and surface morphological changes of the meta-stable phase (Form I) to the stable phase (Form II) in annealed LB films of phthalocyanine. - Highlights: • Langmuir–Blodgett (LB) films of phthalocyanine subjected to thermal annealing. • Structural transformation and coexistence of polymorphs in LB films • Surface morphology changes from nanoscale grains to elongated crystallites. • Reduction of fractal dimension and circularity index reveals asymmetric growth.

  9. Synthesizing photovoltaic thin films of high quality copper-zinc-tin alloy with at least one chalcogen species

    Science.gov (United States)

    Teeter, Glenn; Du, Hui; Young, Matthew

    2013-08-06

    A method for synthesizing a thin film of copper, zinc, tin, and a chalcogen species ("CZTCh" or "CZTSS") with well-controlled properties. The method includes depositing a thin film of precursor materials, e.g., approximately stoichiometric amounts of copper (Cu), zinc (Zn), tin (Sn), and a chalcogen species (Ch). The method then involves re-crystallizing and grain growth at higher temperatures, e.g., between about 725 and 925 degrees K, and annealing the precursor film at relatively lower temperatures, e.g., between 600 and 650 degrees K. The processing of the precursor film takes place in the presence of a quasi-equilibrium vapor, e.g., Sn and chalcogen species. The quasi-equilibrium vapor is used to maintain the precursor film in a quasi-equilibrium condition to reduce and even prevent decomposition of the CZTCh and is provided at a rate to balance desorption fluxes of Sn and chalcogens.

  10. Solvent annealing induced phase separation and dewetting in PMMA∕SAN blend film: film thickness and solvent dependence.

    Science.gov (United States)

    You, Jichun; Zhang, Shuangshuang; Huang, Gang; Shi, Tongfei; Li, Yongjin

    2013-06-28

    The competition between "dewetting" and "phase separation" behaviors in polymer blend films attracts significant attention in the last decade. The simultaneous phase separation and dewetting in PMMA∕SAN [poly(methyl methacrylate) and poly(styrene-ran-acrylonitrile)] blend ultrathin films upon solvent annealing have been observed for the first time in our previous work. In this work, film thickness and annealing solvent dependence of phase behaviors in this system has been investigated using atomic force microscopy and grazing incidence small-angle X-ray scattering (GISAXS). On one hand, both vertical phase separation and dewetting take place upon selective solvent vapor annealing, leading to the formation of droplet∕mimic-film structures with various sizes (depending on original film thickness). On the other hand, the whole blend film dewets the substrate and produces dispersed droplets on the silicon oxide upon common solvent annealing. GISAXS results demonstrate the phase separation in the big dewetted droplets resulted from the thicker film (39.8 nm). In contrast, no period structure is detected in small droplets from the thinner film (5.1 nm and 9.7 nm). This investigation indicates that dewetting and phase separation in PMMA∕SAN blend film upon solvent annealing depend crucially on the film thickness and the atmosphere during annealing.

  11. Annealing effects on the photoresponse properties of CdSe nanocrystal thin films

    International Nuclear Information System (INIS)

    Lou Shiyun; Zhou Changhua; Wang Hongzhe; Shen Huaibin; Cheng Gang; Du Zuliang; Zhou, Shaomin; Li Linsong

    2011-01-01

    Highlights: → The as-prepared CdSe nanocrystal films were treated at 500 deg. C for 3 h under continuous N 2 . → Annealing process removed the organic capping completely and eliminated oxide on the CdSe surface. → Thermal annealing resulted the increase of the crystallite sizes and necking the NCs. → The photoresponse speed of the CdSe nanocrystal films was improved. - Abstract: The photoresponse properties of the as-prepared and annealed close-packed CdSe nanocrystal (NC) films were investigated under laser illumination by Kelvin probe force microscopy. The annealing process improved the photoresponse speed of the CdSe NC films. The work function of the annealed CdSe NC films changed more rapidly than that of the non-annealed film in air at room temperature. Combined with X-ray photoelectron spectroscopy measurements and thermogravimetric analysis, the observed phenomena can be interpreted that annealing process removed the organic capping agents completely and eliminated oxide on the CdSe surface, which formed the tunnel barrier between NCs in the CdSe NC films. Consequently, it improved the separation rate of photoelectric charges and thus provided high speed photoresponse.

  12. Deconvoluting the mechanism of microwave annealing of block copolymer thin films.

    Science.gov (United States)

    Jin, Cong; Murphy, Jeffrey N; Harris, Kenneth D; Buriak, Jillian M

    2014-04-22

    The self-assembly of block copolymer (BCP) thin films is a versatile method for producing periodic nanoscale patterns with a variety of shapes. The key to attaining a desired pattern or structure is the annealing step undertaken to facilitate the reorganization of nanoscale phase-segregated domains of the BCP on a surface. Annealing BCPs on silicon substrates using a microwave oven has been shown to be very fast (seconds to minutes), both with and without contributions from solvent vapor. The mechanism of the microwave annealing process remains, however, unclear. This work endeavors to uncover the key steps that take place during microwave annealing, which enable the self-assembly process to proceed. Through the use of in situ temperature monitoring with a fiber optic temperature probe in direct contact with the sample, we have demonstrated that the silicon substrate on which the BCP film is cast is the dominant source of heating if the doping of the silicon wafer is sufficiently low. Surface temperatures as high as 240 °C are reached in under 1 min for lightly doped, high resistivity silicon wafers (n- or p-type). The influence of doping, sample size, and BCP composition was analyzed to rule out other possible mechanisms. In situ temperature monitoring of various polymer samples (PS, P2VP, PMMA, and the BCPs used here) showed that the polymers do not heat to any significant extent on their own with microwave irradiation of this frequency (2.45 GHz) and power (∼600 W). It was demonstrated that BCP annealing can be effectively carried out in 60 s on non-microwave-responsive substrates, such as highly doped silicon, indium tin oxide (ITO)-coated glass, glass, and Kapton, by placing a piece of high resistivity silicon wafer in contact with the sample-in this configuration, the silicon wafer is termed the heating element. Annealing and self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) and polystyrene-block-poly(methyl methacrylate) (PS

  13. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application.

    Science.gov (United States)

    Cummins, Cian; Mokarian-Tabari, Parvaneh; Andreazza, Pascal; Sinturel, Christophe; Morris, Michael A

    2016-03-01

    Solvothermal vapor annealing (STVA) was employed to induce microphase separation in a lamellar forming block copolymer (BCP) thin film containing a readily degradable block. Directed self-assembly of poly(styrene)-block-poly(d,l-lactide) (PS-b-PLA) BCP films using topographically patterned silicon nitride was demonstrated with alignment over macroscopic areas. Interestingly, we observed lamellar patterns aligned parallel as well as perpendicular (perpendicular microdomains to substrate in both cases) to the topography of the graphoepitaxial guiding patterns. PS-b-PLA BCP microphase separated with a high degree of order in an atmosphere of tetrahydrofuran (THF) at an elevated vapor pressure (at approximately 40-60 °C). Grazing incidence small-angle X-ray scattering (GISAXS) measurements of PS-b-PLA films reveal the through-film uniformity of perpendicular microdomains after STVA. Perpendicular lamellar orientation was observed on both hydrophilic and relatively hydrophobic surfaces with a domain spacing (L0) of ∼32.5 nm. The rapid removal of the PLA microdomains is demonstrated using a mild basic solution for the development of a well-defined PS mask template. GISAXS data reveal the through-film uniformity is retained following wet etching. The experimental results in this article demonstrate highly oriented PS-b-PLA microdomains after a short annealing period and facile PLA removal to form porous on-chip etch masks for nanolithography application.

  14. Valence control of cobalt oxide thin films by annealing atmosphere

    International Nuclear Information System (INIS)

    Wang Shijing; Zhang Boping; Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping

    2011-01-01

    The cobalt oxide (CoO and Co 3 O 4 ) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH 3 OCH 2 CH 2 OH and Co(NO 3 ) 2 .6H 2 O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co 3 O 4 thin film was obtained by annealing in air at 300-600, and N 2 at 300, and transferred to CoO thin film by raising annealing temperature in N 2 . The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  15. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  16. Nano-crystallization in ZnO-doped In{sub 2}O{sub 3} thin films via excimer laser annealing for thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Fujii, Mami N., E-mail: f-mami@ms.naist.jp; Ishikawa, Yasuaki; Bermundo, Juan Paolo Soria; Uraoka, Yukiharu [Nara Institute of Science and Technology, 8916-5 Takayama, Ikoma, Nara 630-0192 (Japan); Ishihara, Ryoichi; Cingel, Johan van der; Mofrad, Mohammad R. T. [Delft University of Technology, Feldmannweg 17, P.O. Box 5053, 2600 GB Delft (Netherlands); Kawashima, Emi; Tomai, Shigekazu; Yano, Koki [Idemitsu Kosan Co., Ltd., 1280 Kami-izumi, Sodegaura, Chiba, 299-0293 (Japan)

    2016-06-15

    In a previous work, we reported the high field effect mobility of ZnO-doped In{sub 2}O{sub 3} (IZO) thin film transistors (TFTs) irradiated by excimer laser annealing (ELA) [M. Fujii et al., Appl. Phys. Lett. 102, 122107 (2013)]. However, a deeper understanding of the effect of ELA on the IZO film characteristics based on crystallinity, carrier concentrations, and optical properties is needed to control localized carrier concentrations for fabricating self-aligned structures in the same oxide film and to adequately explain the physical characteristics. In the case of as-deposited IZO film used as the channel, a high carrier concentration due to a high density of oxygen vacancies was observed; such a film does not show the required TFT characteristics but can act as a conductive film. We achieved a decrease in the carrier concentration of IZO films by crystallization using ELA. This means that ELA can form localized conductive or semi-conductive areas on the IZO film. We confirmed that the reason for the carrier concentration decrease was the decrease of oxygen-deficient regions and film crystallization. The annealed IZO films showed nano-crystalline phase, and the temperature at the substrate was substantially less than the temperature limit for flexible films such as plastic, which is 50°C. This paves the way for the formation of self-aligned structures and separately formed conductive and semi-conductive regions in the same oxide film.

  17. Effect of Copper on the Carrier Lifetime in Black Silicon

    DEFF Research Database (Denmark)

    Porte, Henrik; Turchinovich, Dmitry; Persheyev, Saydulla

    2011-01-01

    Black silicon is produced by laser annealing of a-Si:H films. During annealing, silicon microstructures are formed on the surface. We use time-resolved terahertz spectroscopy to study the photoconductivity dynamics in black silicon. We find that when a copper film is deposited on top of the a......-Si:H layer prior to laser annealing, the carrier lifetime of black silicon is significantly reduced....

  18. Annealing induced structural evolution and electrochromic properties of nanostructured tungsten oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ching-Lin [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Lin, Chung-Kwei [School of Dental Technology, Taipei Medical University, Taipei City 110, Taiwan, ROC (China); Wang, Chun-Kai [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Wang, Sheng-Chang [Department of Mechanical Engineering, Southern Taiwan University, Tainan 710, Taiwan, ROC (China); Huang, Jow-Lay, E-mail: JLH888@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan, ROC (China); Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 81148, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2013-12-31

    The effect of microstructure on the optical and electrochemical properties of nanostructured tungsten oxide films was evaluated as a function of annealing temperature. The films using block copolymer as the template were prepared from peroxotungstic acid (PTA) by spin-coating onto the substrate and post-annealed at 250–400 °C to form tungsten oxide films with nanostructure. The microstructure of the films was measured by X-ray diffraction and surface electron microscopy. The films annealed at temperatures below 300 °C are characterized by amorphous or nanocrystalline structures with a pore size of less than 10 nm. The evaluated annealing temperature caused a triclinic crystalline structure and microcracks. Cyclic voltammetry measurements were performed in a LiClO{sub 4}-propylene carbonate electrolyte. The results showed that the ion inserted capacity were maximized for films annealed at 300 °C and decreased with the increasing of annealing temperature. The electrochromic properties of the nanostructured tungsten oxide films were evaluated simultaneously by potentiostat and UV–vis spectroscopy. The films annealed at 300 °C exhibit high transmission modulation (∆T ∼ 40%) at λ = 633 nm and good kinetic properties. As a result, the correlation between the microstructure and kinetic properties was established, and the electrochromic properties have been demonstrated. - Highlights: • Surfactant-assisted WO{sub 3} films have been prepared by sol–gel method. • Nanostructure of porous WO{sub 3} film is retained after crystallization. • Kinetic properties of WO{sub 3} can be improved by nanostructure and crystallinity.

  19. Transpassive Dissolution of Copper and Rapid Formation of Brilliant Colored Copper Oxide Films

    Science.gov (United States)

    Fredj, Narjes; Burleigh, T. David; New Mexico Tech Team

    2014-03-01

    This investigation describes an electrochemical technique for growing adhesive copper oxide films on copper with attractive colors ranging from gold-brown to pearl with intermediate colors from red violet to gold green. The technique consists of anodically dissolving copper at transpassive potentials in hot sodium hydroxide, and then depositing brilliant color films of Cu2O onto the surface of copper after the anodic potential has been turned off. The color of the copper oxide film depends on the temperature, the anodic potential, the time t1 of polarization, and the time t2, which is the time of immersion after potential has been turned off. The brilliant colored films were characterized using glancing angle x-ray diffraction, and the film was found to be primarily Cu2O. Cyclic voltammetry, chronopotentiometry, scanning electron microscopy, and x-ray photoelectron spectroscopy were also used to characterize these films.

  20. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  1. Annealing-induced recovery of indents in thin Au(Fe bilayer films

    Directory of Open Access Journals (Sweden)

    Anna Kosinova

    2016-12-01

    Full Text Available We employed depth-sensing nanoindentation to produce ordered arrays of indents on the surface of 50 nm-thick Au(Fe films deposited on sapphire substrates. The maximum depth of the indents was approximately one-half of the film thickness. The indented films were annealed at a temperature of 700 °C in a forming gas atmosphere. While the onset of solid-state dewetting was observed in the unperturbed regions of the film, no holes to the substrate were observed in the indented regions. Instead, the film annealing resulted in the formation of hillocks at the indent locations, followed by their dissipation and the formation of shallow depressions nearby after subsequent annealing treatments. This annealing-induced evolution of nanoindents was interpreted in terms of annihilation of dislocation loops generated during indentation, accompanied by the formation of nanopores at the grain boundaries and their subsequent dissolution. The application of the processes uncovered in this work show great potential for the patterning of thin films.

  2. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  3. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  4. Effect of vacuum annealing on evaporated pentacene thin films for memory device applications

    International Nuclear Information System (INIS)

    Gayathri, A.G.; Joseph, C.M.

    2016-01-01

    Graphical abstract: Switching of ITO/pentacene/Al thin films for different annealing temperatures. - Highlights: • Memory device performance in pentacene improved considerably with annealing. • ON/OFF ratio of the pentacene device increases due to annealing. • Threshold voltage reduces from 2.55 V to 1.35 V due to annealing. • Structure of pentacene thin films is also dependent on annealing temperature. - Abstract: Thin films of pentacene were deposited thermally onto glass substrates and annealed at 323 K, 373 K, 423 K, 473 K and 523 K in high vacuum. Effect of annealing on the morphological and structural properties of these films was studied. X-ray diffraction patterns confirmed the crystalline nature of the films. Electrical studies for the use as write once read many (WORM) memory devices were done for the vacuum deposited pentacene thin films on indium tin oxide coated glass. Due to annealing, a sharp increase in the ON/OFF ratio of current and a decrease in threshold voltage were observed at around 373 K. This device showed a stable switching with an ON/OFF current ratio as high as 10 9 and a switching threshold voltage of 1.35 V. The performance of the device degraded above 423 K due to the changes in the crystallinity of the film.

  5. Effect of vacuum annealing on evaporated pentacene thin films for memory device applications

    Energy Technology Data Exchange (ETDEWEB)

    Gayathri, A.G., E-mail: gaythri305@yahoo.com; Joseph, C.M., E-mail: cmjoseph@rediffmail.com

    2016-09-15

    Graphical abstract: Switching of ITO/pentacene/Al thin films for different annealing temperatures. - Highlights: • Memory device performance in pentacene improved considerably with annealing. • ON/OFF ratio of the pentacene device increases due to annealing. • Threshold voltage reduces from 2.55 V to 1.35 V due to annealing. • Structure of pentacene thin films is also dependent on annealing temperature. - Abstract: Thin films of pentacene were deposited thermally onto glass substrates and annealed at 323 K, 373 K, 423 K, 473 K and 523 K in high vacuum. Effect of annealing on the morphological and structural properties of these films was studied. X-ray diffraction patterns confirmed the crystalline nature of the films. Electrical studies for the use as write once read many (WORM) memory devices were done for the vacuum deposited pentacene thin films on indium tin oxide coated glass. Due to annealing, a sharp increase in the ON/OFF ratio of current and a decrease in threshold voltage were observed at around 373 K. This device showed a stable switching with an ON/OFF current ratio as high as 10{sup 9} and a switching threshold voltage of 1.35 V. The performance of the device degraded above 423 K due to the changes in the crystallinity of the film.

  6. Valence control of cobalt oxide thin films by annealing atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Wang Shijing [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhang Boping, E-mail: bpzhang@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China); Zhao Cuihua; Li Songjie; Zhang Meixia; Yan Liping [School of Materials Science and Engineering, University of Science and Technology Beijing, No. 30 Xueyuan Road, Beijing 100083 (China)

    2011-02-01

    The cobalt oxide (CoO and Co{sub 3}O{sub 4}) thin films were successfully prepared using a spin-coating technique by a chemical solution method with CH{sub 3}OCH{sub 2}CH{sub 2}OH and Co(NO{sub 3}){sub 2}.6H{sub 2}O as starting materials. The grayish cobalt oxide films had uniform crystalline grains with less than 50 nm in diameter. The phase structure is able to tailor by controlling the annealing atmosphere and temperature, in which Co{sub 3}O{sub 4} thin film was obtained by annealing in air at 300-600, and N{sub 2} at 300, and transferred to CoO thin film by raising annealing temperature in N{sub 2}. The fitted X-ray photoelectron spectroscopy (XPS) spectra of the Co2p electrons are distinguishable from different valence states of cobalt oxide especially for their satellite structure. The valence control of cobalt oxide thin films by annealing atmosphere contributes to the tailored optical absorption property.

  7. Toward the understanding of annealing effects on (GaIn)2O3 films

    International Nuclear Information System (INIS)

    Zhang, Fabi; Jan, Hideki; Saito, Katsuhiko; Tanaka, Tooru; Nishio, Mitsuhiro; Nagaoka, Takashi; Arita, Makoto; Guo, Qixin

    2015-01-01

    (GaIn) 2 O 3 films with nominal indium content of 0.3 deposited at room temperature by pulsed laser deposition have been annealed in different gas ambient (N 2 , vacuum, Ar, O 2 ) and temperatures (700–1000 °C) in order to understand the annealing effects. X-ray diffraction and X-ray rocking curve revealed that the film annealed at 800 °C under O 2 ambient has best crystallinity. X-ray photoelectron spectroscopy analysis indicated that oxygen ambient annealing has greatly helped on decreasing the oxygen vacancy. (GaIn) 2 O 3 films with different nominal indium content varying from 0.2 to 0.7 annealed at 800 °C under O 2 ambient also showed high crystal quality, improved optical transmittance, and smooth surface. - Highlights: • (GaIn) 2 O 3 films have been annealed in different gas ambient and temperature. • Only oxygen ambient can crystallize (GaIn) 2 O 3 film. • Film annealed at 800 °C appears best crystal quality. • High quality films were obtained with wide indium content varying from 0.2 to 0.7

  8. Annealing effects on electron-beam evaporated Al2O3 films

    International Nuclear Information System (INIS)

    Shang Shuzhen; Chen Lei; Hou Haihong; Yi Kui; Fan Zhengxiu; Shao Jianda

    2005-01-01

    The effects of post-deposited annealing on structure and optical properties of electron-beam evaporated Al 2 O 3 single layers were investigated. The films were annealed in air for 1.5 h at different temperatures from 250 to 400 deg. C. The optical constants and cut-off wavelength were deduced. Microstructure of the samples was characterized by X-ray diffraction (XRD). Profile and surface roughness measurement instrument was used to determine the rms surface roughness. It was found that the cut-off wavelength shifted to short wavelength as the annealing temperature increased and the total optical loss decreased. The film structure remained amorphous even after annealing at 400 deg. C temperature and the samples annealed at higher temperature had the higher rms surface roughness. The decreasing total optical loss with annealing temperature was attributed to the reduction of absorption owing to oxidation of the film by annealing. Guidance to reduce the optical loss of excimer laser mirrors was given

  9. Annealing effects on electron-beam evaporated Al 2O 3 films

    Science.gov (United States)

    Shuzhen, Shang; Lei, Chen; Haihong, Hou; Kui, Yi; Zhengxiu, Fan; Jianda, Shao

    2005-04-01

    The effects of post-deposited annealing on structure and optical properties of electron-beam evaporated Al 2O 3 single layers were investigated. The films were annealed in air for 1.5 h at different temperatures from 250 to 400 °C. The optical constants and cut-off wavelength were deduced. Microstructure of the samples was characterized by X-ray diffraction (XRD). Profile and surface roughness measurement instrument was used to determine the rms surface roughness. It was found that the cut-off wavelength shifted to short wavelength as the annealing temperature increased and the total optical loss decreased. The film structure remained amorphous even after annealing at 400 °C temperature and the samples annealed at higher temperature had the higher rms surface roughness. The decreasing total optical loss with annealing temperature was attributed to the reduction of absorption owing to oxidation of the film by annealing. Guidance to reduce the optical loss of excimer laser mirrors was given.

  10. Effects of Annealing on TiN Thin Film Growth by DC Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Azadeh Jafari

    2014-07-01

    Full Text Available We have reviewed the deposition of titanium nitride (TiN thin films on stainless steel substrates by a DC magnetron sputtering method and annealing at different annealing temperatures of 500, 600, and 700°C for 120 min in nitrogen/argon atmospheres. Effects of annealing temperatures on the structural and the optical properties of TiN films were investigated using X-ray diffraction (XRD, atomic force microscope (AFM, field emission scanning electron microscopy (FESEM, and UV-VIS spectrophotometer. Our experimental studies reveal that the annealing temperature appreciably affected the structures, crystallite sizes, and reflection of the films. By increasing the annealing temperature to 700°C crystallinity and reflection of the film increase. These results suggest that annealed TiN films can be good candidate for tokamak first wall due to their structural and optical properties.

  11. Characteristics of rapid-thermal-annealed LiCoO2 cathode film for an all-solid-state thin film microbattery

    International Nuclear Information System (INIS)

    Kim, Han-Ki; Yoon, Young Soo

    2004-01-01

    We report on the fabrication of a LiCoO 2 film for an all-solid-state thin film microbattery by using a rapid-thermal-annealing (RTA) process. The LiCoO 2 films were grown by rf magnetron sputtering using a synthesized LiCoO 2 target in a [O 2 /(Ar+O 2 )] ratio of 10%. Scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES) analysis results showed that the surface layer on the as-deposited LiCoO 2 film was completely removed by rapid thermal annealing process in oxygen ambient for 20 min. In addition, the thin film microbattery fabricated with the annealed LiCoO 2 film shows fairly stable cyclability with a specific discharge capacity of 56.49 μAh/cm2 μm. These results show the possibility of the RTA LiCoO 2 film and rapid thermal annealing process being a promising cathode material and annealing process for thin film microbatteries, respectively

  12. Intense pulsed light annealing of copper zinc tin sulfide nanocrystal coatings

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Bryce A.; Smeaton, Michelle A.; Holgate, Collin S.; Trejo, Nancy D.; Francis, Lorraine F., E-mail: francis@umn.edu; Aydil, Eray S., E-mail: aydil@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, 151 Amundson Hall, 421 Washington Avenue SE, Minneapolis, Minnesota 55455 (United States)

    2016-09-15

    A promising method for forming the absorber layer in copper zinc tin sulfide [Cu{sub 2}ZnSnS{sub 4} (CZTS)] thin film solar cells is thermal annealing of coatings cast from dispersions of CZTS nanocrystals. Intense pulsed light (IPL) annealing utilizing xenon flash lamps is a potential high-throughput, low-cost, roll-to-roll manufacturing compatible alternative to thermal annealing in conventional furnaces. The authors studied the effects of flash energy density (3.9–11.6 J/cm{sup 2}) and number of flashes (1–400) during IPL annealing on the microstructure of CZTS nanocrystal coatings cast on molybdenum-coated soda lime glass substrates (Mo-coated SLG). The annealed coatings exhibited cracks with two distinct linear crack densities, 0.01 and 0.2 μm{sup −1}, depending on the flash intensity and total number of flashes. Low density cracking (0.01 μm{sup −1}, ∼1 crack per 100 μm) is caused by decomposition of CZTS at the Mo-coating interface. Vapor decomposition products at the interface cause blisters as they escape the coating. Residual decomposition products within the blisters were imaged using confocal Raman spectroscopy. In support of this hypothesis, replacing the Mo-coated SLG substrate with quartz eliminated blistering and low-density cracking. High density cracking is caused by rapid thermal expansion and contraction of the coating constricted on the substrate as it is heated and cooled during IPL annealing. Finite element modeling showed that CZTS coatings on low thermal diffusivity materials (i.e., SLG) underwent significant differential heating with respect to the substrate with rapid rises and falls of the coating temperature as the flash is turned on and off, possibly causing a build-up of tensile stress within the coating prompting cracking. Use of a high thermal diffusivity substrate, such as a molybdenum foil (Mo foil), reduces this differential heating and eliminates the high-density cracking. IPL annealing in presence of sulfur

  13. Diffusion and adhesion properties of Cu films on polyimide substrates

    International Nuclear Information System (INIS)

    Liang, T.X.; Liu, Y.Q.; Fu, Z.Q.; Luo, T.Y.; Zhang, K.Y.

    2005-01-01

    Copper thin films were prepared on polyimide (PI) substrates by physical vapor deposition (PVD) and chemical vapor deposition (CVD). Titanium nitride (TiN) diffusion barrier layers were deposited between the copper films and the PI substrates by PVD. Auger electron spectroscopy compositional depth profile showed that TiN barrier layer was very effective in preventing copper diffusion into PI substrate even after the Cu/TiN/PI samples were annealed at 300 deg. C for 5 h. For the as-deposited CVD-Cu/PI, CVD-Cu/TiN/PI, and as-deposited PVD-Cu/PI samples, the residual stress in Cu films was very small. Relatively larger residual stress existed in Cu films for PVD-Cu/TiN/PI samples. For PVD-Cu/TiN/PI samples, annealing can increase the peeling strength to the level observed without a diffusion barrier. The adhesion improvement of Cu films by annealing treatment can be attributed to lowering of the residual tensile stress in Cu films

  14. Effects of Thermal Annealing Conditions on Cupric Oxide Thin Film

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyo Seon; Oh, Hee-bong; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-07-15

    In this study, cupric oxide (CuO) thin films were grown on fluorine doped tin oxide(FTO) substrate by using spin coating method. We investigated the effects of thermal annealing temperature and thermal annealing duration on the morphological, structural, optical and photoelectrochemical properties of the CuO film. From the results, we could find that the morphologies, grain sizes, crystallinity and photoelectrochemical properties were dependent on the annealing conditions. As a result, the maximum photocurrent density of -1.47 mA/cm{sup 2} (vs. SCE) was obtained from the sample with the thermal annealing conditions of 500 ℃ and 40 min.

  15. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  16. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Huang, Tzu-Teng

    2013-01-01

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm −2 ) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm −2 ) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm 2 had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10 3 Ω cm) was lower than that of TA thin films (1.39 × 10 4 Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films

  17. Improvement of physical properties of IGZO thin films prepared by excimer laser annealing of sol–gel derived precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Tsay, Chien-Yie, E-mail: cytsay@fcu.edu.tw; Huang, Tzu-Teng

    2013-06-15

    Indium gallium zinc oxide (IGZO) transparent semiconductor thin films were prepared by KrF excimer laser annealing of sol–gel derived precursor films. Each as-coated film was dried at 150 °C in air and then annealed using excimer laser irradiation. The influence of laser irradiation energy density on surface conditions, optical transmittances, and electrical properties of laser annealed IGZO thin films were investigated, and the physical properties of the excimer laser annealed (ELA) and the thermally annealed (TA) thin films were compared. Experimental results showed that two kinds of surface morphology resulted from excimer laser annealing. Irradiation with a lower energy density (≤250 mJ cm{sup −2}) produced wavy and irregular surfaces, while irradiation with a higher energy density (≥350 mJ cm{sup −2}) produced flat and dense surfaces consisting of uniform nano-sized amorphous particles. The explanation for the differences in surface features and film quality is that using laser irradiation energy to form IGZO thin films improves the film density and removes organic constituents. The dried IGZO sol–gel films irradiated with a laser energy density of 350 mJ/cm{sup 2} had the best physical properties of all the ELA IGZO thin films. The mean resistivity of the ELA 350 thin films (4.48 × 10{sup 3} Ω cm) was lower than that of TA thin films (1.39 × 10{sup 4} Ω cm), and the average optical transmittance in the visible range (90.2%) of the ELA 350 thin films was slightly higher than that of TA thin films (89.7%). - Highlights: • IGZO semiconductor films were prepared by laser annealing of sol–gel derived films. • Surface roughness and resistivity of ELA samples were affected by energy density. • The ELA 350 IGZO film exhibited the best properties among all of ELA IGZO films. • Transmittance and resistivity of ELA 350 films are greater than those of TA films.

  18. Photoelectrochemistry of copper(I) acetylide films electrodeposited onto copper electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Zotti, G.; Cattarin, S.; Mengoli, G.; Fleischmann, M.; Peter, L.M.

    1986-01-01

    Films of copper acetylide (Cu/sub 2/C/sub 2/) were grown electrochemically on copper and characterized by transmittance and reflectance techniques. The photoelectrochemical properties of the filmed electrodes in alkaline solution indicate that Cu/sub 2/C/sub 2/ behaves as a p-type semiconducting material (1.5 eV band gap). The photocurrents depend on film thickness and aging and high resistivity or recombination losses limit the quantum yield to some 4% for thicknesses of practical importance (250 nm).

  19. Effect of annealing and UV-radiation time over micropore architecture of self-assembled block copolymer thin film

    Directory of Open Access Journals (Sweden)

    G. del C. Pizarro

    2015-06-01

    Full Text Available Block copolymers have been recognized as versatile materials to prepare nanoporous polymer films or membranes, but their potential has not been completely explored. This study focuses on the formation and characterization of nanoporous polymer films based on poly(styrene-block-(methylmethacrylate/methacrylic acid; (PS-b-MMA/MAA were obtained through atom transfer radical polymerization (ATRP, by using two different protocols: annealing and annealingirradiation; for improving the formation of microporous surface. The composition, crystallinity and structural order of the films were studied by Raman spectroscopy. The film polymer thickness was obtained through very high resolution ellipsometry (VHRE. Finally, atomic force microcopy (AFM and scanning electron microscopy (SEM techniques were used to detect changes in the porous-structure. These results show that the morphological properties of the block copolymer were affected via the modification of two variables, UV-radiation time and annealing. SEM and AFM micrographs showed that the morphology exhibit a porous ordered structure. Contact angle measurement suggests additional interactions between hydrophilic functional groups that influence the film wettability.

  20. Phase and structural transformations in annealed copper coatings in relation to oxide whisker growth

    Energy Technology Data Exchange (ETDEWEB)

    Dorogov, M.V.; Priezzheva, A.N. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Vlassov, S., E-mail: vlassovs@ut.ee [Institute of Solid State Physics, University of Latvia, Kengaraga 8, LV-1063 Riga (Latvia); Kink, I.; Shulga, E. [Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); Dorogin, L.M. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); ITMO University, Kronverkskiy 49, 197101 Saint Petersburg (Russian Federation); Lõhmus, R. [Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); Tyurkov, M.N.; Vikarchuk, A.A. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Romanov, A.E. [Togliatti State University, Belorusskaya 14, 445667 Togliatti (Russian Federation); Institute of Physics, University of Tartu, Ravila 14c, 50411 Tartu (Estonia); ITMO University, Kronverkskiy 49, 197101 Saint Petersburg (Russian Federation); Ioffe Physical Technical Institute, RAS, Polytechnicheskaya 26, 194021 Saint Petersburg (Russian Federation)

    2015-08-15

    Highlights: • Coatings prepared by Cu microparticle electrodeposition. • Structural and phase transformation in Cu coatings annealed at 400 °C. • Annealing is accompanied by intensive growth of CuO whiskers. • Layered oxide phases (Cu{sub 2}O and CuO) in the coating are characterized. • Formation of volumetric defects in the coating is demonstrated. - Abstract: We describe structural and phase transformation in copper coatings made of microparticles during heating and annealing in air in the temperature range up to 400 °C. Such thermal treatment is accompanied by intensive CuO nanowhisker growth on the coating surface and the formation of the layered oxide phases (Cu{sub 2}O and CuO) in the coating interior. X-ray diffraction and focused ion beam (FIB) are employed to characterize the multilayer structure of annealed copper coatings. Formation of volumetric defects such as voids and cracks in the coating is demonstrated.

  1. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    Farrell, R.; Pagan, V.R.; Kabulski, A.; Kuchibhatla, S.; Harman, J.; Kasarla, K.R.; Rodak, L.E.; Hensel, J.P.; Famouri, P.; Korakakis, D.

    2008-01-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE-grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  2. High Temperature Annealing Studies on the Piezoelectric Properties of Thin Aluminum Nitride Films

    Energy Technology Data Exchange (ETDEWEB)

    R. Farrell; V. R. Pagan; A. Kabulski; Sridhar Kuchibhatl; J. Harman; K. R. Kasarla; L. E. Rodak; P. Famouri; J. Peter Hensel; D. Korakakis

    2008-05-01

    A Rapid Thermal Annealing (RTA) system was used to anneal sputtered and MOVPE grown Aluminum Nitride (AlN) thin films at temperatures up to 1000°C in ambient and controlled environments. According to Energy Dispersive X-Ray Analysis (EDAX), the films annealed in an ambient environment rapidly oxidize after five minutes at 1000°C. Below 1000°C the films oxidized linearly as a function of annealing temperature which is consistent with what has been reported in literature [1]. Laser Doppler Vibrometry (LDV) was used to measure the piezoelectric coefficient, d33, of these films. Films annealed in an ambient environment had a weak piezoelectric response indicating that oxidation on the surface of the film reduces the value of d33. A high temperature furnace has been built that is capable of taking in-situ measurements of the piezoelectric response of AlN films. In-situ d33 measurements are recorded up to 300°C for both sputtered and MOVPE-grown AlN thin films. The measured piezoelectric response appears to increase with temperature up to 300°C possibly due to stress in the film.

  3. Surfactant-assisted synthesis of Ag nanostructures and their self-assembled films on copper and aluminum substrate

    International Nuclear Information System (INIS)

    Zhuo Yujiang; Sun Wendong; Dong Lihong; Chu Ying

    2011-01-01

    In this paper, silver nanostructures with controlled morphologies, such as plates, rods, belts, sheets and their self-assembled films have been prepared on copper and aluminum substrates by a surfactant-assisted colloidal chemical method. The X-ray powder diffraction (XRD) and the selected area electron diffraction (SAED) patterns indicated that the Ag nanostructures grew on the substrates with cubic symmetry and single-crystalline in nature. An oriented attachment with surfactant-assisted mechanism and a cooperative effect of surfactant and chloride ion on the morphology of Ag nanostructures were investigated systematically and synthetically.

  4. Surface structure of ultrathin metal films deposited on copper single crystals

    International Nuclear Information System (INIS)

    Butterfield, M.T.

    2000-04-01

    Ultrathin films of Cobalt, Iron and Manganese have been thermally evaporated onto an fcc Copper (111) single crystal substrate and investigated using a variety of surface structural techniques. The small lattice mismatch between these metals and the Cu (111) substrate make them an ideal candidate for the study of the phenomena of pseudomorphic film growth. This is important for the understanding of the close relationship between film structure and magnetic properties. Growing films with the structure of their substrate rather than their bulk phase may provide an opportunity to grow materials with novel physical and magnetic properties, and hence new technological applications. Both Cobalt and Iron have been found to initially maintain a registry with the fcc Cu (111) surface in a manner consistent with pseudomorphic growth. This growth is complicated by island rather than layer by layer growth in the initials stages of the film. In both cases a change in the structure of the film seems to occur at a point where the coalescence of islands in the film may be expected to occur. When the film does change structure they do not form a perfect overlayer with the structure of their bulk counterpart. The films do contain a number of features representative of the bulk phase but also contain considerable disorder and possibly remnants of fcc (111) structure. The order present in these films can be greatly improved by annealing. Manganese appears to grow with an fcc Mn (111) lattice spacing and there is no sign of a change in structure in films of up to 4.61 ML thick. The gradual deposition and annealing of a film to 300 deg. C, with a total deposition time the same as that for a 1 ML thick film, causes a surface reconstruction to occur that is apparent in a R30 deg. (√3 x √3) LEED pattern. This is attributed to the formation of a surface alloy, which is also supported by the local expansion of the Cu lattice in the (111) direction. (author)

  5. Effect of annealing on the mechanical and scratch properties of BCN films obtained by magnetron sputtering deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Shuyan, E-mail: xsynefu@126.com [Key Laboratory of Forest Sustainable Management and Environmental Microorganism Engineering of Heilongjiang Province, Northeast Forestry University, Harbin 150040 (China); Ma, Xinxin [School of Material Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Wen, Huiying [Key Laboratory of Forest Sustainable Management and Environmental Microorganism Engineering of Heilongjiang Province, Northeast Forestry University, Harbin 150040 (China); Tang, Guangze [School of Material Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Li, Chunwei [Key Laboratory of Forest Sustainable Management and Environmental Microorganism Engineering of Heilongjiang Province, Northeast Forestry University, Harbin 150040 (China)

    2014-09-15

    Highlights: • The amorphous BCN films were annealed at different temperatures under vacuum condition. • The order degree increases with the annealing temperature increasing, and the films do not decompose even the annealing temperature rise to 1000 °C. • The nano-hardness and modulus of the films decrease with the increasing of annealing temperatures. • The critical load of BCN films is not affected by the annealing temperature, and the films have good interfacial adhesion. • The scratch resistance properties of BCN film are improved by annealing at 600 °C. - Abstract: Boron-carbon-nitride (BCN) films have been fabricated by direct current unbalanced magnetron sputtering. Boron carbide/graphite compound and a mixture of nitrogen and argon are used as target and carrier gas, respectively, during BCN synthesis. The obtained BCN films are annealed at different temperatures under vacuum condition. The effect of annealing temperature on the structure, mechanical properties and scratch behavior of the BCN films has been investigated. The results indicate that no decomposition products are found even the BCN films are annealed at 1000 °C. The hardness and elastic modulus of the films decrease with the increase of annealing temperatures. The BCN film annealed at 600 °C has the strongest scratch resistance. The friction coefficient of all BCN films is in range of 0.05 to 0.15.

  6. Effect of annealing on the mechanical and scratch properties of BCN films obtained by magnetron sputtering deposition

    International Nuclear Information System (INIS)

    Xu, Shuyan; Ma, Xinxin; Wen, Huiying; Tang, Guangze; Li, Chunwei

    2014-01-01

    Highlights: • The amorphous BCN films were annealed at different temperatures under vacuum condition. • The order degree increases with the annealing temperature increasing, and the films do not decompose even the annealing temperature rise to 1000 °C. • The nano-hardness and modulus of the films decrease with the increasing of annealing temperatures. • The critical load of BCN films is not affected by the annealing temperature, and the films have good interfacial adhesion. • The scratch resistance properties of BCN film are improved by annealing at 600 °C. - Abstract: Boron-carbon-nitride (BCN) films have been fabricated by direct current unbalanced magnetron sputtering. Boron carbide/graphite compound and a mixture of nitrogen and argon are used as target and carrier gas, respectively, during BCN synthesis. The obtained BCN films are annealed at different temperatures under vacuum condition. The effect of annealing temperature on the structure, mechanical properties and scratch behavior of the BCN films has been investigated. The results indicate that no decomposition products are found even the BCN films are annealed at 1000 °C. The hardness and elastic modulus of the films decrease with the increase of annealing temperatures. The BCN film annealed at 600 °C has the strongest scratch resistance. The friction coefficient of all BCN films is in range of 0.05 to 0.15

  7. Annealing effect on the microstructure modification and tribological properties of amorphous carbon nitride films

    Science.gov (United States)

    Wang, Zhou; Wang, Chengbing; Wang, Qi; Zhang, Junyan

    2008-10-01

    The influences of thermal annealing on the microstructural and tribological properties of amorphous carbon nitride films were investigated. X-ray photoelectron spectroscopy, Raman spectroscopy, and Fourier transform infrared spectrometer were utilized to characterize bond configuration and chemical state of the films. The results indicated that at low annealing temperatures (200 and 300 °C), the volatile species and surface contamination are easily dissociated without obvious bulk modification; while at high annealing temperatures (400 and 500 °C), the microstructure of carbon nitride films changed and favored a graphitization process, which indicated the growth of more graphitic film structures. The faint Raman signal of C≡N decreased with annealing temperature (TA) and completely disappeared at TA of 500 °C, indicating that nitrile bonds were thermal unstable under high temperature. Surprisingly, the tribological properties of the films showed a remarkably decreasing in friction coefficient as the TA increased; it is attributed to the graphitization of carbon nitride films during thermal annealing, which favored transfer film formation between the carbon nitride films and counterface materials. The transfer films benefit the decrease in coefficient of friction.

  8. Fabrication of textured SnO2 transparent conductive films using self-assembled Sn nanospheres

    Science.gov (United States)

    Fukumoto, Michitaka; Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2018-06-01

    We present a novel method to fabricate textured surfaces on transparent conductive SnO2 films by processing substrates through a bottom-up technique with potential for industrially scalable production. The substrate processing consists of three steps: deposition of precursor Sn films on glass substrates, formation of a self-assembled Sn nanosphere layer with reductive annealing, and conversion of Sn to SnO2 by oxidative annealing. Ta-doped SnO2 films conformally deposited on the self-assembled nanospherical SnO2 templates exhibited attractive optical and electrical properties, namely, enhanced haze values and low sheet resistances, for applications as transparent electrodes in photovoltaics.

  9. Effect of annealing on structural, optical and electrical properties of SILAR synthesized CuO thin film

    Science.gov (United States)

    Das, M. R.; Mukherjee, A.; Mitra, P.

    2017-05-01

    Nano crystalline CuO thin films were synthesize on glass substrate using SILAR technique. The structural, optical and electrical properties of the films were carried out for as deposited as well as for films post annealed in the temperature range 300 - 500° C. The X-ray diffraction pattern shows all the films are polycrystalline in nature with monoclinic phase. The crystallite size increase and lattice strain decreases with increase of annealing temperature indicating high quality of the films for annealed films. The value of band gap decreases with increases of annealing temperature of the film. The effect of annealing temperature on ionic conductivity and activation energy to electrical conduction process are discussed.

  10. Oxidation phase growth diagram of vanadium oxides film fabricated by rapid thermal annealing

    Institute of Scientific and Technical Information of China (English)

    Tamura KOZO; Zheng-cao LI; Yu-quan WANG; Jie NI; Yin HU; Zheng-jun ZHANG

    2009-01-01

    Thermal evaporation deposited vanadium oxide films were annealed in air by rapid thermal annealing (RTP). By adjusting the annealing temperature and time, a series of vanadium oxide films with various oxidation phases and surface morphologies were fabricated, and an oxidation phase growth diagram was established. It was observed that different oxidation phases appear at a limited and continuous annealing condition range, and the morphologic changes are related to the oxidation process.

  11. Electrochemical Behavior of Pure Copper in Phosphate Buffer Solutions: A Comparison Between Micro- and Nano-Grained Copper

    Science.gov (United States)

    Imantalab, O.; Fattah-alhosseini, A.; Keshavarz, M. K.; Mazaheri, Y.

    2016-02-01

    In this work, electrochemical behavior of annealed (micro-) and nano-grained pure copper (fabricated by accumulative roll bonding process) in phosphate buffer solutions of various pH values ranging from 10.69 to 12.59 has been studied. Before any electrochemical measurements, evaluation of microstructure was obtained by optical microscope and transmission electron microscopy. To investigate the electrochemical behavior of the samples, the potentiodynamic polarization, Mott-Schottky analysis, and electrochemical impedance spectroscopy (EIS) were carried out. Potentiodynamic polarization plots and EIS measurements revealed that as a result of grain refinement, the passive behavior of the nano-grained sample was improved compared to that of annealed pure copper. Also, Mott-Schottky analysis indicated that the passive films behaved as p-type semiconductors and grain refinement did not change the semiconductor type of passive films.

  12. Effect of Different Post Deposition Annealing Treatments on Properties of Zinc Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    Arti Arora

    2010-06-01

    Full Text Available Two different post deposition annealing atmospheres of oxygen and forming gas have been investigated for the improvement of rf sputtered zinc oxide thin films. The results show that type of atmosphere (oxidant o reduction plays an important role in the changes observed in structural, electrical and optical properties. It has been found that the structural properties of rf sputtered zinc oxide films improve in all the annealing environments. The intensity and grain size increases as the annealing temperature increases. It has been found that films become stress free at lowest temperature in oxygen as compare to forming gas annealing. The zinc oxide films annealed in oxygen shows sufficient resistivity associated to high transmittance (83 % characteristics required for MEMS based acoustic devices.

  13. Yield strength of attached copper film

    International Nuclear Information System (INIS)

    Zhang Yan; Zhang Jian-Min

    2011-01-01

    Variation of stress in attached copper film with an applied strain is measured by X-ray diffraction combined with a four-point bending method. A lower slope of the initial elastic segment of the curve of X-ray measured stress versus applied strain results from incomplete elastic strain transferred from the substrate to the film due to insufficiently strong interface cohesion. So the slope of the initial elastic segment of the X-ray stress (or X-ray strain directly) of the film against the substrate applied strain may be used to measure the film-substrate cohesive strength. The yield strength of the attached copper film is much higher than that of the bulk material and varies linearly with the inverse of the film thickness. (condensed matter: structural, mechanical, and thermal properties)

  14. Effects of annealing temperature on mechanical durability of indium-tin oxide film on polyethylene terephthalate substrate

    International Nuclear Information System (INIS)

    Machinaga, Hironobu; Ueda, Eri; Mizuike, Atsuko; Takeda, Yuuki; Shimokita, Keisuke; Miyazaki, Tsukasa

    2014-01-01

    Effects of the annealing temperature on mechanical durability of indium-tin oxide (ITO) thin films deposited on polyethylene terephthalate (PET) substrates were investigated. The ITO films were annealed at the range from 150 °C to 195 °C after the DC sputtering deposition for the production of polycrystalline ITO layers on the substrates. The onset strains of cracking in the annealed ITO films were evaluated by the uniaxial stretching tests with electrical resistance measurements during film stretching. The results indicate that the onset strain of cracking in the ITO film is clearly increased by increasing the annealing temperature. The in-situ measurements of the inter-planer spacing of the (222) plane in the crystalline ITO films during film stretching by using synchrotron radiation strongly suggest that the large compressive stress in the ITO film increases the onset strain of cracking in the film. X-ray stress analyses of the annealed ITO films and thermal mechanical analyses of the PET substrates also clarifies that the residual compressive stress in the ITO film is enhanced with increasing the annealing temperature due to the considerably larger shrinkage of the PET substrate. - Highlights: • Indium-tin oxide (ITO) films were deposited on polyethylene terephthalate (PET). • Mechanical durability of the ITO is improved by high temperature post-annealing. • The shrinkage in the PET increases with rising the post-annealing temperature. • The shrinkage of the PET enhances the compressive stress in the ITO film. • Large compressive stress in the ITO film may improve its mechanical durability

  15. Improved luminescence intensity and stability of thermal annealed ZnO incorporated Alq3 composite films.

    Science.gov (United States)

    Cuba, M; Muralidharan, G

    2015-11-01

    The 30 wt% of ZnO (weight percentage of ZnO has been optimised) incorporated tris- (8-hydroxyquinoline)aluminum (Alq3) has been synthesised and coated on to glass substrates using dip coating method. The structural and optical properties of the Alq3/ZnO composite film after thermal annealing from 50 to 300 °C insteps 50° has been studied and reported. XRD pattern reveals the presence of crystalline ZnO in all the annealed films. The films annealed above 150 °C reveal the presence of crystalline Alq3 along with crystalline ZnO. The FTIR spectra confirm the presence of hydroxyquinoline and ZnO vibration in all the annealed composite films. The composite films annealed above 150 °C show a partial sublimation and degradation of hydroxyquinoline compounds. The ZnO incorporated composite films (Alq3/ZnO) exhibit two emission peaks, one corresponding to ZnO at 487 nm and another at 513 nm due to Alq3. The films annealed at 200 °C exhibit maximum photoluminescence (PL) intensity than pristine film at 513 nm when excited at 390 nm.

  16. Post-annealing effect on the room-temperature ferromagnetism in Cu-doped ZnO thin films

    International Nuclear Information System (INIS)

    Hu, Yu-Min; Kuang, Chein-Hsiun; Han, Tai-Chun; Yu, Chin-Chung; Li, Sih-Sian

    2015-01-01

    In this work, we investigated the structural and magnetic properties of both as-deposited and post-annealed Cu-doped ZnO thin films for better understanding the possible mechanisms of room-temperature ferromagnetism (RT-FM) in ZnO-based diluted magnetic oxides. All of the films have a c-axis-oriented wurtzite structure and display RT-FM. X-ray photoelectron spectroscopy results showed that the incorporated Cu ions in as-deposited films are in 1+ valence state merely, while an additional 2+ valence state occurs in post-annealed films. The presence of Cu 2+ state in post-annealed film accompanies a higher magnetization value than that of as-deposited film and, in particular, the magnetization curves at 10 K and 300 K of the post-annealed film separate distinctly. Since Cu 1+ ion has a filled 3d band, the RT-FM in as-deposited Cu-doped ZnO thin films may stem solely from intrinsic defects, while that in post-annealed films is enhanced due to the presence of CuO crystallites

  17. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  18. Influence of annealing temperature and environment on the properties of indium tin oxide thin films

    International Nuclear Information System (INIS)

    Wang, R X; Beling, C D; Fung, S; Djurisic, A B; Ling, C C; Kwong, C; Li, S

    2005-01-01

    Indium tin oxide (ITO) thin films were deposited on glass substrates using the e-beam evaporating technique. The influence of deposition rate and post-deposition annealing on the optical properties of the films was investigated in detail. It is found that the deposition rate and annealing conditions strongly affect the optical properties of the films. The transmittance of films greatly increases with increasing annealing temperature below 300 deg. C but drastically drops at 400 deg. C when they are annealed in forming gas (mixed N 2 and H 2 gas). An interesting phenomenon observed is that the transmittance of the darkened film can recover under further 400 deg. C annealing in air. Atomic force microscopy, x-ray diffraction and x-ray photoemission spectroscopy were employed to obtain information on the chemical state and crystallization of the films. Analysis of these data suggests that the loss and re-incorporating of oxygen are responsible for the reversible behaviour of the ITO thin films

  19. Annealing Temperature Dependent Structural and Optical Properties of RF Sputtered ZnO Thin Films.

    Science.gov (United States)

    Sharma, Shashikant; Varma, Tarun; Asokan, K; Periasamy, C; Boolchandani, Dharmendar

    2017-01-01

    This work investigates the effect of annealing temperature on structural and optical properties of ZnO thin films grown over Si 100 and glass substrates using RF sputtering technique. Annealing temperature has been varied from 300 °C to 600 °C in steps of 100, and different microstructural parameters such as grain size, dislocation density, lattice constant, stress and strain have been evaluated. The structural and surface morphological characterization has been done using X-ray Diffraction (XRD) and Scanning Electron Microscope (SEM). XRD analysis reveals that the peak intensity of 002 crystallographic orientation increases with increased annealing temperature. Optical characterization of deposited films have been done using UV-Vis-NIR spectroscopy and photoluminescence spectrometer. An increase in optical bandgap of deposited ZnO thin films with increasing annealing temperature has been observed. The average optical transmittance was found to be more than 85% for all deposited films. Photoluminiscense spectra (PL) suggest that the crystalline quality of deposited film has increased at higher annealing temperature.

  20. Annealing of dislocation loops in neutron-irradiated copper investigated by positron annihilation

    International Nuclear Information System (INIS)

    Gauster, W.B.; Mantl, S.; Schober, T.; Triftshauser, W.

    1975-01-01

    Positron annihilation angular correlation measurements were carried out on neutron-irradiated copper as a function of annealing temperature. Two types of specimens were used: single crystals irradiated with fast neutrons, and 10 B-doped polycrystalline samples irradiated with thermal neutrons. All irradiations were at approximately 320 0 K. A structure in the annealing curve, not previously observed by other techniques, indicates that between 460 and 600 0 K the dislocation loops present after irradiation dissociate and more effective positron trapping sites are formed. (auth)

  1. Tuning microstructure and magnetic properties of electrodeposited CoNiP films by high magnetic field annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Chun; Wang, Kai [Key Laboratory of Electromagnetic Processing of Materials (Ministry of Education), Northeastern University, Shenyang 110819 (China); Li, Donggang, E-mail: lidonggang@smm.neu.edu.cn [School of Metallurgy, Northeastern University, Shenyang 110819 (China); Lou, Changsheng [School of Materials Science and Engineering, Shenyang Ligong University, Shenyang 110159 (China); Zhao, Yue; Gao, Yang [Key Laboratory of Electromagnetic Processing of Materials (Ministry of Education), Northeastern University, Shenyang 110819 (China); Wang, Qiang, E-mail: wangq@mail.neu.edu.cn [Key Laboratory of Electromagnetic Processing of Materials (Ministry of Education), Northeastern University, Shenyang 110819 (China)

    2016-10-15

    A high magnetic field (up to 12 T) has been used to anneal 2.6-µm-thick Co{sub 50}Ni{sub 40}P{sub 10} films formed by pulse electrodeposition. The effects of high magnetic field annealing on the microstructure and magnetic properties of CoNiP thin films have been investigated. It was found that a high magnetic field accelerated a phase transformation from fcc to hcp and enhanced the preferred hcp-(002) orientation during annealing. Compared with the films annealed without a magnetic field, annealing at 12 T decreased the surface particle size, roughness, and coercivity, but increased the saturation magnetization and remanent magnetization of CoNiP films. The out-of-plane coercivity was higher than that the in-plane for the as-deposited films. After annealing without a magnetic field, the out-of-plane coercivity was equal to that of the in-plane. However, the out-of-plane coercivity was higher than that of the in-plane when annealing at 12 T. These results indicate that high magnetic field annealing is an effective method for tuning the microstructure and magnetic properties of thin films. - Highlights: • High magnetic field annealing accelerated phase transformation from γ to ε. • High magnetic field annealing enhanced preferred hcp-(002) orientation. • High magnetic field annealing decreased particle size, roughness and coercivity. • High magnetic field annealing increased the saturation and remanent magnetization.

  2. Annealing Effect on the Photoelectrochemical Properties of BiVO_4 Thin Film Electrodes

    International Nuclear Information System (INIS)

    Siti Nur Farhana Mohd Nasir; Mohd Asri Mat Teridi; Mehdi Ebadi; Sagu, J.S.

    2015-01-01

    Monoclinic bismuth vanadate (BiVO_4) thin film electrodes were fabricated on fluorine-doped tin oxide via aerosol-assisted chemical vapour deposition (AACVD). Annealing and without annealing effect of thin films were analysed by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), ultraviolet-visible spectrophotometry (UV-Vis) and current voltage measurement. All BiVO_4 thin films showed an anodic photocurrent. The sample of BiVO_4 annealed at 400 degree Celsius exhibited the highest photocurrent density of 0.44 mAcm"-"2 vs. Ag/ AgCl at 1.23 V. (author)

  3. Effect of annealing time on optical and electrical properties of CdS thin films

    Science.gov (United States)

    Soliya, Vanshika; Tandel, Digisha; Patel, Chandani; Patel, Kinjal

    2018-05-01

    Cadmium sulphide (CdS) is semiconductor compound of II-VI group. Thin film of CdS widely used in the applications such as, a buffer layer in copper indium diselenide (CIS) hetrojunction based solar cells, transistors, photo detectors and light emitting diodes. Because of the ease of making like chemical bath deposition (CBD), screen printing and thermal evaporation. It is extensively used in the CIS based solar cells as a buffer layers. The buffer layers usually used for reducing the interface recombination of the photo generated carriers by means of improving the lattice mismatch between the layers. The optimum thickness and the optoelectronics properties of CdS thin films like, optical band gap, electrical resistivity, structure, and composition etc., are to be considering for its use as a buffer layer. In the present study the CdS thin film were grown by simple dip coating method. In this method we had prepared 0.1M Cadmium-thiourea precursor solution. Before the deposition process of CdS, glass substrate has been cleaned using Methanol, Acetone, Trichloroethylene and De-ionized (DI) water. After coating of precursor layer, it was heated at 200 °C for themolysis. Then after CdS films were annealed at 200 °C for different time and studied its influence on the optical transmission, band gap, XRD, raman and the electrical resistivity. As increasing the annealing time we had observed the average transmission of the films was reduce after the absorption edge. In addition to the blue shift of absorption edge was observed. The observed optimum band gap was around 2.50 eV. XRD and raman analysis confirms the cubuc phase of CdS. Hot probe method confirms the n-type conductivity of the CdS film. Hall probe data shows the resistivity of the films was in the order of 103 Ωcm. Observed data signifies its future use in the many optoelectronics devices.

  4. Rapid preparation of solution-processed InGaZnO thin films by microwave annealing and photoirradiation

    International Nuclear Information System (INIS)

    Cheong, Heajeong; Ogura, Shintaro; Ushijima, Hirobumi; Yoshida, Manabu; Fukuda, Nobuko; Uemura, Sei

    2015-01-01

    We fabricated solution-processed indium–gallium–zinc oxide (IGZO) thin-film transistors (TFTs) by microwave (MW) annealing an IGZO precursor film followed by irradiating with vacuum ultraviolet (VUV) light. MW annealing allows more rapid heating of the precursor film than conventional annealing processes using a hot plate or electric oven and promotes the crystallization of IGZO. VUV irradiation was used to reduce the duration and temperature of the post-annealing step. Consequently, the IGZO TFTs fabricated through MW annealing for 5 min and VUV irradiation for 1 min exhibited an on/off current ratio of 10 8 and a field-effect mobility of 0.3 cm 2  V −1  s −1 . These results indicate that MW annealing and photoirradiation is an effective combination for annealing solution processed IGZO precursor films to prepare the semiconductor layers of TFTs

  5. Structural, morphological and optical properties of thermal annealed TiO thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural, morphological and optical properties of TiO thin films grown by single source thermal evaporation method were studied. The films were annealed from 300 to 520 deg. C in air after evaporation. Qualitative film analysis was performed with X-ray diffraction, atomic force microscopy and optical transmittance and reflectance spectra. A correlation was established between the optical properties, surface roughness and growth morphology of the evaporated TiO thin films. The X-ray diffraction spectra indicated the presence of the TiO 2 phase for the annealing temperature above 400 deg. C

  6. Influence of annealing on X-ray radiation sensing properties of TiO2 thin film

    Science.gov (United States)

    Sarma, M. P.; Kalita, J. M.; Wary, G.

    2018-03-01

    A recent study shows that the titanium dioxide (TiO2) thin film synthesised by a chemical bath deposition technique is a very useful material for the X-ray radiation sensor. In this work, we reported the influence of annealing on the X-ray radiation detection sensitivity of the TiO2 film. The films were annealed at 333 K, 363 K, 393 K, 473 K, and 573 K for 1 hour. Structural analyses showed that the microstrain and dislocation density decreased whereas the average crystallite size increased with annealing. The band gap of the films also decreased from 3.26 eV to 3.10 eV after annealing. The I-V characteristics record under the dark condition and under the X-ray irradiation showed that the conductivity increased with annealing. The influence of annealing on the detection sensitivity was negligible if the bias voltage applied across the films was low (within 0.2 V‒1.0 V). At higher bias voltage (>1.0 V), the contribution of electrons excited by X-ray became less significant which affected the detection sensitivity.

  7. Control of crystal structure, morphology and optical properties of ceria films by post deposition annealing treatments

    International Nuclear Information System (INIS)

    Eltayeb, Asmaa; Vijayaraghavan, Rajani K.; McCoy, Anthony P.; Cullen, Joseph; Daniels, Stephen; McGlynn, Enda

    2016-01-01

    In this paper, the effects of post-deposition annealing temperature and atmosphere on the properties of pulsed DC magnetron sputtered ceria (CeO_2) thin films, including crystalline structure, grain size and shape and optical properties were investigated. Experimental results, obtained from X-ray diffraction (XRD), showed that the prepared films crystallised predominantly in the CeO_2 cubic fluorite structure, although evidence of Ce_2O_3 was also seen and this was quantified by a Rietveld refinement. The anneal temperature and oxygen content of the Ar/O_2 annealing atmosphere both played important roles on the size and shape of the nanocrystals as determined by atomic force microscopy (AFM). The average grain size (determined by an AFM) as well as the out of plane coherence length (obtained from XRD) varied with increasing oxygen flow rate (OFR) in the annealing chamber. In addition, the shape of the grains seen in the AFM studies transformed from circular to triangular as the OFR was raised from 20 sccm to 30 sccm during an 800 °C thermal anneal. X-ray photoelectron spectroscopy was used to measure near-surface oxidation states of the thin-films with varying OFR in the annealing chamber. The bandgap energies were estimated from the ultra-violet and visible absorption spectra and low-temperature photoluminescence. An extracted bandgap value of 3.04 eV was determined for as-deposited CeO_2 films and this value increased with increasing annealing temperatures. However, no difference was observed in bandgap energies with variation of annealing atmosphere. - Highlights: • Deposition of ceria thin films by pulsed DC magnetron sputtering • Effect of annealing temperature and gas ambient on film crystalline structure • Evidence for control of the film roughness and grain size and shape is achieved. • Investigation of the effect of post-deposition annealing on the film stoichiometry • Films showed blue shifts in bandgap energies with increasing annealing

  8. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  9. Annealing dependent evolution of columnar nanostructures in RF magnetron sputtered PTFE films for hydrophobic applications

    Science.gov (United States)

    Tripathi, S.; De, Rajnarayan; Maidul Haque, S.; Divakar Rao, K.; Misal, J. S.; Prathap, C.; Das, S. C.; Patidar, Manju M.; Ganesan, V.; Sahoo, N. K.

    2018-01-01

    Present communication focuses on a relatively less explored direction of producing rough polytetrafluoroethylene (PTFE) surfaces for possible hydrophobic applications. The experiments were carried out to make rough PTFE films without losing much of the transmission, which is an important factor while designing futuristic solar cell protection covers. After annealing temperature optimization, as grown RF magnetron sputtered PTFE films (prepared at 160 W RF power) were subjected to vacuum annealing at 200 °C for different time durations ranging from 1 to 4 h. The films show morphological evolution exhibiting formation and growth of columnar nanostructures that are responsible for roughening of the films due to annealing induced molecular migration and rearrangement. In agreement with this, qualitative analysis of corresponding x-ray reflectivity data shows modification in film thickness, which may again be attributed to the growth of columns at the expense of the atoms of remaining film molecules. However, the observations reveal that the film annealed at 200 °C for 2 h gives a combination of patterned columnar structures and reasonable transmission of >85% (in 500-1000 nm wavelength range), both of which are deteriorated when the films are annealed either at high temperature beyond 200 °C or for long durations >3 h. In addition, attenuated total reflection-Fourier transform infrared spectroscopy results reveal that the molecular bonds remain intact upon annealing at any temperature within the studied range indicating the stable nature of the films.

  10. Structural, electrical and optical studies of SILAR deposited cadmium oxide thin films: Annealing effect

    International Nuclear Information System (INIS)

    Salunkhe, R.R.; Dhawale, D.S.; Gujar, T.P.; Lokhande, C.D.

    2009-01-01

    Successive ionic layer adsorption and reaction (SILAR) method has been successfully employed for the deposition of cadmium oxide (CdO) thin films. The films were annealed at 623 K for 2 h in an air and changes in the structural, electrical and optical properties were studied. From the X-ray diffraction patterns, it was found that after annealing, H 2 O vapors from as-deposited Cd(O 2 ) 0.88 (OH) 0.24 were removed and pure cubic cadmium oxide was obtained. The as-deposited film consists of nanocrystalline grains of average diameter about 20-30 nm with uniform coverage of the substrate surface, whereas for the annealed film randomly oriented morphology with slight increase in the crystallite size has been observed. The electrical resistivity showed the semiconducting nature with room temperature electrical resistivity decreased from 10 -2 to 10 -3 Ω cm after annealing. The decrease in the band gap energy from 3.3 to 2.7 eV was observed after the annealing

  11. Effect of Vertical Annealing on the Nitrogen Dioxide Response of Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Sihui Hou

    2018-03-01

    Full Text Available Nitrogen dioxide (NO2 sensors based on organic thin-film transistors (OTFTs were fabricated by conventional annealing (horizontal and vertical annealing processes of organic semiconductor (OSC films. The NO2 responsivity of OTFTs to 15 ppm of NO2 is 1408% under conditions of vertical annealing and only 72% when conventional annealing is applied. Moreover, gas sensors obtained by vertical annealing achieve a high sensing performance of 589% already at 1 ppm of NO2, while showing a preferential response to NO2 compared with SO2, NH3, CO, and H2S. To analyze the mechanism of performance improvement of OTFT gas sensors, the morphologies of 6,13-bis(triisopropylsilylethynyl-pentacene (TIPS-pentacene films were characterized by atomic force microscopy (AFM in tapping mode. The results show that, in well-aligned TIPS-pentacene films, a large number of effective grain boundaries inside the conducting channel contribute to the enhancement of NO2 gas sensing performance.

  12. Influence of interdiffusion on the magnetic properties of Co/Si (100) films after high magnetic field annealing

    International Nuclear Information System (INIS)

    Zhao, Yue; Wang, Kai; Wang, Qiang; Li, Guojian; Lou, Changsheng; Pang, Hongxuan; He, Jicheng

    2015-01-01

    The influence of interdiffusion on the magnetic properties of Co/Si (100) films after thermal annealing in the presence of a strong magnetic field was investigated. The interdiffusion coefficients of films that were annealed at temperatures of 380 °C and 420 °C in the presence of high magnetic fields were not affected. However, the interdiffusion coefficient of films annealed at 400 °C in the presence of a high magnetic field decreased significantly. The change in the interdiffusion coefficient, caused by high magnetic field annealing, increased the content of the magnetic phase. This increase in the magnetic phase improved the saturation magnetization. A new method of high magnetic field annealing is presented that can modulate the diffusion and magnetic properties of thin films. - Highlights: • Interdiffusion of Co/Si (100) films by high magnetic field annealing was studied. • Thickness of the diffusion layer was reduced by magnetic field annealing at 400 °C. • Interdiffusion coefficient decreased following magnetic field annealing at 400 °C. • Saturation magnetization increased after high magnetic field annealing at 400 °C

  13. Effect of annealing temperature on the tribological behavior of ZnO films prepared by sol-gel method

    International Nuclear Information System (INIS)

    Lin Liyu; Kim, Dae-Eun

    2009-01-01

    The tribological behavior of zinc oxide (ZnO) films grown on glass and silicon (100) substrates by sol-gel method was investigated. Particularly, the as-coated films were post-annealed at different temperatures in air to investigate the effect of annealing temperature. Crystal structural and surface morphology of the films were measured by X-ray diffraction (XRD) and Atomic Force Microscopy (AFM). XRD patterns and AFM images indicated that the crystallinity and grain size of the films were enhanced and increased, respectively, with temperature. The tribological behavior of films was evaluated by sliding the ZnO films against a Si 3 N 4 ball under 0.5 gf normal load using a reciprocating pin-on-plate tribo-tester. The wear tracks of the films were measured by AFM to quantify the wear resistance of the films. The results showed that the wear resistance of the films could be improved by the annealing process. The wear resistance of the films generally increased with annealing temperature. Specifically, the wear resistance of the films was significantly improved when the annealing temperature was higher than 550 deg. C. The increase in the wear resistance is attributed to the increase in hardness and modulus of the film with annealing temperature

  14. Perpendicular Structure Formation of Block Copolymer Thin Films during Thermal Solvent Vapor Annealing: Solvent and Thickness Effects

    Directory of Open Access Journals (Sweden)

    Qiuyan Yang

    2017-10-01

    Full Text Available Solvent vapor annealing of block copolymer (BCP thin films can produce a range of interesting morphologies, especially when the perpendicular orientation of micro-domains with respect to the substrate plays a role. This, for instance, allows BCP thin films to serve as useful templates for nanolithography and hybrid materials preparation. However, precise control of the arising morphologies is essential, but in most cases difficult to achieve. In this work, we investigated the solvent and thickness effects on the morphology of poly(styrene-b-2 vinyl pyridine (PS-b-P2VP thin films with a film thickness range from 0.4 L0 up to 0.8 L0. Ordered perpendicular structures were achieved. One of the main merits of our work is that the phase behavior of the ultra-high molecular weight BCP thin films, which hold a 100-nm sized domain distance, can be easily monitored via current available techniques, such as scanning electron microscope (SEM, atomic force microscope (AFM, and transmission electron microscope (TEM. Systematic monitoring of the self-assembly behavior during solvent vapor annealing can thus provide an experimental guideline for the optimization of processing conditions of related BCP films systems.

  15. Annealing temperature dependence of the structures and properties of Co-implanted ZnO films

    International Nuclear Information System (INIS)

    Chen, Bin; Tang, Kun; Gu, Shulin; Ye, Jiandong; Huang, Shimin; Gu, Ran; Zhang, Yang; Yao, Zhengrong; Zhu, Shunming; Zheng, Youdou

    2014-01-01

    Highlights: • To avoid the forming of Co clusters and explore the origin of the magnetism, detailed investigation on the properties of the Co-implanted ZnO films with a rather low dose of 8 × 10 15 cm −2 and high implantation energy of 1 MeV were carried out. • The crystalline structure of the damaged region caused by ion-implantation has been recovered via the thermal annealing treatment at the temperature of 900 °C and above. • The low temperature magnetic hysteresis loops have indicated paramagnetism for the annealed films with weak ferromagnetic characteristics. • The zero-field cooling (ZFC) magnetization curves of the Co-implanted ZnO samples have varied from concave shape to convex one as the annealing temperature increased from 750 °C to 1000 °C. - Abstract: The effects of thermal annealing treatment on the structural, electrical, optical and magnetic properties of Co-implanted ZnO (0 0 0 1) films have been investigated in detail. The crystalline structure of the damaged region caused by ion implantation has been recovered via the thermal annealing at the temperature of 900 °C and above, and no Co clusters or its related oxide phases have been observed. The electrical and optical properties of the annealed films have shown strong dependence on the annealing temperature. The zero field cooling magnetization curves of the annealed films have varied from concave shape to convex one as the annealing temperature increased from 750 °C to 1000 °C, which are possibly tuned by the changes of the ratio of the itinerant carriers over the localized spin density. The low temperature magnetic hysteresis loops have indicated paramagnetic behavior for the annealed films with weak ferromagnetic characteristics. The ferromagnetism is attributed to the substituted Co 2+ ions and vacancy defects, while the paramagnetism could be induced by ionized interstitial Zn defects

  16. Rapid preparation of solution-processed InGaZnO thin films by microwave annealing and photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Heajeong; Ogura, Shintaro; Ushijima, Hirobumi; Yoshida, Manabu; Fukuda, Nobuko; Uemura, Sei, E-mail: sei-uemura@aist.go.jp [Flexible Electronics Research Center (FLEC), National Institute of Advanced Industrial Science and Technology, Central 5, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8565 (Japan)

    2015-06-15

    We fabricated solution-processed indium–gallium–zinc oxide (IGZO) thin-film transistors (TFTs) by microwave (MW) annealing an IGZO precursor film followed by irradiating with vacuum ultraviolet (VUV) light. MW annealing allows more rapid heating of the precursor film than conventional annealing processes using a hot plate or electric oven and promotes the crystallization of IGZO. VUV irradiation was used to reduce the duration and temperature of the post-annealing step. Consequently, the IGZO TFTs fabricated through MW annealing for 5 min and VUV irradiation for 1 min exhibited an on/off current ratio of 10{sup 8} and a field-effect mobility of 0.3 cm{sup 2} V{sup −1} s{sup −1}. These results indicate that MW annealing and photoirradiation is an effective combination for annealing solution processed IGZO precursor films to prepare the semiconductor layers of TFTs.

  17. Influence of annealing temperature on Raman and photoluminescence spectra of electron beam evaporated TiO₂ thin films.

    Science.gov (United States)

    Vishwas, M; Narasimha Rao, K; Chakradhar, R P S

    2012-12-01

    Titanium dioxide (TiO(2)) thin films were deposited on fused quartz substrates by electron beam evaporation method at room temperature. The films were annealed at different temperatures in ambient air. The surface morphology/roughness at different annealing temperatures were analyzed by atomic force microscopy (AFM). The crystallinity of the film has improved with the increase of annealing temperature. The effect of annealing temperature on optical, photoluminescence and Raman spectra of TiO(2) films were investigated. The refractive index of TiO(2) films were studied by envelope method and reflectance spectra and it is observed that the refractive index of the films was high. The photoluminescence intensity corresponding to green emission was enhanced with increase of annealing temperature. The peaks in Raman spectra depicts that the TiO(2) film is of anatase phase after annealing at 300°C and higher. The films show high refractive index, good optical quality and photoluminescence characteristics suggest that possible usage in opto-electronic and optical coating applications. Copyright © 2012 Elsevier B.V. All rights reserved.

  18. Effect of annealing time on morphological characteristics of Ba(Zr,Ti)O3 thin films

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Anicete-Santos, M.; Pontes, F.M.; Souza, I.A.; Santos, L.P.S.; Rosa, I.L.V.; Santos, M.R.M.C.; Santos-Junior, L.S.; Leite, E.R.; Longo, E.

    2007-01-01

    Ba(Zr 0.50 Ti 0.50 )O 3 thin films were prepared by the polymeric precursor method using the annealing low temperature of 300 o C for 8, 16, 24, 48, 96 and 192h in a furnace tube with oxygen atmosphere. The X-ray diffraction patterns revealed that the film annealed for 192 h presented some crystallographic planes (1bar 0bar 0) (1bar 1bar 0) and (2bar 0bar 0) in its crystalline lattice. Fourier transformed infrared presented the formation of metal-oxygen stretching at around 756cm -1 . The atomic force microscopy analysis presented the growth of granules in the Ba(Zr 0.50 Ti 0.50 )O 3 films annealed from 8 to 96h. The crystalline film annealed for 192h already presents grains in its perovskite structure. It evidenced a reduction in the thickness of the thin films with the increase of the annealing time

  19. Chemical Annealing of Zinc Tetraphenylporphyrin Films: Effects on Film Morphology and Organic Photovoltaic Performance

    KAUST Repository

    Trinh, Cong; Whited, Matthew T.; Steiner, Andrew; Tassone, Christopher J.; Toney, Michael F.; Thompson, Mark E.

    2012-01-01

    We present a chemical annealing process for organic thin films. In this process, a thin film of a molecular material, such as zinc tetraphenylporphyrin (ZnTPP), is exposed to a vapor of nitrogen-based ligand (e.g., pyrazine, pz, and triazine, tz

  20. Preparation of nickel oxide thin films at different annealing temperature by sol-gel spin coating method

    Energy Technology Data Exchange (ETDEWEB)

    Abdullah, M. A. R., E-mail: ameerridhwan89@gmail.com; Mamat, M. H., E-mail: hafiz-030@yahoo.com; Ismail, A. S., E-mail: kyrin-samaxi@yahoo.com [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Malek, M. F., E-mail: firz-solarzelle@yahoo.com [NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia); Alrokayan, Salman A. H., E-mail: dr.salman@alrokayan.com; Khan, Haseeb A., E-mail: khan-haseeb@yahoo.com [Chair of Targeting and Treatment of Cancer Using Nanoparticles, Deanship of Scientific Research, King Saud University (KSU), Riyadh 11451 (Saudi Arabia); Rusop, M., E-mail: rusop@salam.uitm.my [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    Preparation of NiO thin films at different annealing temperature by sol-gel method was conducted to synthesize the quality of the surface thin films. The effects of annealing temperature on the surface topology were systematically investigated. Our studies confirmed that the surface roughness of the thin films was increased whenever annealing temperature was increase. NiO thin films morphology structure analysis was confirmed by field emission scanning electron microscope. Surface roughness of the thin films was investigated by atomic force microscopy.

  1. Preparation of nickel oxide thin films at different annealing temperature by sol-gel spin coating method

    International Nuclear Information System (INIS)

    Abdullah, M. A. R.; Mamat, M. H.; Ismail, A. S.; Malek, M. F.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2016-01-01

    Preparation of NiO thin films at different annealing temperature by sol-gel method was conducted to synthesize the quality of the surface thin films. The effects of annealing temperature on the surface topology were systematically investigated. Our studies confirmed that the surface roughness of the thin films was increased whenever annealing temperature was increase. NiO thin films morphology structure analysis was confirmed by field emission scanning electron microscope. Surface roughness of the thin films was investigated by atomic force microscopy.

  2. Effect of annealing temperatures on the morphology and structural properties of PVDF/MgO nanocomposites thin films

    Science.gov (United States)

    Rozana, M. D.; Arshad, A. N.; Wahid, M. H. M.; Habibah, Z.; Sarip, M. N.; Rusop, M.

    2018-05-01

    This study investigates the effect of annealing on the topography, morphology and crystal phases of poly(vinylideneflouride)/Magnesium Oxide (MgO) nanocomposites thin films via AFM, FESEM and ATR-FTIR. The nanocomposites thin films were annealed at temperatures ranging from 70°C to 170°C. The annealed PVDF/MgO nanocomposites thin films were then cooled at room temperature before removal from the oven. This is to restructure the crystal lattice and to reduce imperfection for the PVDF/MgO nanocomposites thin films. PVDF/MgO nanocomposites thin films with annealing temperatures of 70°C, 90°C and 110°C showed uniform distribution of MgO nanoparticles, relatively low average surface roughness and no visible of defects. High application of annealing temperature on PVDF/MgO nanocomposites thin films caused tear-like defects on the thin films surface as observed by FESEM. The PVDF/MgO nanocomposites thin films annealed at 70°C was found to be a favourable film to be utilized in this study due to its enhanced β-crystalites of PVDF as evident in ATR-FTIR spectra.

  3. Rapid thermal annealing of Ti-rich TiNi thin films: A new approach to fabricate patterned shape memory thin films

    International Nuclear Information System (INIS)

    Motemani, Y.; Tan, M.J.; White, T.J.; Huang, W.M.

    2011-01-01

    This paper reports the rapid thermal annealing (RTA) of Ti-rich TiNi thin films, synthesized by the co-sputtering of TiNi and Ti targets. Long-range order of aperiodic alloy could be achieved in a few seconds with the optimum temperature of 773 K. Longer annealing (773 K/240 s), transformed the film to a poorly ordered vitreous phase, suggesting a novel method for solid state amorphization. Reitveld refinement analyses showed significant differences in structural parameters of the films crystallized by rapid and conventional thermal annealing. Dependence of the elastic modulus on the valence electron density (VED) of the crystallized films was studied. It is suggested that RTA provides a new approach to fabricate patterned shape memory thin films.

  4. Formation of copper-indium-selenide and/or copper-indium-gallium-selenide films from indium selenide and copper selenide precursors

    Science.gov (United States)

    Curtis, Calvin J [Lakewood, CO; Miedaner, Alexander [Boulder, CO; Van Hest, Maikel [Lakewood, CO; Ginley, David S [Evergreen, CO; Nekuda, Jennifer A [Lakewood, CO

    2011-11-15

    Liquid-based indium selenide and copper selenide precursors, including copper-organoselenides, particulate copper selenide suspensions, copper selenide ethylene diamine in liquid solvent, nanoparticulate indium selenide suspensions, and indium selenide ethylene diamine coordination compounds in solvent, are used to form crystalline copper-indium-selenide, and/or copper indium gallium selenide films (66) on substrates (52).

  5. Step driven competitive epitaxial and self-limited growth of graphene on copper surface

    Directory of Open Access Journals (Sweden)

    Lili Fan

    2011-09-01

    Full Text Available The existence of surface steps was found to have significant function and influence on the growth of graphene on copper via chemical vapor deposition. The two typical growth modes involved were found to be influenced by the step morphologies on copper surface, which led to our proposed step driven competitive growth mechanism. We also discovered a protective role of graphene in preserving steps on copper surface. Our results showed that wide and high steps promoted epitaxial growth and yielded multilayer graphene domains with regular shape, while dense and low steps favored self-limited growth and led to large-area monolayer graphene films. We have demonstrated that controllable growth of graphene domains of specific shape and large-area continuous graphene films are feasible.

  6. Annealing impact on the structural and photoluminescence properties of ZnO thin films on Ag substrates

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Lai, Min; Pei, Shixin

    2014-01-01

    Graphical abstract: The Gaussian fitting indicates that the PL spectra of the ZnO thin films include four emission peaks which are centered at 380, 520, 570 and 610 nm, respectively. The ZnO thin film deposited on an Ag substrate shows a stronger green emission and a weaker UV emission than the ZnO thin film directly deposited on a Si substrate annealed at 400 °C. With the rise of annealing temperature, the visible emission intensity and wavelength are largely changed. Highlights: • ZnO thin films have been prepared on Ag substrates by sol–gel method. • The Ag substrates have a great effect on the photoluminescence of ZnO thin films. • All the films exhibit three visible emission bands including green, yellow and red. • Annealing causes a large change of the visible emission intensity and wavelength. -- Abstract: In this work, ZnO thin films were prepared by sol–gel method on Ag substrates. The structural and optical properties of the films annealed at different temperatures were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM) and photoluminescence, respectively. The results of XRD showed that all the ZnO thin films had a wurtzite phase and were preferentially oriented along the c-axis direction. The sample annealed at 400 °C exhibited better crystalline quality than the ZnO thin film directly deposited on a Si substrate annealed at the same temperature. The photoluminescence spectra showed that ZnO thin films had an ultraviolet emission band and three visible emission bands including green, yellow and red band. The sample annealed at 400 °C exhibited a stronger green emission and a weaker ultraviolet emission compared with the ZnO thin film deposited on a Si substrate annealed at the same temperature. The difference of the luminescence properties was thought to be originated from different substrates. As for the ZnO films on Ag substrates, the increase of annealing temperature led to different changes of visible emissions

  7. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  8. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  9. Orientation of rapid thermally annealed lead zirconate titanate thin films on (111) Pt substrate

    International Nuclear Information System (INIS)

    Brooks, K.G.; Reaney, I.M.; Klissurska, R.; Huang, Y.; Bursill, L.A.; Setter, N.

    1994-01-01

    The nucleation, growth and orientation of lead zirconate titanate thin films prepared from organometallic precursor solutions by spin coating on (111) oriented platinum substrates and crystallized by rapid thermal annealing was investigated. The effects of pyrolysis temperature, post-pyrolysis thermal treatments, excess lead addition, and Nb dopant substitution are reported. The use of post pyrolysis oxygen anneals at temperatures in the regime of 350-450 deg C was found to strongly effect the kinetics of subsequent amorphous-pyrochlore perovskite crystallization by rapid thermal annealing. It has also allowed films of reproducible microstructure and textures (both (100) and (111)) to be prepared by rapid thermal annealing. It is suggested that such anneals and pyrolysis temperature affect the oxygen concentration/average Pb valence in the amorphous films prior to annealing. The changes in Pb valence state then affect the stability of the transient pyrochlore phase and thus the kinetics of perovskite crystallization. Nb dopant was also found to influence the crystallization kinetics. 28 refs., 18 figs

  10. Precursors for formation of copper selenide, indium selenide, copper indium diselenide, and/or copper indium gallium diselenide films

    Science.gov (United States)

    Curtis, Calvin J; Miedaner, Alexander; Van Hest, Maikel; Ginley, David S

    2014-11-04

    Liquid-based precursors for formation of Copper Selenide, Indium Selenide, Copper Indium Diselenide, and/or copper Indium Galium Diselenide include copper-organoselenides, particulate copper selenide suspensions, copper selenide ethylene diamine in liquid solvent, nanoparticulate indium selenide suspensions, and indium selenide ethylene diamine coordination compounds in solvent. These liquid-based precursors can be deposited in liquid form onto substrates and treated by rapid thermal processing to form crystalline copper selenide and indium selenide films.

  11. Processing of Copper Zinc Tin Sulfide Nanocrystal Dispersions for Thin Film Solar Cells

    Science.gov (United States)

    Williams, Bryce Arthur

    A scalable and inexpensive renewable energy source is needed to meet the expected increase in electricity demand throughout the developed and developing world in the next 15 years without contributing further to global warming through CO2 emissions. Photovoltaics may meet this need but current technologies are less than ideal requiring complex manufacturing processes and/or use of toxic, rare-earth materials. Copper zinc tin sulfide (Cu 2ZnSnS4, CZTS) solar cells offer a true "green" alternative based upon non-toxic and abundant elements. Solution-based processes utilizing CZTS nanocrystal dispersions followed by high temperature annealing have received significant research attention due to their compatibility with traditional roll-to-roll coating processes. In this work, CZTS nanocrystal (5-35 nm diameters) dispersions were utilized as a production pathway to form solar absorber layers. Aerosol-based coating methods (aerosol jet printing and ultrasonic spray coating) were optimized for formation of dense, crack-free CZTS nanocrystal coatings. The primary variables underlying determination of coating morphology within the aerosol-coating parameter space were investigated. It was found that the liquid content of the aerosol droplets at the time of substrate impingement play a critical role. Evaporation of the liquid from the aerosol droplets during coating was altered through changes to coating parameters as well as to the CZTS nanocrystal dispersions. In addition, factors influencing conversion of CZTS nanocrystal coatings into dense, large-grained polycrystalline films suitable for solar cell development during thermal annealing were studied. The roles nanocrystal size, carbon content, sodium uptake, and sulfur pressure were found to have pivotal roles in film microstructure evolution. The effects of these parameters on film morphology, grain growth rates, and chemical makeup were analyzed from electron microscopy images as well as compositional analysis

  12. Influence of film structure on the dewetting kinetics of thin polymer films in the solvent annealing process.

    Science.gov (United States)

    Zhang, Huanhuan; Xu, Lin; Lai, Yuqing; Shi, Tongfei

    2016-06-28

    On a non-wetting solid substrate, the solvent annealing process of a thin polymer film includes the swelling process and the dewetting process. Owing to difficulties in the in situ analysis of the two processes simultaneously, a quantitative study on the solvent annealing process of thin polymer films on the non-wetting solid substrate is extremely rare. In this paper, we design an experimental method by combining spectroscopic ellipsometry with optical microscopy to achieve the simultaneous in situ study. Using this method, we investigate the influence of the structure of swollen film on its dewetting kinetics during the solvent annealing process. The results show that for a thin PS film with low Mw (Mw = 4.1 kg mol(-1)), acetone molecules can form an ultrathin enriched layer between the PS film and the solid substrate during the swelling process. The presence of the acetone enriched layer accounts for the exponential kinetic behavior in the case of a thin PS film with low Mw. However, the acetone enriched layer is not observed in the case of a thin PS film with high Mw (Mw = 400 kg mol(-1)) and the slippage effect of polymer chains is valid during the dewetting process.

  13. Effects of annealing time on the structure, morphology, and stress of gold–chromium bilayer film

    International Nuclear Information System (INIS)

    Zhang Hong; Wang Hu; Huang Hao-Peng; Jin Yun-Xia; Kong Fang-Yu; Cui Yun

    2016-01-01

    In this work, a 200-nm-thick gold film with a 10-nm-thick chromium layer used as an adhesive layer is fabricated on fused silica by the electron beam evaporation method. The effects of annealing time at 300 °C on the structure, morphology and stress of the film are studied. We find that chromium could diffuse to the surface of the film by formatting a solid solution with gold during annealing. Meanwhile, chromium is oxidized on the surface and diffused downward along the grain grooves in the gold film. The various operant mechanisms that change the residual stresses of gold films for different annealing times are discussed. (paper)

  14. Study of post annealing influence on structural, chemical and electrical properties of ZTO thin films

    International Nuclear Information System (INIS)

    Jain, Vipin Kumar; Kumar, Praveen; Kumar, Mahesh; Jain, Praveen; Bhandari, Deepika; Vijay, Y.K.

    2011-01-01

    Research highlights: → Structural, chemical and electrical properties of cost effective ZTO thin films with varying concentrations. → Effect of annealing of ZTO films. - Abstract: Zinc-Tin-Oxide (ZTO) thin films were deposited on glass substrate with varying concentrations (ZnO:SnO 2 ; 100:0, 90:10, 70:30 and 50:50 wt.%) at room temperature by flash evaporation technique. These deposited ZTO films were annealed at 450 deg. C in vacuum. These films were characterized to study the effect of annealing and addition of SnO 2 concentration on the structural, chemical and electrical properties. The XRD analysis indicates that crystallization of the ZTO films strongly depends on the concentration of SnO 2 and post annealing where annealed films showed polycrystalline nature. Atomic force microscopy (AFM) images manifest the surface morphology of these ZTO thin films. The XPS core level spectra of Zn(2p), O(1s) and Sn(3d) have been deconvoluted into their Gaussian component to evaluate the chemical changes, while valence band spectra reveal the electronic structures of these films. A small shift in Zn(2p) and Sn(3d) core level towards higher binding energy and O(1s) core level towards lower binding energy have been observed. The minimum electrical resistivity (ρ ∼ 3.69 x 10 -2 Ω-cm), maximum carrier concentration (n ∼ 3.26 x 10 19 cm -3 ) and Hall mobility (μ ∼ 5.2 cm 2 v -1 s -1 ) were obtained for as-prepared ZTO (50:50) film thereafter move towards lowest resistivity (ρ ∼ 1.12 x 10 -3 Ω-cm), highest carrier concentration (n ∼ 2.96 x 10 20 cm -3 ) and mobility (μ ∼ 18.8 cm 2 v -1 s -1 ) for annealed ZTO (50:50) thin film.

  15. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    Energy Technology Data Exchange (ETDEWEB)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr [Faculty of Education, Hakkari Universty, 30000, Hakkari (Turkey); Gumus, Cebrail [Faculty of Science and Letters, Cukurova University, 01330, Adana (Turkey)

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized in the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.

  16. Influence of annealing atmosphere on structural and superconducting properties of MgB{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gregor, M., E-mail: gregor@fmph.uniba.sk; Plecenik, T.; Sobota, R.; Brndiarova, J.; Roch, T.; Satrapinskyy, L.; Kus, P.; Plecenik, A.

    2014-09-01

    Highlights: • Superconducting MgB{sub 2} thin film were deposited by co-deposition using the thermal and e-beam evaporation. • Ex situ annealing process was done using various atmospheres. • Influence of annealing atmosphere and temperature on superconducting and structural properties were studied. • Possible mechanisms of the formation and crystallization of MgB{sub 2} thin film are discussed. - Abstract: Influence of an ex situ annealing temperature and atmosphere on chemical composition and structural and superconducting properties of MgB{sub 2} thin films deposited by vacuum evaporation has been investigated. The annealing has been done in Ar, N{sub 2} and Ar + 5%H{sub 2} atmospheres at pressure of 700 Pa and temperature varying from 700 to 800 °C. It has been shown that annealing in Ar and N{sub 2} atmosphere at 700–800 °C produces relatively thick MgO layer on the surface of the films, while creation of such layer is highly reduced if the annealing is done in reducing Ar + 5%H{sub 2} atmosphere. The XPS and XRD results suggest that the MgO layer prevents out-diffusion of Mg from the film during the annealing, what assures better stoichiometry of the films as well as creation of larger MgB{sub 2} grains. The films with the highest amount of MgO on the surface, annealed in nitrogen atmosphere, thus paradoxically exhibited the highest critical temperature of T{sub c0} = 34.8 K with very sharp transition width of 0.1 K.

  17. Characterization of in-situ annealed sub-micron thick Cu(In,Ga)Se{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Byoung-Soo; Sung, Shi-Joon; Hwang, Dae-Kue, E-mail: dkhwang@dgist.ac.kr

    2015-09-01

    Sub-micron thick Cu(In,Ga)Se{sub 2} (CIGS) thin films were deposited on Mo-coated soda-lime glass substrates under various conditions by single-stage co-evaporation. Generally, the short circuit current (J{sub sc}) decreased with the decreasing thickness of the absorber layer. However, in this study, J{sub sc} was nearly unchanged with decreasing thickness, while the open circuit voltage (V{sub oc}) and fill factor (FF) decreased by 31.9 and 31.1%, respectively. We believe that the remarkable change of V{sub oc} and FF can be attributed to the difference in the total amount of injected thermal energy. Using scanning electron microscopy, we confirmed that the surface morphology becomes smooth and the grain size increased after the annealing process. In the X-ray diffraction patterns, the CIGS thin film also showed an improved crystal quality. We observed that the electric properties were improved by the in-situ annealing of CIGS thin films. The reverse saturation current density of the annealed CIGS solar cell was 100 times smaller than that of reference solar cell. Thus, sub-micron CIGS thin films annealed under a constant Se rate showed a 64.7% improvement in efficiency. - Highlights: • The effects of in-situ annealing the sub-micron CIGS film have been investigated. • The surface morphology and the grain size were improved by in-situ annealing. • The V{sub oc} and FF of the films were increased by about 30% after in-situ annealing. • In-situ annealing of sub-micron thick CIGS films can be improved an efficiency.

  18. Crystallization to polycrystalline silicon thin film and simultaneous inactivation of electrical defects by underwater laser annealing

    Energy Technology Data Exchange (ETDEWEB)

    Machida, Emi [Graduate School of Materials Science, Nara Institute of Science and Technology, 8916-5 Takayama-cho, Ikoma, Nara 630-0192 (Japan); Research Fellowships of the Japan Society for the Promotion of Science, Japan Society for the Promotion of Science, 1-8 Chiyoda, Tokyo 102-8472 (Japan); Horita, Masahiro; Ishikawa, Yasuaki; Uraoka, Yukiharu [Graduate School of Materials Science, Nara Institute of Science and Technology, 8916-5 Takayama-cho, Ikoma, Nara 630-0192 (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Agency, Honcho, Kawaguchi, Saitama 332-0012 (Japan); Ikenoue, Hiroshi [Graduate School of Information Science and Electrical Engineering, Kyushu University, 744 Motooka Nishi-ku, Fukuoka 819-0395 (Japan)

    2012-12-17

    We propose a low-temperature laser annealing method of a underwater laser annealing (WLA) for polycrystalline silicon (poly-Si) films. We performed crystallization to poly-Si films by laser irradiation in flowing deionized-water where KrF excimer laser was used for annealing. We demonstrated that the maximum value of maximum grain size of WLA samples was 1.5 {mu}m, and that of the average grain size was 2.8 times larger than that of conventional laser annealing in air (LA) samples. Moreover, WLA forms poly-Si films which show lower conductivity and larger carrier life time attributed to fewer electrical defects as compared to LA poly-Si films.

  19. Nanocarbon-copper thin film as transparent electrode

    International Nuclear Information System (INIS)

    Isaacs, R. A.; Zhu, H.; Preston, Colin; LeMieux, M.; Jaim, H. M. Iftekhar; Hu, L.; Salamanca-Riba, L. G.; Mansour, A.; Zavalij, P. Y.; Rabin, O.

    2015-01-01

    Researchers seeking to enhance the properties of metals have long pursued incorporating carbon in the metallic host lattice in order to combine the strongly bonded electrons in the metal lattice that yield high ampacity and the free electrons available in carbon nanostructures that give rise to high conductivity. The incorporation of carbon nanostructures into the copper lattice has the potential to improve the current density of copper to meet the ever-increasing demands of nanoelectronic devices. We report on the structure and properties of carbon incorporated in concentrations up to 5 wt. % (∼22 at. %) into the crystal structure of copper. Carbon nanoparticles of 5 nm–200 nm in diameter in an interconnecting carbon matrix are formed within the bulk Cu samples. The carbon does not phase separate after subsequent melting and re-solidification despite the absence of a predicted solid solution at such concentrations in the C-Cu binary phase diagram. This material, so-called, Cu covetic, makes deposition of Cu films containing carbon with similar microstructure to the metal possible. Copper covetic films exhibit greater transparency, higher conductivity, and resistance to oxidation than pure copper films of the same thickness, making them a suitable choice for transparent conductors

  20. Nanocarbon-copper thin film as transparent electrode

    Energy Technology Data Exchange (ETDEWEB)

    Isaacs, R. A.; Zhu, H.; Preston, Colin; LeMieux, M.; Jaim, H. M. Iftekhar; Hu, L., E-mail: binghu@umd.edu; Salamanca-Riba, L. G., E-mail: riba@umd.edu [Materials Science and Engineering Department, University of Maryland, College Park, Maryland 20742 (United States); Mansour, A. [Carderock Division, Naval Surface Warfare Center, West Bethesda, Maryland 20817 (United States); Zavalij, P. Y. [Department of Chemistry and Biochemistry, University of Maryland, College Park, Maryland 20742 (United States); Rabin, O. [Materials Science and Engineering Department, University of Maryland, College Park, Maryland 20742 (United States); Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States)

    2015-05-11

    Researchers seeking to enhance the properties of metals have long pursued incorporating carbon in the metallic host lattice in order to combine the strongly bonded electrons in the metal lattice that yield high ampacity and the free electrons available in carbon nanostructures that give rise to high conductivity. The incorporation of carbon nanostructures into the copper lattice has the potential to improve the current density of copper to meet the ever-increasing demands of nanoelectronic devices. We report on the structure and properties of carbon incorporated in concentrations up to 5 wt. % (∼22 at. %) into the crystal structure of copper. Carbon nanoparticles of 5 nm–200 nm in diameter in an interconnecting carbon matrix are formed within the bulk Cu samples. The carbon does not phase separate after subsequent melting and re-solidification despite the absence of a predicted solid solution at such concentrations in the C-Cu binary phase diagram. This material, so-called, Cu covetic, makes deposition of Cu films containing carbon with similar microstructure to the metal possible. Copper covetic films exhibit greater transparency, higher conductivity, and resistance to oxidation than pure copper films of the same thickness, making them a suitable choice for transparent conductors.

  1. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  2. Optoelectronic study and annealing stability of room temperature pulsed laser ablated ZnSe polycrystalline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Khan, Taj Muhammad, E-mail: tajakashne@gmail.com; Zakria, M.; Ahmad, Mushtaq; Shakoor, Rana I.

    2014-03-15

    In principal, we described stability of the room temperature ZnSe thin films with thermal annealing deposited onto glass by pulsed laser deposition technique using third harmonic 355 nm of Nd: YAG laser beam. Optoelectronic analysis and stability with thermal annealing was described in terms of structural and optical properties. These properties were investigated via X-ray diffraction, atomic force microscope, scanning electron microscope, Raman, Fourier transform infrared and photoluminescence spectroscopies. From the strong reflection corresponding to the (1 1 1) plane (2θ=27.48°) and the longitudinal optical “LO” phonon modes at 250 cm{sup −1} and 500 cm{sup −1} in the X-ray diffraction and Raman spectra, a polycrystalline zincblende structure of the film was established. At 300 and 350 °C annealing temperatures, the film crystallites were preferentially oriented with the (1 1 1) plane parallel to the substrate and became amorphous at 400 °C. Atomic force microscopic images showed that the morphologies of ZnSe films became smooth with root mean squared roughness 9.86 nm after annealing at 300 and 350 °C while a rougher surface was observed for the amorphous film at 400 °C. Fourier transform infrared study illustrated the chemical nature and Zn–Se bonding in the deposited films. For the as-deposited and annealed samples at 300 and 350 °C, scanning electron micrographs revealed mono-dispersed indistinguishable ZnSe grains and smooth morphological structure which changed to a cracking and bumpy surface after annealing at 400 °C. The physical phenomenon of annealing induced morphological changes could be explained in terms of “structure zone model”. Excitonic emission at 456 nm was observed for both as-deposited and annealed film at 350 °C. The transmission spectrum shows oscillatory behavior because of the thin film interference and exhibited a high degree of transparency down to a wavelength ∼500 nm in the IR region. Energy band-gap was

  3. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  4. Effect of annealing and In content on the properties of electron beam evaporated ZnO films

    Science.gov (United States)

    Mohamed, S. H.; Ali, H. M.; Mohamed, H. A.; Salem, A. M.

    2005-08-01

    The effect of both annealing and In content on the properties of ZnO films prepared by electron beam evaporation were investigated. The evaporation was carried out at room temperature from bulk samples prepared by sintering technique. X-ray diffraction showed that the structure of ZnO-In{2}O{3} films depends on both the In content and annealing temperature. Amorphous, highly transparent and relatively low resistive films which can be suitable for the usage as transparent electrode of organic light-emitting diode were obtained upon annealing at 300 circC. Partially crystalline, highly transparent and highly resistive films which can be used in piezoelectric applications were obtained upon annealing at 500 circC. For each composition the refractive index has no monotonic variation upon increasing annealing temperature.

  5. Effects of Ge- and Sb-doping and annealing on the tunable bandgaps of SnS films

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Hsuan-Tai; Chiang, Ming-Hung; Huang, Chen-Hao [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Lin, Wen-Tai, E-mail: wtlin@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Fu, Yaw-Shyan [Department of Greenergy, National University of Tainan, Tainan 700, Taiwan (China); Guo, Tzung-Fang [Department of Photonics, Advanced Optoelectronic Technology Center, National Cheng Kung University, Tainan 701, Taiwan (China)

    2015-06-01

    SnS, Ge- and Sb-doped SnS films with single orthorhombic SnS phase were fabricated via solvothermal routes and subsequent spin-coating, respectively. The substitution solubilities of Ge and Sb in SnS are about 6 and 5 at.%, respectively. The bandgaps of Ge- and Sb-doped SnS films can be tuned in the ranges of 1.25–1.35 and 1.30–1.39 eV, respectively. The possible mechanisms for the tunable bandgaps of Ge- and Sb-doped SnS films are discussed. For the Ge- and Sb-doped SnS films subjected to annealing at 200–350 °C in N{sub 2}, the bandgaps of 200 °C-annealed films remain unchanged, while those of 300 °C- and 350 °C-annealed films decrease with the annealing temperature because of the evaporation of Ge and Sb respectively. - Highlights: • Ge- and Sb-doped SnS films were fabricated via spin-coating. • The solubilities of Ge and Sb in SnS are about 6 and 5 at.%, respectively. • The bandgaps of SnS films can be tuned by Ge and Sb doping respectively. • Annealing above 300 °C reduces the bandgaps of Ge- and Sb-doped SnS films.

  6. Electrical properties of vacuum-annealed titanium-doped indium oxide films

    NARCIS (Netherlands)

    Yan, L.T.; Rath, J.K.; Schropp, R.E.I.

    2011-01-01

    Titanium-doped indium oxide (ITiO) films were deposited on Corning glass 2000 substrates at room temperature by radio frequency magnetron sputtering followed by vacuum post-annealing. With increasing deposition power, the as-deposited films showed an increasingly crystalline nature. As-deposited

  7. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  8. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  9. Investigation of gallium redistribution processes during Cu(In,Ga)Se{sub 2} absorber formation from electrodeposited/annealed oxide precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Sidali, T., E-mail: tarik.sidali@edf.fr; Duchatelet, A.; Chassaing, E.; Lincot, D.

    2015-05-01

    A way to prepare metallic precursors for CuIn{sub 1−x},Ga{sub x}Se{sub 2} (CIGS) solar cells has been recently introduced leading to efficiencies above 12.4%. It consists in the electrodeposition of Cu-In-Ga mixed oxides in an acidic nitrate aqueous solution followed by thermal reduction and selenization. This paper investigates, in a first part, the nucleation and growth mechanisms taking place during the co-electrodeposition of Cu-In-Ga oxide/hydroxide film. Scanning Electron Microscope observations coupled to Energy Dispersive X-ray spectrometry point out that the deposition is initiated by the formation of metallic copper nuclei. These nuclei enable the growth of Cu-In-Ga oxide film. This observation confirms that freshly deposited copper catalyzes nitrate reduction leading to an increase in the surface pH enabling the precipitation of the Cu-In-Ga hydroxides. In a second part, precursor films were elaborated with increasing Ga(NO{sub 3}){sub 3} concentration. After reduction of the films in hydrogen and selenization heat treatments, X-ray diffraction analysis shows the incorporation of Ga into the CIGS phase with increasing Ga content in the optimal composition range for photovoltaic applications (x = 0.25-0.34). Gallium composition profiles are evidenced in the films with a tendency to higher concentration near the Mo surface. Increasing annealing temperature allows a better homogenization of Ga in the film. The consequences are correlated to optoelectronic measurements (Eg and cell efficiency) with bandgap measurement and cell efficiencies (10 to 12%). - Highlights: • Electrodeposition starts with copper nucleation. • Gallium content in the precursor is tuned by Ga(III) concentration. • Increasing selenization temperature promotes Ga homogenization in CIGS.

  10. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  11. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  12. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    Science.gov (United States)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  13. Resistance and magnetoresistance of annealed amorphous carbon films containing Fe3C nanograins

    International Nuclear Information System (INIS)

    Lee Yuhua; Han Taichun; Wur, C.-S.

    2004-01-01

    The temperature-dependent resistance and the field-dependent magnetoresistance were measured for films annealed at temperatures from 250 deg. C to 550 deg. C for a period of 60 min. Results of temperature-dependent resistance show electrical tunneling conductance in the films annealed at T a =250 deg. C and 350 deg. C only. The largest magnetoresistance ratio (MR) of 23% at temperature T=2 K was observed for T a =350 deg. C. The variations of both the temperature dependence of resistance and the magnetoresistance with the annealing temperature are discussed

  14. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  15. High-temperature laser annealing for thin film polycrystalline silicon solar cell on glass substrate

    Science.gov (United States)

    Chowdhury, A.; Schneider, J.; Dore, J.; Mermet, F.; Slaoui, A.

    2012-06-01

    Thin film polycrystalline silicon films grown on glass substrate were irradiated with an infrared continuous wave laser for defects annealing and/or dopants activation. The samples were uniformly scanned using an attachment with the laser system. Substrate temperature, scan speed and laser power were varied to find suitable laser annealing conditions. The Raman spectroscopy and Suns- V oc analysis were carried out to qualify the films quality after laser annealing. A maximum enhancement of the open circuit voltage V oc of about 100 mV is obtained after laser annealing of as-grown polysilicon structures. A strong correlation was found between the full width half maximum of the Si crystalline peak and V oc. It is interpreted as due to defects annealing as well as to dopants activation in the absorbing silicon layer. The maximum V oc reached is 485 mV after laser treatment and plasma hydrogenation, thanks to defects passivation.

  16. Effects of annealing on the compositional heterogeneity and structure in zirconium-based bulk metallic glass thin films

    International Nuclear Information System (INIS)

    He, L.; Chu, J.P.; Li, C.-L.; Lee, C.-M.; Chen, Y.-C.; Liaw, P.K.; Voyles, P.M.

    2014-01-01

    In-situ heating fluctuation electron microscopy and scanning transmission electron microscopy have been utilized to study compositional and structural heterogeneities in Zr 51 Cu 32 Al 9 Ni 8 thin films upon annealing. Composition fluctuations are present in the as-deposited thin films. Well below the glass transition temperature, the composition fluctuations increase with annealing time. Short- and medium-range order also change with annealing temperature. The observed heterogeneities in the glass structure persist until annealing causes crystallization. The 20 nm thick Zr 51 Cu 32 Al 9 Ni 8 films contain oxide layers both at the surface and the film/substrate interface with the total thickness of 7–8 nm. In-situ annealing increased the oxygen content of the whole films to about 24 wt.% after 2 h at 400 °C. - Highlights: • Zr 51 Cu 32 Al 9 Ni 8 thin films were studied with in-situ heating electron microscopy. • Annealing at 400 °C increases the Zr and Cu compositional fluctuations. • Short-range order in Zr 51 Cu 32 Al 9 Ni 8 becomes less homogeneous above 350 °C. • Medium-range order changes in degree and types at 400 °C, well below T g . • Annealing increases composition and structure heterogeneities until crystallization

  17. Residual stress change by thermal annealing in amorphous Sm-Fe-B thin films

    International Nuclear Information System (INIS)

    Na, S.M.; Suh, S.J.; Kim, H.J.; Lim, S.H.

    2002-01-01

    The change in the residual stress and its effect on mechanical bending and magnetic properties of sputtered amorphous Sm-Fe-B thin films are investigated as a function of annealing temperature. Two stress components of intrinsic compressive stress and tensile stress due to the difference of the thermal expansion coefficients between the substrate and thin film are used to explain the stress state in as-deposited thin films, and the annealing temperature dependence of residual stress, mechanical bending and magnetic properties

  18. Structural and optical properties of Cu2SnS3 thin films obtained by SILAR method

    Directory of Open Access Journals (Sweden)

    Aykut ASTAM

    2017-06-01

    Full Text Available Cu2SnS3 thin films were obtained by annealing of SILAR deposited films at 350°C for 1 hour in sulphur atmosphere. The structural and optical properties of the films were investigated using X-ray diffraction (XRD, scanning electron microscopy (SEM, energy dispersive X-ray analysis (EDAX and optical absorption measurements, before and after annealing. The XRD results showed that the annealing process transformed the crystal structure of the films from amorphous to polycrystalline. SEM images revealed that the surface morphology of films was changed after annealing while EDAX analysis showed that the films were excess in copper concentration before and after annealing. Optical absorption measurements confirmed that the direct band gap of films decreased from 1.27 eV to 1.21 eV with annealing.

  19. Behaviour of Charge Carriers in As-Deposited and Annealed Undoped TCO Films

    International Nuclear Information System (INIS)

    Zhou Yan-Wen; Wu Fa-Yu; Zheng Chun-Yan

    2011-01-01

    We examine the structures, cut-off points of transmittance spectra and electric properties of undoped ZnO, SnO 2 and CdO films by scanning electron microscopy, x-ray diffraction, spectrophotometer and Hall-effect measurements, respectively. The films are deposited by using an rf magnetron sputtering system from powder targets in argon and then annealed in vacuum. The structures and properties of the as-deposited films are compared with those of the annealed one. We try to explain the behaviour of charge carriers based on the semiconductor physics theory. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  20. Electrodeposited porous and amorphous copper oxide film for application in supercapacitor

    Energy Technology Data Exchange (ETDEWEB)

    Patake, V.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Joshi, S.S. [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of); Lokhande, C.D. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, (M.S.) (India); Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: l_chandrakant@yahoo.com; Joo, Oh-Shim [Clean Energy Research Center, Korea Institute of Science and Technology, Cheongryang, Seoul 130-650 (Korea, Republic of)], E-mail: joocat@kist.rre.kr

    2009-03-15

    In present study, the porous amorphous copper oxide thin films have been deposited from alkaline sulphate bath. The cathodic electrodeposition method was employed to deposit copper oxide film at room temperature on stainless steel substrate. Their structural and surface morphological properties were investigated by means of X-ray diffraction (XRD) and scanning electron micrograph (SEM), respectively. To propose this as a new material for possible application in the supercapacitor, its electrochemical properties have been studied in aqueous 1 M Na{sub 2}SO{sub 4} electrolyte using cyclic voltammetry. The structural analysis from XRD pattern showed the formation of amorphous copper oxide film on the substrate. The surface morphological studies from scanning electron micrographs revealed the formation of porous cauliflower-like copper oxide film. The cyclic voltammetric curves showed symmetric nature and increase in capacitance with increase in film thickness. The maximum specific capacitance of 36 F g{sup -1} was exhibited for the 0.6959 mg cm{sup -2} film thickness. This shows that low-cost copper oxide electrode will be a potential application in supercapacitor.

  1. Friction force microscopy study of annealed diamond-like carbon film

    International Nuclear Information System (INIS)

    Choi, Won Seok; Joung, Yeun-Ho; Heo, Jinhee; Hong, Byungyou

    2012-01-01

    In this paper we introduce mechanical and structural characteristics of diamond-like carbon (DLC) films which were prepared on silicon substrates by radio frequency (RF) plasma enhanced chemical vapor deposition (PECVD) method using methane (CH 4 ) and hydrogen (H 2 ) gas. The films were annealed at various temperatures ranging from 300 to 900 °C in steps of 200 °C using rapid thermal processor (RTP) in nitrogen ambient. Tribological properties of the DLC films were investigated by atomic force microscopy (AFM) in friction force microscopy (FFM) mode. The structural properties of the films were obtained by high resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The wettability of the films was obtained using contact angle measurement. XPS analysis showed that the sp 3 content is decreased from 75.2% to 24.1% while the sp 2 content is increased from 24.8% to 75.9% when the temperature is changed from 300 to 900 °C. The contact angles of DLC films were higher than 70°. The FFM measurement results show that the highest friction coefficient value was achieved at 900 °C annealing temperature.

  2. Friction force microscopy study of annealed diamond-like carbon film

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Won Seok; Joung, Yeun-Ho [School of Electrical Engineering, Hanbat National University, Daejeon 305-719 (Korea, Republic of); Heo, Jinhee [Materials Safety Evaluation Group, Korea Institute of Materials Science, Changwon 641-831 (Korea, Republic of); Hong, Byungyou, E-mail: byhong@skku.edu [School of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2012-10-15

    In this paper we introduce mechanical and structural characteristics of diamond-like carbon (DLC) films which were prepared on silicon substrates by radio frequency (RF) plasma enhanced chemical vapor deposition (PECVD) method using methane (CH{sub 4}) and hydrogen (H{sub 2}) gas. The films were annealed at various temperatures ranging from 300 to 900 °C in steps of 200 °C using rapid thermal processor (RTP) in nitrogen ambient. Tribological properties of the DLC films were investigated by atomic force microscopy (AFM) in friction force microscopy (FFM) mode. The structural properties of the films were obtained by high resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The wettability of the films was obtained using contact angle measurement. XPS analysis showed that the sp{sup 3} content is decreased from 75.2% to 24.1% while the sp{sup 2} content is increased from 24.8% to 75.9% when the temperature is changed from 300 to 900 °C. The contact angles of DLC films were higher than 70°. The FFM measurement results show that the highest friction coefficient value was achieved at 900 °C annealing temperature.

  3. Influence of heat treatment on fatigue performances for self-piercing riveting similar and dissimilar titanium, aluminium and copper alloys

    OpenAIRE

    Zhang, Xianlian; He, Xiaocong; Xing, Baoying; Zhao, Lun; Lu, Yi; Gu, Fengshou; Ball, Andrew

    2016-01-01

    The fatigue performances of self-piercing riveting (SPR) joints connecting similar and dissimilar sheets of TA1 titanium alloy (TA1), Al5052 aluminium alloy (Al5052) and H62 copper alloy (H62) were studied in this paper. The specimens of similar TA1 sheets treated with stress relief annealing were prepared to investigate the influence of relief annealing on the mechanical properties of SPR joints. Fatigue tests were conducted to characterize the fatigue lives and failure modes of the joints. ...

  4. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of); An, Tae Kyu [Department of Polymer Science & Engineering, Korea National University of Transportation, 50 Daehak-Ro, Chungju (Korea, Republic of); Nam, Sooji, E-mail: sjnam15@etri.re.kr [Information Control Device Section, Electronics and Telecommunications Research Institute, Daejeon, 305-700 (Korea, Republic of); Kim, Se Hyun, E-mail: shkim97@yu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, North Gyeongsang 712-749 (Korea, Republic of); Jang, Jaeyoung, E-mail: jyjang15@hanyang.ac.kr [Department of Energy Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Park, Chan Eon, E-mail: cep@postech.ac.kr [POSTECH Organic Electronics Laboratory, Polymer Research Institute, Department of Chemical Engineering, Pohang University of Science and Technology, Pohang, 790-784 (Korea, Republic of)

    2017-08-31

    Highlights: • Sol-gel-derived aluminum oxide thin films were prepared using ultraviolet (UV) annealing. • UV irradiation dramatically promoted the densification of AlO{sub x} during the annealing stage, thereby forming a close-packed AlO{sub x} film. • The resulting AlO{sub x} films deposited on polymer substrates exhibited good water vapor blocking properties with low water vapor transmission rates (WVTRs). - Abstract: Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlO{sub x}) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlO{sub x} thin film at 180 °C was comparable to that of AlO{sub x} thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlO{sub x} thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10{sup −7} A/cm{sup 2} at 2 MV/cm). Finally, we confirmed that a dense AlO{sub x} thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlO{sub x} thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m{sup −2} day{sup −1} (25 °C, 50% relative humidity) and 0.26 g m{sup −2} day{sup −1}, respectively.

  5. The influence of predeformations and annealings on yield stress and modulus of elongation essentially yttrium doped copper

    International Nuclear Information System (INIS)

    Neklyudov, I.M.; Sytin, V.I.; Voevodin, V.N.

    2003-01-01

    The researches results of influence of predeformations and annealings on elastic and plastic characteristics of vacuum melting and yttrium doped copper are given. The interrelation between elastic and plastic characteristics has been shown. It is shown that the yield stress and modulus of elongation essentially depend on predeformations and annealings and they are the structurally sensitive characteristics

  6. Deposition and characterisation of copper for high density interconnects

    International Nuclear Information System (INIS)

    McCusker, N.

    1999-09-01

    Copper has been deposited by sputtering and investigated for application as high density interconnects, with a view to maximising its performance and reliability. A sputter deposition process using gettering has been developed, which produces consistently pure, low resistivity films. A relationship between film thickness and resistivity has been explained by studying the grain growth process in copper films using atomic force microscopy. The Maydas-Shatzkes model has been used to separate the contributions of grain boundary and surface scattering to thin film resistivity, in copper and gold. Stress and texture in copper film have been studied. Annealing has been used to promote grain growth and texture development. Electromigration has been studied in copper and aluminium interconnects using a multi-line accelerated test set-up. A difference in failure distributions and void morphologies has been explained by an entirely different damage mechanism. The importance of surface/interface migration in electromigration damage of copper lines has been established and explained using a grain boundary-grooving model. A tantalum overlayer was found to extend the lifetime of copper lines. A composite sputtering target has been used to deposit copper/zirconium alloy films. The composition of the alloys was studied by Rutherford backscattering, Auger and secondary neutral mass spectrometry. The alloy films had an improved electromigration lifetime. A surface controlled mechanism is proposed to explain the advantage. A metal oxide semiconductor (MOS) capacitor technique is used to investigate barrier reliability. Tungsten is shown to be an effective diffusion barrier for copper, up to 700 deg. C. (author)

  7. Effects of hydrothermal annealing on characteristics of CuInS{sub 2} thin films by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Yong, E-mail: sys-99@163.com [Key Laboratory of Industrial Ecology and Environmental Engineering and State Key Laboratory of Fine Chemical, School of Environmental Sciences and Technology, Dalian University of Technology, Dalian 116024 (China); Xue Fanghong [Key Laboratory of Industrial Ecology and Environmental Engineering and State Key Laboratory of Fine Chemical, School of Environmental Sciences and Technology, Dalian University of Technology, Dalian 116024 (China); Li Chunyan [School of Materials, Dalian University of Technology, Dalian 116024 (China); Zhao Qidong; Qu Zhenping; Li Xinyong [Key Laboratory of Industrial Ecology and Environmental Engineering and State Key Laboratory of Fine Chemical, School of Environmental Sciences and Technology, Dalian University of Technology, Dalian 116024 (China)

    2012-07-15

    CuInS{sub 2} thin films have been deposited by successive ionic layer absorption and reaction (SILAR) method, then annealed in a Na{sub 2}S solution (denoted as hydrothermal annealing) at 200 Degree-Sign C for different time. The effect of hydrothermal annealing on the properties of the films was studied by X-ray diffraction (XRD), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM) and optical absorption spectroscopy. The XRD, TEM and SEM results indicate that well-crystallized CuInS{sub 2} films could be obtained after annealing in 0.1 mol/L Na{sub 2}S solution for 1.5 h. The annealed CuInS{sub 2} films were slightly S-rich and the direct band gap varied from 1.32 to 1.58 eV as the annealing time increased from 0.5 h to 2 h.

  8. The effect of annealing on structural, optical and photosensitive properties of electrodeposited cadmium selenide thin films

    Directory of Open Access Journals (Sweden)

    Somnath Mahato

    2017-06-01

    Full Text Available Cadmium selenide (CdSe thin films have been deposited on indium tin oxide coated glass substrate by simple electrodeposition method. X-ray Diffraction (XRD studies identify that the as-deposited CdSe films are highly oriented to [002] direction and they belong to nanocrystalline hexagonal phase. The films are changed to polycrystalline structure after annealing in air for temperatures up to 450 °C and begin to degrade afterwards with the occurrence of oxidation and porosity. CdSe completely ceases to exist at higher annealing temperatures. CdSe films exhibit a maximum absorbance in the violet to blue-green region of an optical spectrum. The absorbance increases while the band gap decreases with increasing annealing temperature. Surface morphology also shows that the increase of the annealing temperature caused the grain growth. In addition, a number of distinct crystals is formed on top of the film surface. Electrical characteristics show that the films are photosensitive with a maximum sensitivity at 350 °C.

  9. Improving hemocompatibility and accelerating endothelialization of vascular stents by a copper-titanium film

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hengquan, E-mail: 99xyxy@163.com [College of Materials and Chemistry & Chemical Engineering, Chengdu University of Technology, Chengdu 610059 (China); Pan, Changjiang [Jiangsu Provincial Key Laboratory for Interventional Medical Devices, Huaiyin Institute of Technology, Huaiyin 223033 (China); Zhou, Shijie; Li, Junfeng [College of Materials and Chemistry & Chemical Engineering, Chengdu University of Technology, Chengdu 610059 (China); Huang, Nan [Key Laboratory for Advanced Technologies of Materials, Ministry of Education, Southwest Jiaotong University, Chengdu 610031 (China); Dong, Lihua [Department of Research & Development, Lifetech Scientific (Shenzhen) Co., Ltd, Shenzhen 518057 (China)

    2016-12-01

    Bio-inorganic films and drug-eluting coatings are usually used to improve the hemocompatibility and inhibit restenosis of vascular stent; however, above bio-performances couldn't combine together with single materials. In the present study, we reported a simple approach to fabricate a metal film with the aim of imparting the stent with good blood compatibility and accelerating endothelialization. The films with various ratios of Cu and Ti were prepared through the physical vapor deposition. Phase structure and element composition were investigated by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), respectively. The releasing volume of copper ion in Cu/Ti film was determined by immersing test. The hemolysis ratio, platelet adhesion and clotting time were applied to evaluate the hemocompatibility. The proliferative behaviors of endothelial cells and smooth muscle cells under certain copper concentration were investigated in vitro and in vivo. Results indicated that copper-titanium films exhibited good hemocompatibility in vitro; however, the increase of Cu/Ti ratio could lead to increasing hemolysis ratio. Endothelial cells displayed more proliferative than smooth muscle cells when the copper concentration was < 7.5 μg/ml, however both cells tended to apoptosis to some degree when the copper concentration was increased. The complete endothelialization of the film with low copper in vivo was observed at the 2nd week, indicating that the copper-titanium film with the lower copper concentration could promote endothelialization. Therefore, the inorganic copper-titanium film could be potential biomaterials to improve blood compatibility and accelerating endothelialization of vascular stents. - Highlight: • The Cu/Ti film with regulating the various responses of ECs and SMCs has been prepared. • The hemocompatibility of Cu/Ti film is favorable and regulatable. • The volume of copper ion released from film could be designed.

  10. Enhanced tribology durability of a self-assembled monolayer of alkylphosphonic acid on a textured copper substrate

    International Nuclear Information System (INIS)

    Wan Yong; Wang Yinhu; Zhang Quan; Wang Zhongqian; Xu Zhen; Liu Changsong; Zhang Junyan

    2012-01-01

    Highlights: ► A textured surface is prepared on the copper substrate by chemical etching. ► The alkylphosphonic acid is assembled on the etched copper substrate. ► Combining texturing and alkylphosphonic acid coating render the films hydrophobicity. ► The dual-layer films possess low friction with extremely long duration. - Abstract: A simple two-step process was developed to render the copper surface with lower friction and long durability. The copper substrate was immersed in a 0.01 M NaOH solution to fabricate a textured Cu 2 O film. A self-assembled monolayer of alkylphosphonic acid was then deposited on the Cu 2 O film to acquire high hydrophobicity. Scanning electron microscopy, Fourier transform infrared microscopy and water contact angle measurements were used to analyze the morphological features, the chemical composition and hydrophobicity of freshly prepared samples, respectively. Moreover, the friction-reducing behavior of the organic-inorganic composite film sliding against steel was evaluated in a ball-on-plate configuration. It was found that the alkylphosphonic acid films on the textured Cu 2 O film led to decreased friction with significantly extended life. For a constant load, the increase in chain length of alkylphosphonic acid yields the films that are dramatically more stable against tribological deformation and provide low coefficients of friction over much longer periods of time.

  11. Ion-beam mixing and thermal annealing of Al--Nb and Al--Ta thin films

    International Nuclear Information System (INIS)

    Rai, A.K.; Bhattacharya, R.S.; Mendiratta, M.G.; Subramanian, P.R.; Dimiduk, D.M.

    1988-01-01

    Ion-beam mixing and thermal annealing of thin, alternating layers of Al and Nb, as well as Al and Ta, were investigated by selected area diffraction and Rutherford backscattering. The individual layer thicknesses were adjusted to obtain the overall compositions as Al 3 Nb and Al 3 Ta. The films were ion mixed with 1 MeV Au + ions at a dose of 1 x 10 16 ions cm/sup -2/ . Uniform mixing and amorphization were achieved for both Al--Nb and Al--Ta systems. Equilibrium crystalline Al 3 Nb and Al 3 Ta phases were formed after annealing of ion mixed amorphous films at 400 0 C for 6 h. Unmixed films, however, remained unreacted at 400 0 C for 1 h. Partial reaction was observed in the unmixed film of Al--Nb at 400 0 C for 6 h. After annealing at 500 0 C for 1 h, a complete reaction and formation of Al 3 Nb and Al 3 Ta phases in the respective films were observed. The influence of thermodynamics on the phase formation by ion mixing and thermal annealing is discussed

  12. The strength limits of ultra-thin copper films

    Energy Technology Data Exchange (ETDEWEB)

    Wiederhirn, Guillaume

    2007-07-02

    Elucidating size effects in ultra-thin films is essential to ensure the performance and reliability of MEMS and electronic devices. In this dissertation, the influence of a capping layer on the mechanical behavior of copper (Cu) films was analyzed. Passivation is expected to shut down surface diffusion and thus to alter the contributions of dislocation- and diffusion-based plasticity in thin films. Experiments were carried out on 25 nm to 2 {mu}m thick Cu films magnetron-sputtered onto amorphous-silicon nitride coated silicon (111) substrates. These films were capped with 10 nm of aluminum oxide or silicon nitride passivation without breaking vacuum either directly after Cu deposition or after a 500 C anneal. The evolution of thermal stresses in these films was investigated mainly by the substrate curvature method between -160 C and 500 C. Negligible differences were detected for the silicon nitride vs. the aluminum oxide passivated Cu films. The processing parameters associated with the passivation deposition also had no noticeable effect on the stress-temperature behavior of the Cu. However, the thermomechanical behavior of passivated Cu films strongly depended on the Cu film thickness. For films in the micrometer range, the influence of the passivation layer was not significant, which suggests that the Cu deformed mainly by dislocation plasticity. However, diffusional creep plays an increasing role with decreasing film thickness since it becomes increasingly difficult to nucleate dislocations in smaller grains. Size effects were investigated by plotting the stress at room temperature after thermal cycling as a function of the inverse film thickness. Between 2 {mu}m and 200 nm, the room temperature stress was inversely proportional to the film thickness. The passivation exerted a strong effect on Cu films thinner than 100 nm by effectively shutting down surface diffusion mechanisms. Since dislocation processes were also shut off in these ultra-thin films, they

  13. Effects of thermal annealing on elimination of deep defects in amorphous In–Ga–Zn–O thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Haochun; Ide, Keisuke [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Hiramatsu, Hidenori [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Ueda, Shigenori [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Ohashi, Naoki [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Kumomi, Hideya [Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Hosono, Hideo [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Kamiya, Toshio, E-mail: tkamiya@msl.titech.ac.jp [Materials and Structures Laboratory, Tokyo Institute of Technology, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan); Materials Research Center for Element Strategy, Tokyo Institute of Technology, Mailbox SE-6, 4259 Nagatsuta, Midori-ku, Yokohama 226-8503 (Japan)

    2016-09-01

    We investigated the effects of thermal annealing for high-density subgap states in amorphous In–Ga–Zn–O (a-IGZO) films by focusing on low-quality defective films deposited without O{sub 2} supply (LQ films). It was found that most of the subgap states were thermally unstable and decreased dramatically by annealing at ≤ 400 °C in O{sub 2}. These defects (but with different shapes) were further reduced by 600 °C annealing, whose subgap states appeared similar to that of a-IGZO films deposited at an optimum condition (high quality, HQ films) and annealed at 300 °C. However, electron Hall mobilities and field-effect mobilities of their thin-film transistors (TFTs) were low for the LQ films/TFTs even annealed at 600 °C compared to those for the HQ films/TFTs. It implies that not only the subgap states but also heavier structural disorder deteriorated the electron transport in the LQ films. The present results also suggest that although a-IGZO deposition without O{sub 2} supply is sometimes employed in particular for DC sputtering, supplying some O{sub 2} gas would be better to produce good TFTs at lower temperatures. - Highlights: • Effects of thermal annealing on subgap states in a-In–Ga–Zn–O films were studied. • Hard X-ray photoemission spectroscopy was employed. • Low-quality films require annealing at 600 °C to make an operating transistor. • This temperature is much higher than those for high-quality films (300–400 °C). • The high temperature is required because some subgap states are very stable.

  14. Improving the performance of nickel-coated fluorine-doped tin oxide thin films by magnetic-field-assisted laser annealing

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bao-jia, E-mail: li_bjia@126.com [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Huang, Li-jing [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Ren, Nai-fei [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Mechanical Engineering, Jiangsu University, Zhenjiang 212013 (China); Kong, Xia; Cai, Yun-long; Zhang, Jie-lu [Jiangsu Tailong Reduction Box Co. Ltd., Taixing 225400 (China)

    2015-10-01

    Highlights: • Ni/FTO films were prepared by sputtering Ni layers on commercial FTO glass. • The as-prepared Ni/FTO films underwent magnetic-field-assisted laser annealing. • Magnetic field and laser fluence were crucial for improving quality of the films. • All Ni/FTO films displayed enhanced compactness after magnetic laser annealing. • Magnetic laser annealing using a fluence of 0.9 J/cm{sup 2} led to the best film quality. - Abstract: Nickel-coated fluorine-doped tin oxide (Ni/FTO) thin films were prepared by sputtering Ni layers on commercial FTO glass. The as-prepared Ni/FTO films underwent nanosecond pulsed laser annealing in an external magnetic field (0.4 T). The effects of the presence of magnetic field and laser fluence on surface morphology, crystal structure and photoelectric properties of the films were investigated. All the films displayed enhanced compactness after magnetic-field-assisted laser annealing. It was notable that both crystallinity and grain size of the films gradually increased with increasing laser fluence from 0.6 to 0.9 J/cm{sup 2}, and then decreased slightly with an increase in laser fluence to 1.1 J/cm{sup 2}. As a result, the film obtained by magnetic-field-assisted laser annealing using a fluence of 0.9 J/cm{sup 2} had the best overall photoelectric property with an average transmittance of 81.2%, a sheet resistance of 5.5 Ω/sq and a figure of merit of 2.27 × 10{sup −2} Ω{sup −1}, outperforming that of the film obtained by pure laser annealing using the same fluence.

  15. Far-infrared spectroscopy of thermally annealed tungsten silicide films

    International Nuclear Information System (INIS)

    Amiotti, M.; Borghesi, A.; Guizzetti, G.; Nava, F.; Santoro, G.

    1991-01-01

    The far-infrared transmittance spectrum of tungsten silicide has been observed for the first time. WSi 2 polycrystalline films were prepared by coevaporation and chemical-vapour deposition on silicon wafers, and subsequently thermally annealed at different temperatures. The observed structures are interpreted, on the basis of the symmetry properties of the crystal, such as infrared-active vibrational modes. Moreover, the marked lineshape dependence on annealing temperature enables this technique to analyse the formation of the solid silicide phases

  16. Simulation of Defect Reduction in Block Copolymer Thin Films by Solvent Annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hur, Su-Mi; Khaira, Gurdaman S.; Ramírez-Hernández, Abelardo; Müller, Marcus; Nealey, Paul F.; de Pablo, Juan J.

    2015-01-20

    Solvent annealing provides an effective means to control the self-assembly of block copolymer (BCP) thin films. Multiple effects, including swelling, shrinkage, and morphological transitions, act in concert to yield ordered or disordered structures. The current understanding of these processes is limited; by relying on a theoretically informed coarse-grained model of block copolymers, a conceptual framework is presented that permits prediction and rationalization of experimentally observed behaviors. Through proper selection of several process conditions, it is shown that a narrow window of solvent pressures exists over which one can direct a BCP material to form well-ordered, defect-free structures.

  17. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.

    2013-05-08

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a decrease in zinc tin oxide film thickness, and (ii) an increase in oxygen vacancy concentration. The devices prepared without soft annealing exhibited inferior transistor performances, in comparison to devices in which the active channel layer (zinc tin oxide) was subjected to soft annealing. The highest saturation field-effect mobility - 5.6 cm2 V-1 s-1 with a drain-to-source on-off current ratio (Ion/Ioff) of 2 × 108 - was achieved in the case of devices with 10-min soft-annealed zinc tin oxide thin films as the channel layer. The findings of this work identify soft annealing as a critical parameter for the processing of chemically derived thin-film transistors, and it correlates device performance to the changes in material structure induced by soft annealing. © 2013 American Chemical Society.

  18. Effect of thermal annealing on the structural and optical properties of tris-(8-hydroxyquinoline)aluminum(III) (Alq3 ) films.

    Science.gov (United States)

    Cuba, M; Muralidharan, G

    2015-05-01

    Tris-(8-hydroxyquionoline)aluminum (Alq3 ) was synthesized and coated on to a glass substrate using the dip coating method. The structural and optical properties of the Alq3 film after thermal annealing from 50°C to 300°C in 50° steps was studied. The films have been prepared with 2 to 16 layers (42-324 nm). The thickness and thermal annealing of Alq3 films were optimized for maximum luminescence yield. The Fourier transform infrared spectrum confirms the formation of quinoline with absorption in the region 700 - 500/cm. Partial sublimation and decomposition of quinoline ion was observed with the Alq3 films annealed at 300°C. The X-ray diffraction pattern of the Alq3 film annealed at 50°C to 150°C reveals the amorphous nature of the films. The Alq3 film annealed above 150°C were crystalline nature. Film annealed at 150°C exhibits a photoluminescence intensity maximum at 512 nm when excited at 390 nm. The Alq3 thin film deposited with 10 layers (220 nm) at 150°C exhibited maximum luminescence yield. Copyright © 2014 John Wiley & Sons, Ltd.

  19. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  20. Post-growth annealing treatment effects on properties of Na-doped CuInS2 thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural and optical properties of Na-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. The films were annealed from 250 to 500 deg. C in a vacuum after evaporation. X-ray diffraction pattern indicated that there are traces of Cu and In 6 S 7 , which disappeared on annealing above 350 deg. C. Good quality CuInS 2 :Na 0.3% films were obtained on annealing at 500 deg. C. Furthermore, we found that the absorption coefficient of Na-doped CuInS 2 thin films reached 1.5 x 10 5 cm -1 . The change in band gap of the doped samples annealed in the temperatures from 250 to 500 deg. C was in the range 0.038-0.105 eV

  1. Effect of annealing on refractive indices of radio-frequency magnetron sputtered waveguiding zinc oxide films on glass

    International Nuclear Information System (INIS)

    Mehan, Navina; Gupta, Vinay; Sreenivas, Kondepudy; Mansingh, Abhai

    2004-01-01

    The effects of annealing and gas composition on the refractive indices of zinc oxide films were studied in light of the structural properties. ZnO films (1 μm) were deposited by rf magnetron sputtering in different oxygen:argon mixtures on glass and annealed at 380 deg. C in air, at different times. Waveguide modes were excited in the films by prism coupling using a He-Ne laser. The estimated values of the extraordinary and ordinary refractive indices of the films, which were close to the corresponding bulk values (n e =2.006, n o =1.990), initially decreased with annealing time and later increased before becoming constant with further annealing. The variation in refractive indices was explained on the basis of contribution from both packing density p and lattice constant c of the films. The initial decrease in refractive indices was attributed to the observed lattice contraction, and the latter increase was explained in terms of the increase in packing density (p) of the films on annealing. A relation is proposed to estimate the refractive indices of films, which have the lattice constant c different from the bulk value

  2. Effect of annealing atmosphere on optic-electric properties of Zn O thin films

    International Nuclear Information System (INIS)

    Bueno, C.; Pacio, M.; Juarez, H.; Osorio, E.; Perez, R.

    2017-01-01

    In this work the study of structural, morphologic characteristics, optical and electrical properties of the thin films of Zn O in temperatures and annealing atmospheres different was realized. The films were obtained by the sol-gel method, utilizing zinc acetate dihydrate as the precursor, monoethanolamine (Mea) as a stabilizing agent and 2-methoxyethanol as a solvent and deposited by spin-coating. The films were crystallized at 600, 800 and 1000 degrees Celsius in oxygen and nitrogen atmospheres. The results obtained by XRD, Sem, photoluminescence and Hall effects of the Zn O films were related and depend strongly on the temperature and atmosphere annealing. (Author)

  3. Effect of annealing atmosphere on optic-electric properties of Zn O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bueno, C. [Benemerita Universidad Autonoma de Puebla, Facultad de Ingenieria, Blvd. Valsequillo y Av. San Claudio s/n, 72570 Puebla (Mexico); Pacio, M.; Juarez, H. [Benemerita Universidad Autonoma de Puebla, Posgrado en Dispositivos Semiconductores, Av. San Claudio y 14 Sur, 72450 Puebla (Mexico); Osorio, E. [Universidad de Quinta Roo, Blvd. Bahia s/n, esquina Ignacio Comonfort, El Bosque, 77019 Chetumal, Quintana Roo (Mexico); Perez, R., E-mail: cba3009@gmail.com [Benemerita Universidad Autonoma de Puebla, Facultad de Ingenieria Quimica, Av. San Claudio y 18 Sur, 72570 Puebla (Mexico)

    2017-11-01

    In this work the study of structural, morphologic characteristics, optical and electrical properties of the thin films of Zn O in temperatures and annealing atmospheres different was realized. The films were obtained by the sol-gel method, utilizing zinc acetate dihydrate as the precursor, monoethanolamine (Mea) as a stabilizing agent and 2-methoxyethanol as a solvent and deposited by spin-coating. The films were crystallized at 600, 800 and 1000 degrees Celsius in oxygen and nitrogen atmospheres. The results obtained by XRD, Sem, photoluminescence and Hall effects of the Zn O films were related and depend strongly on the temperature and atmosphere annealing. (Author)

  4. Impact of high temperature and short period annealing on SnS films deposited by E-beam evaporation

    International Nuclear Information System (INIS)

    Gedi, Sreedevi; Reddy, Vasudeva Reddy Minnam; Kang, Jeong-yoon; Jeon, Chan-Wook

    2017-01-01

    Highlights: • Preparation SnS films using electron beam evaporation at room temperature. • SnS films were annealed at a high temperaure for different short period of times. • The films showed highly oriented (111) planes with orthorhombic crystal structure. • Surface morphology showed bigger and faceted grains embedded in orthorombic. • The TEM confirmed that big orthorombic slabs had single-crystalline nature. - Abstract: Thin films of SnS were deposited on Mo-substrate using electron beam evaporation at room temperature. As-deposited SnS films were annealed at a constant high temperaure of 860 K for different short period of times, 1 min, 3 min, and 5 min. The impact of heat treatment period on the physical properties of SnS films was investigated using appropriate characterization tools. XRD analysis revealed that the films were highly oriented along (111) plane with orthorhombic crystal structure. Surface morphology of as-deposited SnS films showed an identical leaf texture where as the annealed films showed large orthorombic slab shape grains in adidition to the leaf shape grains, which indicates the significance of short period annealing at high temperature. The transmission electron microscopy confirmed that those large orthorombic slabs had single-crystalline nature. The results emphasized that the short period annealing treatment at high temperature stimulated the growth of film towards the single crystallinity.

  5. Impact of high temperature and short period annealing on SnS films deposited by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gedi, Sreedevi; Reddy, Vasudeva Reddy Minnam; Kang, Jeong-yoon; Jeon, Chan-Wook, E-mail: cwjeon@ynu.ac.kr

    2017-04-30

    Highlights: • Preparation SnS films using electron beam evaporation at room temperature. • SnS films were annealed at a high temperaure for different short period of times. • The films showed highly oriented (111) planes with orthorhombic crystal structure. • Surface morphology showed bigger and faceted grains embedded in orthorombic. • The TEM confirmed that big orthorombic slabs had single-crystalline nature. - Abstract: Thin films of SnS were deposited on Mo-substrate using electron beam evaporation at room temperature. As-deposited SnS films were annealed at a constant high temperaure of 860 K for different short period of times, 1 min, 3 min, and 5 min. The impact of heat treatment period on the physical properties of SnS films was investigated using appropriate characterization tools. XRD analysis revealed that the films were highly oriented along (111) plane with orthorhombic crystal structure. Surface morphology of as-deposited SnS films showed an identical leaf texture where as the annealed films showed large orthorombic slab shape grains in adidition to the leaf shape grains, which indicates the significance of short period annealing at high temperature. The transmission electron microscopy confirmed that those large orthorombic slabs had single-crystalline nature. The results emphasized that the short period annealing treatment at high temperature stimulated the growth of film towards the single crystallinity.

  6. Annealing effects in plated-wire memory elements. I - Interdiffusion of copper and Permalloy.

    Science.gov (United States)

    Knudson, C. I.; Kench, J. R.

    1971-01-01

    Results of investigations using X-ray diffraction and electron-beam microprobe techniques have shown that copper and Permalloy platings interdiffuse at low temperatures when plated-wire memory elements are annealed for times as short as 50 hr. Measurable interdiffusion between Permalloy platings and gold substrates does not occur in similar conditions. Both magnetic and compositional changes during aging are found to occur by a thermally activated process with activation energies around 38 kcal/mol. It is shown, however, that copper-diffusion and magnetic-dispersion changes during aging are merely concurrent processes, neither being the other's cause.

  7. Effect of High Temperature Annealing on Conduction-Type ZnO Films Prepared by Direct-Current Magnetron Sputtering

    International Nuclear Information System (INIS)

    Sun Li-Jie; He Dong-Kai; Xu Xiao-Qiu; Zhong Ze; Wu Xiao-Peng; Lin Bi-Xia; Fu Zhu-Xi

    2010-01-01

    We experimentally find that the ZnO thin films deposited by dc-magnetron sputtering have different conduction types after annealing at high temperature in different ambient. Hall measurements show that ZnO films annealed at 1100°C in N 2 and in O 2 ambient become n-type and p-type, respectively. This is due to the generation of different intrinsic defects by annealing in different ambient. X-ray photoelectron spectroscopy and photolumi-nescence measurements indicate that zinc interstitial becomes a main defects after annealing at 1100°C in N 2 ambient, and these defects play an important role for n-type conductivity of ZnO. While the ZnO films annealed at 1100°C in O 2 ambient, the oxygen antisite contributes ZnO films to p-type. (condensed matter: structure, mechanical and thermal properties)

  8. Growth Mechanism for Low Temperature PVD Graphene Synthesis on Copper Using Amorphous Carbon

    Science.gov (United States)

    Narula, Udit; Tan, Cher Ming; Lai, Chao Sung

    2017-03-01

    Growth mechanism for synthesizing PVD based Graphene using Amorphous Carbon, catalyzed by Copper is investigated in this work. Different experiments with respect to Amorphous Carbon film thickness, annealing time and temperature are performed for the investigation. Copper film stress and its effect on hydrogen diffusion through the film grain boundaries are found to be the key factors for the growth mechanism, and supported by our Finite Element Modeling. Low temperature growth of Graphene is achieved and the proposed growth mechanism is found to remain valid at low temperatures.

  9. Electrical behavior of amide functionalized graphene oxide and graphene oxide films annealed at different temperatures

    International Nuclear Information System (INIS)

    Rani, Sumita; Kumar, Mukesh; Kumar, Dinesh; Sharma, Sumit

    2015-01-01

    Films of graphene oxide (GO) and amide functionalized graphene oxides (AGOs) were deposited on SiO 2 /Si(100) by spin coating and were thermally annealed at different temperatures. Sheet resistance of GO and AGOs films was measured using four probe resistivity method. GO an insulator at room temperature, exhibits decrease in sheet resistance with increase in annealing temperature. However, AGOs' low sheet resistance (250.43 Ω) at room temperature further decreases to 39.26 Ω after annealing at 800 °C. It was observed that the sheet resistance of GO was more than AGOs up to 700 °C, but effect was reversed after annealing at higher temperature. At higher annealing temperatures the oxygen functionality reduces in GO and sheet resistance decreases. Sheet resistance was found to be annealing time dependent. Longer duration of annealing at a particular temperature results in decrease of sheet resistance. - Highlights: • Amide functionalized graphene oxides (AGOs) were synthesized at room temperature (RT). • AGO films have low sheet resistance at RT as compared to graphene oxide (GO). • Fast decrease in the sheet resistance of GO with annealing as compared to AGOs • AGOs were found to be highly dispersible in polar solvents

  10. Influence of annealing temperature on the structural, optical and mechanical properties of ALD-derived ZnO thin films

    International Nuclear Information System (INIS)

    Yen, C.-Y.; Jian, S.-R.; Chen, G.-J.; Lin, C.-M.; Lee, H.-Y.; Ke, W.-C.; Liao, Y.-Y.; Yang, P.-F.; Wang, C.-T.; Lai, Y.-S.; Jang, Jason S.-C.; Juang, J.-Y.

    2011-01-01

    ZnO thin films grown on Si(1 1 1) substrates by using atomic layer deposition (ALD) were annealed at the temperatures ranging from 300 to 500 deg. C. The X-ray diffraction (XRD) results show that the annealed ZnO thin films are highly (0 0 2)-oriented, indicating a well ordered microstructure. The film surface examined by the atomic force microscopy (AFM), however, indicated that the roughness increases with increasing annealing temperature. The photoluminescence (PL) spectrum showed that the intensity of UV emission was strongest for films annealed at 500 deg. C. The mechanical properties of the resultant ZnO thin films investigated by nanoindentation reveal that the hardness decreases from 9.2 GPa to 7.2 GPa for films annealed at 300 deg. C and 500 deg. C, respectively. On the other hand, the Young's modulus for the former is 168.6 GPa as compared to a value of 139.5 GPa for the latter. Moreover, the relationship between the hardness and film grain size appear to follow closely with the Hall-Petch equation.

  11. Activation of visible up-conversion luminescence in transparent and conducting ZnO:Er:Yb films by laser annealing

    International Nuclear Information System (INIS)

    Lluscà, M.; López-Vidrier, J.; Lauzurica, S.; Sánchez-Aniorte, M.I.; Antony, A.; Molpeceres, C.; Hernández, S.; Garrido, B.; Bertomeu, J.

    2015-01-01

    Transparent and conducting ZnO:Er:Yb thin films with visible up-conversion (660-nm emission under 980-nm excitation) were fabricated by RF magnetron sputtering. The as-deposited films were found to be transparent and conducting and the activation of the Er ions in these films to produce up-conversion luminescence was achieved by different post-deposition annealing treatments in air, vacuum or by laser annealing using a Nd:YVO 4 laser. The structural, electrical and optical properties and the up-conversion efficiency of these films were found to be strongly influenced by the annealing method, and a detailed study is reported in this paper. It has been demonstrated that, although the air annealing was the most efficient in terms of up-conversion, laser annealing was the only method capable of activating Er ions while preserving the electrical conductivity of the doped films. It has been shown that a minimum energy was needed in laser annealing to optically activate the rare earth ions in the ZnO host material to produce up-conversion. Up-converting and transparent conducting ZnO:Er:Yb films with an electrical resistivity of 5×10 −2 Ω cm and transparency ~80% in the visible wavelength range has been achieved by laser annealing. - Highlights: • Transparent and conducting ZnO:Er:Yb films were grown via magnetron sputtering. • Post-annealing ZnO:Er:Yb is needed to optically activate Er ions. • Visible up-conversion emission at 660 nm is observed under 980 nm excitation. • A transparent and conducting up-converter is achieved by laser annealing

  12. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S.; Sood, D.K.; Zmood, R.B. [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1993-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  13. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S; Sood, D K; Zmood, R B [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1994-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  14. Seeding of silicon by copper ion implantation for selective electroless copper plating

    International Nuclear Information System (INIS)

    Bhansali, S.; Sood, D.K.; Zmood, R.B.

    1993-01-01

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm 2 using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm 2 for 'seed' formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by 'scotch tape test'. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs

  15. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Pradhan, Swati S.; Sahoo, Sambita; Pradhan, S.K.

    2010-01-01

    TiO 2 films have been deposited on silicon substrates by radio frequency magnetron sputtering of a pure Ti target in Ar/O 2 plasma. The TiO 2 films deposited at room temperature were annealed for 1 h at different temperatures ranging from 400 o C to 800 o C. The structural, morphological, mechanical properties and the wetting behavior of the as deposited and annealed films were obtained using Raman spectroscopy, atomic force microscopy, transmission electron microscopy, nanoindentation and water contact angle (CA) measurements. The as deposited films were amorphous, and the Raman results showed that anatase phase crystallization was initiated at annealing temperature close to 400 o C. The film annealed at 400 o C showed higher hardness than the film annealed at 600 o C. In addition, the wettability of film surface was enhanced with an increase in annealing temperature from 400 o C to 800 o C, as revealed by a decrease in water CA from 87 o to 50 o . Moreover, the water CA of the films obtained before and after UV light irradiation revealed that the annealed films remained more hydrophilic than the as deposited film after irradiation.

  16. In Situ Hall Effect Monitoring of Vacuum Annealing of In2O3:H Thin Films

    Directory of Open Access Journals (Sweden)

    Hans F. Wardenga

    2015-02-01

    Full Text Available Hydrogen doped In2O3 thin films were prepared by room temperature sputter deposition with the addition of H2O to the sputter gas. By subsequent vacuum annealing, the films obtain high mobility up to 90 cm2/Vs. The films were analyzed in situ by X-ray photoelectron spectroscopy (XPS and ex situ by X-ray diffraction (XRD, optical transmission and Hall effect measurements. Furthermore, we present results from in situ Hall effect measurements during vacuum annealing of In2O3:H films, revealing distinct dependence of carrier concentration and mobility with time at different annealing temperatures. We suggest hydrogen passivation of grain boundaries as the main reason for the high mobility obtained with In2O3:H films.

  17. Enhanced tribology durability of a self-assembled monolayer of alkylphosphonic acid on a textured copper substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wan Yong, E-mail: wanyong@qtech.edu.cn [School of Mechanical Engineering, Qingdao Technological University, Qingdao 266033 (China); Wang Yinhu; Zhang Quan; Wang Zhongqian; Xu Zhen; Liu Changsong [School of Mechanical Engineering, Qingdao Technological University, Qingdao 266033 (China); Zhang Junyan [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer A textured surface is prepared on the copper substrate by chemical etching. Black-Right-Pointing-Pointer The alkylphosphonic acid is assembled on the etched copper substrate. Black-Right-Pointing-Pointer Combining texturing and alkylphosphonic acid coating render the films hydrophobicity. Black-Right-Pointing-Pointer The dual-layer films possess low friction with extremely long duration. - Abstract: A simple two-step process was developed to render the copper surface with lower friction and long durability. The copper substrate was immersed in a 0.01 M NaOH solution to fabricate a textured Cu{sub 2}O film. A self-assembled monolayer of alkylphosphonic acid was then deposited on the Cu{sub 2}O film to acquire high hydrophobicity. Scanning electron microscopy, Fourier transform infrared microscopy and water contact angle measurements were used to analyze the morphological features, the chemical composition and hydrophobicity of freshly prepared samples, respectively. Moreover, the friction-reducing behavior of the organic-inorganic composite film sliding against steel was evaluated in a ball-on-plate configuration. It was found that the alkylphosphonic acid films on the textured Cu{sub 2}O film led to decreased friction with significantly extended life. For a constant load, the increase in chain length of alkylphosphonic acid yields the films that are dramatically more stable against tribological deformation and provide low coefficients of friction over much longer periods of time.

  18. Annealing effect on optical properties of ZnO films fabricated by cathodic electrodeposition

    International Nuclear Information System (INIS)

    Wang Qingtao; Wang Guanzhong; Jie Jiansheng; Han Xinhai; Xu Bo; Hou, J.G.

    2005-01-01

    (001)-oriented ZnO films on Zn substrates were synthesized by cathodic electrodeposition from an aqueous solution composed only of 0.05 M zinc nitrate at 65 deg. C. A bound exciton emission band around 3.34 eV along with three longitudinal optical (LO) phonon replicas and an intensive broad emission band around 2.17 eV were observed from the photoluminescence (PL) spectra of ZnO films prepared at more positive potential (- 0.6∼- 0.8 V). When more negative potential (- 1.0∼- 1.4 V) was applied, the ultraviolet emission band disappeared. These results indicate that more positive electrodeposition potential favors the high quality ZnO film growth. The PL spectra of the annealed ZnO films prepared at more positive electrodeposition potentials - 0.6∼- 1.0 V exhibit the ultraviolet emission at 3.35 eV and a negligibly weak emission from defects. Annealing resulted in the enhancement and sharpening of the excitonic emission band and decrease of the deep level emission. The bandgap (E g ) of the ZnO film prepared at - 1.0 V on indium tin oxide (ITO) substrate decreased from 3.56 to 3.29 eV due to the removing of Zn(OH) 2 from the film after annealing

  19. Advances in copper-chalcopyrite thin films for solar energy conversion

    Energy Technology Data Exchange (ETDEWEB)

    Kaneshiro, Jess; Gaillard, Nicolas; Rocheleau, Richard; Miller, Eric [Hawaii Natural Energy Institute, University of Hawaii at Manoa, 1680 East-West Road, Post 109, Honolulu, HI 96822 (United States)

    2010-01-15

    Promising alternatives to crystalline silicon as the basic building block of solar cells include copper-chalcopyrite thin films such as copper indium gallium diselenide, a class of thin films exhibiting bandgap-tunable semiconductor behavior, direct bandgaps and high absorption coefficients. These properties allow for the development of novel solar-energy conversion configurations like ultra-high efficiency multi-junction solar cells utilizing combinations of photovoltaic and photoelectrochemical junctions for hydrogen production. This paper discusses the current worldwide status as well as the development and optimization of copper-chalcopyrite thin films deposited onto various substrate types for different photovoltaic and photoelectrochemical applications at the Hawaii Natural Energy Institute. (author)

  20. Effect of substrate temperature and post annealing temperature on ZnO:Zn PLD thin film properties

    Science.gov (United States)

    Hasabeldaim, E.; Ntwaeaborwa, O. M.; Kroon, R. E.; Coetsee, E.; Swart, H. C.

    2017-12-01

    The pulsed laser deposition (PLD) substrate temperature and post-annealing temperature are effective methods to control the film optical and structural properties. The structure, morphology and optical properties of the deposited and post-annealed PLD ZnO:Zn films were studied. The films were deposited at different substrate temperatures of 50 °C, 200 °C and 400 °C. The films deposited at the substrate temperature of 50 °C and 200 °C were post-annealed in air at 400 °C and 600 °C for two hours. The films all had a highly preferential orientation with the hexagonal c-axis perpendicular to the substrate surface. The stress was found to be compressive stress with values -3.289 GPa, -4.864 GPa and -4.425 GPa for the film deposited at 50 °C, 200 °C and 400 °C, respectively. After post-annealing treatments, the stress of the films was almost completely released and stress-free films were obtained. The crystallite sizes were 19 nm, 25 nm and 39 nm, while the average particles sizes were 95 nm, 85 nm and 129 nm for the film deposited at 50 °C, 200 °C and 400 °C respectively. The crystallite sizes and particles sizes seemed to increase with the increase in the substrate temperature. Contrary to this, the change in crystallite sizes were inversely proportional to the particles size when increasing the post-annealing temperatures. Deconvoluted X-ray photoelectron spectroscopy peaks of the O1s binding energy region revealed that the films deposited at different substrate temperatures contained oxygen-related defects. Photoluminescence studies revealed that the films all emitted ultra-violet emission around 379 nm. The film deposited at 50 °C emitted a broad green emission centered at ∼524 nm. By increasing the substrate temperature up to 200 °C and 400 °C a new orange emission around 621 nm and 634 nm as well as a weak emission around 416 nm and 500 nm were observed, respectively. After post-annealing treatments, new bands over the visible region (blue, green

  1. Annealing temperature effect on self-assembled Au droplets on Si (111).

    Science.gov (United States)

    Sui, Mao; Li, Ming-Yu; Kim, Eun-Soo; Lee, Jihoon

    2013-12-13

    We investigate the effect of annealing temperature on self-assembled Au droplets on Si (111). The annealing temperature is systematically varied while fixing other growth parameters such as deposition amount and annealing duration clearly to observe the annealing temperature effect. Self-assembled Au droplets are fabricated by annealing from 50°C to 850°C with 2-nm Au deposition for 30 s. With increased annealing temperatures, Au droplets show gradually increased height and diameter while the density of droplets progressively decreases. Self-assembled Au droplets with fine uniformity can be fabricated between 550°C and 800°C. While Au droplets become much larger with increased deposition amount, the extended annealing duration only mildly affects droplet size and density. The results are systematically analyzed with cross-sectional line profiles, Fourier filter transform power spectra, height histogram, surface area ratio, and size and density plots. This study can provide an aid point for the fabrication of nanowires on Si (111).

  2. Evolution of structural and magnetic properties of sputtered nanocrystalline Co thin films with thermal annealing

    International Nuclear Information System (INIS)

    Kumar, Dileep; Gupta, Ajay

    2007-01-01

    Ultrafine grain films of cobalt prepared using ion-beam sputtering have been studied using X-ray diffraction (XRD), X-ray reflectivity (XRR), atomic force microscopy (AFM) and magneto-optical Kerr effect (MOKE) measurements. As-prepared films have very smooth surface owing to the ultrafine nature of the grains. Evolution of the structure and morphology of the film with thermal annealing has been studied and the same is correlated with the magnetic properties. Above an annealing temperature of 300 deg. C, the film gradually transforms from HCP to FCC phase that remains stable at room temperature. A significant contribution of the surface energy, due to small grain size, results in stabilisation of the FCC phase at room temperature. It is found that other processes like stress relaxation, grain texturing and growth also exhibit an enhanced rate above 300 deg. C, and may be associated with an enhanced mobility of the atoms above this temperature. Films possess a uniaxial anisotropy, which exhibits a non-monotonous behaviour with thermal annealing. The observed variation in the anisotropy and coercivity with annealing can be understood in terms of variations in the internal stresses, surface roughness, and grain structure

  3. Selective Template Wetting Routes to Hierarchical Polymer Films: Polymer Nanotubes from Phase-Separated Films via Solvent Annealing.

    Science.gov (United States)

    Ko, Hao-Wen; Cheng, Ming-Hsiang; Chi, Mu-Huan; Chang, Chun-Wei; Chen, Jiun-Tai

    2016-03-01

    We demonstrate a novel wetting method to prepare hierarchical polymer films with polymer nanotubes on selective regions. This strategy is based on the selective wetting abilities of polymer chains, annealed in different solvent vapors, into the nanopores of porous templates. Phase-separated films of polystyrene (PS) and poly(methyl methacrylate) (PMMA), two commonly used polymers, are prepared as a model system. After anodic aluminum oxide (AAO) templates are placed on the films, the samples are annealed in vapors of acetic acid, in which the PMMA chains are swollen and wet the nanopores of the AAO templates selectively. As a result, hierarchical polymer films containing PMMA nanotubes can be obtained after the AAO templates are removed. The distribution of the PMMA nanotubes of the hierarchical polymer films can also be controlled by changing the compositions of the polymer blends. This work not only presents a novel method to fabricate hierarchical polymer films with polymer nanotubes on selective regions, but also gives a deeper understanding in the selective wetting ability of polymer chains in solvent vapors.

  4. Short-term annealing of mixed amorphous molybdenum-silicon films by means of a conventional diffusion equipment

    International Nuclear Information System (INIS)

    Kaufmann, C.; Raschke, T.; Rockoff, A.; Temmler, D.

    1985-01-01

    A short-term annealing procedure is described of mixed amorphous Mo-Si films in a retrofitted conventional diffusion equipment. By means of a special charging jig it is possible to siliconize repeatably between 1 and 120 s in oxygen-free atmosphere. The heat-treated films transform into crystalline MoSi 2 films at temperatures of 1100 0 C and 1200 0 C, respectively, and attain resistance values which correspond to a one-hour treatment at temperatures of 900 0 C up to 1000 0 C. Therefore, the procedure could be used for the production of VLSI circuits with silicide metallization. After short-term annealing the MoSi 2 films show smaller grain sizes compared to conventionally annealed samples

  5. Evolution of the microstructure in nanocrystalline copper electrodeposits during room temperature storage

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2007-01-01

    The microstructure evolution in copper electrodeposits at room temperature (self-annealing) was investigated by means of X-ray diffraction analysis and simultaneous measurement of the electrical resistivity as a function of time. In-situ studies were started immediately after electrodeposition......, crystallographic texture changes by multiple twinning and a decrease of the electrical resistivity occurred as a function of time at room temperature. The kinetics of self-annealing is strongly affected by the layer thickness: the thinner the layer the slower is the microstructure evolution and self-annealing...

  6. Nanostructured Zn and ZnO nanowire thin films for mechanical and self-cleaning applications

    Energy Technology Data Exchange (ETDEWEB)

    Shaik, Ummar Pasha [Advanced Centre of Research in High Energy Materials, University of Hyderabad, Prof. C R Rao Road, Gachibowli, Hyderabad 500046 (India); Purkayastha, Debarun Dhar, E-mail: ddebarun@yahoo.com [Department of Physics, National Institute of Technology Nagaland, Chumukedima, Dimapur 797103 (India); Krishna, M. Ghanashyam [Advanced Centre of Research in High Energy Materials, University of Hyderabad, Prof. C R Rao Road, Gachibowli, Hyderabad 500046 (India); School of Physics, University of Hyderabad, Prof. C R Rao Road, Gachibowli, Hyderabad 500046 (India); Madhurima, V. [Department of Physics, Central University of Tamil Nadu, Thiruvarur 610004 (India)

    2015-03-01

    Highlights: • Zn metal films were deposited by thermal evaporation, on various substrates. • Upon annealing Zn there is transformation of the Zn nanosheets into ZnO nanowires. • ZnO nanowires are superhydrophobic and exhibit wetting transition on UV exposure. • ZnO will be useful in self-cleaning, mechanical and oxidation resistance surfaces. - Abstract: Nanostructured Zn metal films were deposited by thermal evaporation, on borosilicate glass, Quartz, sapphire, lanthanum aluminate and yttria stabilized zirconia substrates. The as-deposited films are nanocrystalline and show a morphology that consists of triangular nanosheets. The films are hydrophobic with contact angles between 102° and 120° with hardness and Young's modulus between 0.15–0.8 GPa and 18–300 GPa, respectively. Thermal annealing of the films at 500 °C results only in partial oxidation of Zn to ZnO, which indicates good oxidation resistance. Annealing also causes transformation of the Zn nanosheets into ZnO nanowires that are polycrystalline in nature. The ZnO nanowires are superhydrophobic with contact angles between 159° and 162°, contact angle hysteresis between 5° and 10° and exhibit a reversible superhydrophobic–hydrophilic transition under UV irradiation. The nanowires are much softer than the as-deposited Zn metal films, with hardness between 0.02 and 0.4 GPa and Young's modulus between 3 and 35 GPa. The current study thus demonstrates a simple process for fabrication of nanostructured Zn metal films followed by a one-step transformation to nanowires with properties that will be very attractive for mechanical and self-cleaning applications.

  7. Fabricated super-hydrophobic film with potentiostatic electrolysis method on copper for corrosion protection

    International Nuclear Information System (INIS)

    Wang Peng; Qiu Ri; Zhang Dun; Lin Zhifeng; Hou Baorong

    2010-01-01

    A novel one-step potentiostatic electrolysis method was proposed to fabricate super-hydrophobic film on copper surface. The resulted film was characterized by contact angle tests, Fourier transform infrared spectra (FT-IR), X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FE-SEM) and electrochemical measurements. It could be inferred that the super-hydrophobic property resulted from the flower-like structure of copper tetradecanoate film. In the presence of super-hydrophobic film, the anodic and cathodic polarization current densities are reduced for more than five and four orders of magnitude, respectively. The air trapped in the film is the essential contributor of the anticorrosion property of film for its insulation, the copper tetradecanoate film itself acts as a 'frame' to trap air as well as a coating with inhibition effect. The super-hydrophobic film presents excellent inhibition effect to the copper corrosion and stability in water containing Cl - .

  8. Damp heat stability and annealing behavior of aluminum doped zinc oxide films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Tohsophon, T.; Huepkes, J.; Calnan, S.; Reetz, W.; Rech, B.; Beyer, W.; Sirikulrat, N.

    2006-01-01

    The damp heat stability and subsequent vacuum annealing behavior of aluminum doped zinc oxide (AZO) films was investigated using Hall effect measurements, X-ray diffraction (XRD) and optical spectrometry techniques. The AZO films were deposited on glass or silicon wafers using reactive and non-reactive magnetron sputtering from metallic and ceramic targets, respectively. Additionally, we characterized surface textured AZO films, which are used as light scattering transparent conductive oxide (TCO) in silicon thin film solar cells. For all films a degradation of the electrical film properties was found after the damp heat treatment. For thick compact films, with large grain size, only a small increase in the electrical resistivity was observed, whereas less compact films prepared at high deposition pressures or very thin films (< 300 nm) showed an increase in resistivity by up to a factor of three already after 300 h. The conductivity degradation during damp heat treatment could be largely reversed by annealing in vacuum. However, annealing temperatures of at least 150 deg. C were required. Possible mechanisms explaining the experimental results are discussed

  9. Vapor annealing synthesis of non-epitaxial MgB2 films on glassy carbon

    Science.gov (United States)

    Baker, A. A.; Bayu Aji, L. B.; Bae, J. H.; Stavrou, E.; Steich, D. J.; McCall, S. K.; Kucheyev, S. O.

    2018-05-01

    We describe the fabrication and characterization of 25–800 nm thick MgB2 films on glassy carbon substrates by Mg vapor annealing of sputter-deposited amorphous B films. Results demonstrate a critical role of both the initial B film thickness and the temperature–time profile on the microstructure, elemental composition, and superconducting properties of the resultant MgB2 films. Films with thicknesses of 55 nm and below exhibit a smooth surface, with a roughness of 1.1 nm, while thicker films have surface morphology consisting of elongated nano-crystallites. The suppression of the superconducting transition temperature for thin films scales linearly with the oxygen impurity concentration and also correlates with the amount of lattice disorder probed by Raman scattering. The best results are obtained by a rapid (12 min) anneal at 850 °C with large temperature ramp and cooling rates of ∼540 °C min‑1. Such fast processing suppresses the deleterious oxygen uptake.

  10. Reversible p-type conductivity in H passivated nitrogen and phosphorous codoped ZnO thin films using rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mannam, Ramanjaneyulu, E-mail: ramu.nov9@gmail.com [Department of Physics, Nano Functional Materials Technology Centre and Materials Science Research Centre, Indian Institute of Technology Madras, Chennai 600036 (India); Kumar, E. Senthil [SRM Research Institute, Department of Physics and Nanotechnology, SRM University, Kattankulathur 603203, Tamil Nadu (India); DasGupta, Nandita [Microelectronics and MEMS Laboratory, Electrical Engineering Department, Indian Institute of Technology Madras, Chennai 600036 (India); Ramachandra Rao, M.S., E-mail: msrrao@iitm.ac.in [Department of Physics, Nano Functional Materials Technology Centre and Materials Science Research Centre, Indian Institute of Technology Madras, Chennai 600036 (India)

    2017-04-01

    Highlights: • Electrical transport measurements revel that the (P, N) codoped ZnO thin films exhibited change in conductivity from p-type to n-type over a span of 120 days. • Hydrogen and carbon are found to be the main unintentional impurities in n-type (P, N) codoped ZnO thin films. • Rapid thermal annealing has been used to remove both H and C from the films. • Carbon can be removed at an annealing temperature of 600 °C, whereas, the dissociation of N−H complex takes place only at 800 °C. • The n-type (P, N) codoped ZnO thin film exhibited change in conductivity to p-type at an annealing temperature of 800 °C. - Abstract: We demonstrate reversible p-type nature of pulsed laser deposited (P, N) codoped ZnO thin films using rapid thermal annealing process. As grown thin films exhibited change in conductivity from p to n-type over a span of 120 days. Non-annealed n-type thin films contain unintentional donor impurities such as hydrogen and carbon. X-ray photoelectron spectroscopy and Raman measurements conclusively show that hydrogen passivates nitrogen acceptors by forming N−H complex. Carbon can be annealed out at 600 °C, whereas, the dissociation of N−H complex takes place at 800 °C. The films revert its p-type nature at an annealing temperature of 800 °C.

  11. Role of Annealing Temperature on Morphology of Alumina Thin Film Prepared by Wet-Chemical Method

    Directory of Open Access Journals (Sweden)

    Manju Pandey

    2015-03-01

    Full Text Available In this paper, we reported the compositional, morphological and structural properties of the alumina(Al2O3 thin films prepared by sol-gel technique and annealed between 800 0C to 1200 0C for 1-hour in an air atmosphere. The deposited films were polycrystalline in nature. Thin films were found uniform and adherent to the alumina substrate. Effect of annealing temperature on structural parameters such as pore size and surface area were calculated. The result indicates that pore size and surface area was decreased by increasing annealing temperature. The material characterization was done by field emission scanning electron microscope (SEM, atomic force microscopy (AFM and Brunaur, Emmet and Teller (BET.

  12. Effect of stress, strain and optical properties in vacuum and normal annealed ZnO thin films using RF magnetron sputtering

    Science.gov (United States)

    Kumar, B. Santhosh; Purvaja, K.; Harinee, N.; Venkateswaran, C.

    2018-05-01

    Zinc oxide thin films have been deposited on quartz substrate using RF magnetron sputtering. The deposited films were subjected to different annealing atmosphere at a fixed temperature of 500 °C for 5h. The X-ray diffraction (XRD) patterns reveals the shift in the peak of both normal annealed and vacuum annealed thin films when compared to as-deposited ZnO film. The crystallite size, intrinsic stress and other parameters were calculated from XRD data. The surface morphology of the obtained films were studied using Atomic force microscopy (AFM). From Uv-Visible spectroscopy, the peak at 374 nm of all the films is characteristics of ZnO. The structural, thermal stability and optical properties of the annealed ZnO films are discussed in detail.

  13. A study on electric properties for pulse laser annealing of ITO film after wet etching

    International Nuclear Information System (INIS)

    Lee, C.J.; Lin, H.K.; Li, C.H.; Chen, L.X.; Lee, C.C.; Wu, C.W.; Huang, J.C.

    2012-01-01

    The electric properties of ITO thin film after UV or IR laser annealing and wet etching was analyzed via grazing incidence in-plane X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectra and residual stress measurement. The laser annealing process readily induced microcracks or quasi-microcracks on the ITO thin film due to the residual tension stress of crystalline phase transformation between irradiated and non-irradiated areas, and these defects then became the preferred sites for a higher etching rate, resulting in discontinuities in the ITO thin film after the wet etching process. The discontinuities in the residual ITO thin film obstruct carrier transmission and further result in electric failure. - Highlights: ► The laser annealing process induces microcracks in InSnO 2 thin films. ► The defects result in higher local etching rate during wet etching. ► These process defects originate from residual tension stress. ► Decreasing the thermal shock is suggested in order to reduce these process defects.

  14. Studies on phase transformation and molecular orientation in nanostructured zinc phthalocyanine thin films annealed at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Avijit; Biswas, Bipul; Majumder, Manisree; Sanyal, Manik Kumar; Mallik, Biswanath, E-mail: spbm@iacs.res.in

    2012-08-31

    Studies on the electronic and optical properties of thin films of organometallic compounds such as phthalocyanine are very important for the development of devices based on these compounds. The nucleation and grain growth mechanism play an important role for the final electronic as well as optoelectronic properties of the organic and organometallic thin films. The present article deals with the change in the film morphology, grain orientation of nanocrystallites and optical properties of zinc phthalocyanines (ZnPc) thin films as a function of the post deposition annealing temperature. The effect of annealing temperature on the optical and structural property of vacuum evaporated ZnPc thin films deposited at room temperature (30 Degree-Sign C) on quartz glass and Si(100) substrates has been investigated. The thin films have been characterized by the UV-vis optical absorption spectra, X-ray diffraction (XRD), atomic force microscopy (AFM), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Fourier transform infrared spectroscopy. From the studies of UV-vis absorption spectra and XRD data, a metastable {alpha} to {beta}-phase transformation has been observed when the thin films were annealed at a temperature greater than about 250 Degree-Sign C. The FESEM images have shown the particlelike structure at room temperature and the structure became rodlike when the films were annealed at high temperatures. TEM image of ZnPc film dissolved in ethanol has shown spectacular rod-shaped crystallites. High resolution transmission electron microscopy image of a single nanorod has shown beautiful 'honey-comb' like structure. Particle size and root mean square roughness were calculated from AFM images. The changes in band gap energy with increase in annealing temperature have been evaluated. - Highlights: Black-Right-Pointing-Pointer Morphology and orientation of grains in zinc phthalocyanine (ZnPc) thin films. Black

  15. Effects of reductive annealing on insulating polycrystalline thin films of Nb-doped anatase TiO2: recovery of high conductivity

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2016-01-01

    We studied the effects of reductive annealing on insulating polycrystalline thin films of anatase Nb-doped TiO 2 (TNO). The insulating TNO films were intentionally fabricated by annealing conductive TNO films in oxygen ambient at 400 °C. Reduced free carrier absorption in the insulating TNO films indicated carrier compensation due to excess oxygen. With H 2 -annealing, both carrier density and Hall mobility recovered to the level of conducting TNO, demonstrating that the excess oxygen can be efficiently removed by the annealing process without introducing additional scattering centers. (paper)

  16. CdO thin films based on the annealing temperature differences prepared by sol-gel method and their heterojunction devices

    Science.gov (United States)

    Soylu, M.; Yazici, T.

    2017-12-01

    Undoped CdO films were prepared on glass substrate and p-type silicon wafer using sol-gel spin coating method. The structural and optical properties of the films were investigated as a function of the annealing temperature. X-ray diffraction (XRD) patterns reveal that the films are formed from CdO with cubic crystal structure and (1 1 1) preferred orientation. It is seen that good crystallinity is due to the high annealing temperature. The surface morphology of the CdO films was found to be depending on the annealing temperature, showing cauliflower like structure. Optical band gaps for annealing temperature of 250 °C and 450 °C were found to be 2.49 eV and 2.27 eV, respectively, showing a decrease with raising temperature. Optics parameters such as extinction coefficient, refractive index, and surface-volume energy loss were determined with spectrophotometric analysis as a function of annealing temperature. CdO/p-Si heterojunction structure showed weak rectifying behavior. The diode parameters were found to be depending on annealing temperature. The results are encouraging to get better conjunction with CdO thin film component at optimize annealing temperature.

  17. Combined influence of fluorine doping and vacuum annealing on the electrical properties of ZnO:Ta films

    Energy Technology Data Exchange (ETDEWEB)

    Subha, K. [Materials Science Research Laboratory, PG and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur, 613 503, Tamil Nadu (India); Research Department of Physics, Kunthavai Naachiyaar Govt. Arts College for Women (Autonomous), Thanjavur, 613 007, Tamil Nadu (India); Ravichandran, K., E-mail: kkr1365@yahoo.com [Materials Science Research Laboratory, PG and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur, 613 503, Tamil Nadu (India); Sriram, S. [School of Electrical and Electronics Engineering, SASTRA University, Thanjavur, 613 401, Tamil Nadu (India)

    2017-07-01

    Highlights: • First report on combined effect of F doping and annealing on resistivity of ZnO:Ta films. • Various possible incorporation mechanisms of tantalum and fluorine are addressed. • Theoretical validation of Ta and F incorporation by DFT analysis is done. • Quality factor comparable with those obtained by physical methods is achieved.. - Abstract: In this study, our main focus is to investigate the effects of F doping and post deposition annealing (air and vacuum) on the optical and electrical characteristics of tantalum doped zinc oxide films (ZnO:Ta). A cost-effective, automated jet nebulizer spray pyrolysis technique is adopted to deposit the ZnO:Ta:F films. The doping level of Ta is kept constant (1 at.%) and that of F is varied from 5 to 20 at.% in steps of 5 at.%. The electrical resistivity of the as-deposited films decreases for 10 at.% of F concentration. The resistance increases thereafter. The same trend is also observed in annealed films. The reasons for these variations are addressed based on the effective F incorporation into the ZnO lattice and annealing atmosphere with the help of XRD, FESEM, AFM and PL studies. The incorporation of the dopants was confirmed from XPS and EDX analyses and the DFT studies show that the incorporation of the dopants does not affect the stability of the ZnO lattice. Vacuum-annealed films show better electrical properties over the as-deposited and air-annealed counterparts, though their transparency is affected marginally. A minimum resistivity of 0.81 × 10{sup −3} Ω cm and an enhanced quality factor of 2.265 × 10{sup −4} (Ω/sq){sup −1} are achieved for the vacuum-annealed films having Ta + F doping levels as 1 + 10 at.%. These results make this sample a desirable candidate for transparent electrode applications.

  18. Effects of substrate temperature and post-deposition anneal on properties of evaporated cadmium telluride films

    International Nuclear Information System (INIS)

    Bacaksiz, E.; Basol, B.M.; Altunbas, M.; Novruzov, V.; Yanmaz, E.; Nezir, S.

    2007-01-01

    The effects of substrate temperature and post-deposition heat treatment steps on the morphology, structural, optical and electrical properties of thin film CdTe layers grown by vacuum evaporation were investigated. Scanning electron microscopy and X-ray diffraction (XRD) techniques were employed to study the structural changes. It was observed that the grain sizes and morphologies of as-deposited layers were similar for substrate temperatures of - 173 deg. C and - 73 deg. C. However, CdTe films produced at a substrate temperature of 27 deg. C had substantially larger grain size and clearly facetted morphology. Annealing at 200-400 deg. C in air did not cause any appreciable grain growth in any of the films irrespective of their growth temperature. However, annealing at 400 deg. C reduced faceting in all cases and initiated fusing between grains. XRD studies showed that this behavior after annealing at 400 deg. C coincided with an onset of a degree of randomization in the originally strong (111) texture of the as-grown layers. Optical band gap measurements showed sharpening of the band-edge upon annealing at 400 deg. C and a band gap value in the range of 1.46-1.49 eV. Resistivity measurements indicated that annealing at 400 deg. C in air forms a highly resistive compensated CdTe film. All results point to 400 deg. C to be a critical annealing temperature at which optical, structural and electrical properties of CdTe layers start to change

  19. Effect of post annealing treatment on electrochromic properties of spray deposited niobium oxide thin films

    International Nuclear Information System (INIS)

    Mujawar, S.H.; Inamdar, A.I.; Betty, C.A.; Ganesan, V.; Patil, P.S.

    2007-01-01

    Niobium oxide thin films were deposited on the glass and fluorine doped tin oxide (FTO) coated glass substrates using simple and inexpensive spray pyrolysis technique. During deposition of the films various process parameters like nozzle to substrate distance, spray rate, concentration of sprayed solution were optimized to obtain well adherent and transparent films. The films prepared were further annealed and effect of post annealing on the structural, morphological, optical and electrochromic properties was studied. Structural and morphological characterizations of the films were carried out using scanning electron microscopy, atomic force microscopy and X-ray diffraction techniques. Electrochemical properties of the niobium oxide thin films were studied by using cyclic-voltammetry, chronoamperometry and chronocoulometry

  20. AFM, XRD and HRTEM Studies of Annealed FePd Thin Films

    International Nuclear Information System (INIS)

    Perzanowski, M.; Zabila, Y.; Polit, A.; Krupinski, M.; Dobrowolska, A.; Marszalek, M.; Morgiel, J.

    2010-01-01

    Ferromagnetic FePd L1 0 ordered alloys are highly expected as forthcoming high-density recording materials, because they reveal a large perpendicular magnetocrystalline anisotropy. The value of the magnetic anisotropy of FePd alloy strongly depends on the alloy composition, degree of alloy order as well as on the crystallographic grain orientation. In particular, to obtain the perpendicular anisotropy, it is necessary to get the films with (001) texture. One of the successful methods, which allows one to obtain highly ordered alloy, is a subsequent deposition of Fe and Pd layers, followed by an annealing at high temperature. This paper presents the study of the FePd thin alloy film structure changing in the result of high temperature annealing. During the annealing in high vacuum, the measurements of electrical resistance were performed, indicating the regions of different structure evolution. Changes in the crystal structure and surface morphology induced by thermal treatment were investigated by X-ray diffraction, atomic force microscopy, as well as high resolution transmission electron microscopy and then compared with electrical resistivity measurement. The slow thermal annealing of the deposited layers leads to the formation of L1 0 ordered FePd alloy with preferred (111) grain orientation. After the annealing at the highest used temperature, the dewetting process was observed, resulting in a creation of well oriented, regular nanoparticles. (author)

  1. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  2. Lamellar Diblock Copolymer Thin Films during Solvent Vapor Annealing Studied by GISAXS

    DEFF Research Database (Denmark)

    Zhang, Jianqi; Posselt, Dorthe; Smilgies, Detlef-M.

    2014-01-01

    The reorientation of lamellae and the dependence of the lamellar spacing, Dlam, on polymer volume fraction, ϕP, Dlam ∝ ϕP–β, in diblock copolymer thin films during solvent vapor annealing (SVA) are examined by combining white light interferometry (WLI) and grazing-incidence small-angle X-ray scat......The reorientation of lamellae and the dependence of the lamellar spacing, Dlam, on polymer volume fraction, ϕP, Dlam ∝ ϕP–β, in diblock copolymer thin films during solvent vapor annealing (SVA) are examined by combining white light interferometry (WLI) and grazing-incidence small-angle X...

  3. Crystallization behavior and domain structure in textured Pb(Zr0.52Ti0.48)O3 thin films by different annealing processes

    International Nuclear Information System (INIS)

    Huang, W.; Jiang, S.W.; Li, Y.R.; Zhu, J.; Zhang, Y.; Wei, X.H.; Zeng, H.Z.

    2006-01-01

    Amorphous Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films were prepared on the Pt/Ti/SiO 2 /Si substrates by radio-frequency magnetron sputtering at room temperature. After rapid thermal annealing (RTA) and conventional furnace annealing (CFA) at different temperatures, the films were transformed into polycrystalline PZT thin films with (111) and (100) orientation, respectively. The phase formation and ferroelectric domains correlated with different orientation were systematically investigated by X-ray diffraction and piezoresponse force microscopy. The results showed that the perovskite PZT crystal with [111] orientation hetero-nucleated preferentially on top of the PtPb intermetallic phase at the PZT/Pt interface during RTA process. It is of interest to find that the domain self-organized into a structure with rounded shape at the early stage of crystallization. While the nucleation of the films treated by CFA dominantly homo-nucleated, thus the (100) orientation grains with minimum surface energy were easy to grow. The texture effects on ferroelectric properties of PZT films were also discussed in relation to the domain structure

  4. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    Science.gov (United States)

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  5. Transitions of microstructure and photoluminescence properties of the Ge/ZnO multilayer films in certain annealing temperature region

    International Nuclear Information System (INIS)

    Zheng Tianhang; Li Ziquan; Chen Jiankang; Shen Kai; Sun Kefei

    2006-01-01

    The Ge/ZnO multilayer films have been prepared by rf magnetron sputtering. The effects of annealing on the microstructure and photoluminescence properties of the multilayers have been investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM), Fourier-transform infrared (FTIR) spectrometry and photoluminescence (PL) spectrometry. The investigation of structural properties indicates that Zn 2 GeO 4 has been formed with (220) texture and Zn deficiency from Ge/ZnO multilayer films in the process of annealing. However, lower Zn/Ge ratio can be improved by annealing. The annealed multilayers show three main emission bands at 532, 700, and 761nm, which originate from the transition between oxygen vacancy (V o * ) and Zn vacancies (V Zn ), the radiative recombination of quantum-confined excitons (QCE) in Ge nanocrystals, and the optical transition in the GeO color centers, respectively. Finally, the fabrication of thin film Zn 2 GeO 4 from Ge/ZnO multilayer films by annealing at low temperature provides another approach to prepare the green-emitting oxide phosphor film:Zn 2 GeO 4 :Mn

  6. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  7. The Effect of Annealing on Nanothick Indium Tin Oxide Transparent Conductive Films for Touch Sensors

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2015-01-01

    Full Text Available This study aims to discuss the sheet resistance of ultrathin indium tin oxide (ITO transparent conductive films during the postannealing treatment. The thickness of the ultrathin ITO films is 20 nm. They are prepared on B270 glass substrates at room temperature by a direct-current pulsed magnetron sputtering system. Ultrathin ITO films with high sheet resistance are commonly used for touch panel applications. As the annealing temperature is increased, the structure of the ultrathin ITO film changes from amorphous to polycrystalline. The crystalline of ultrathin ITO films becomes stronger with an increase of annealing temperature, which further leads to the effect of enhanced Hall mobility. A postannealing treatment in an atmosphere can enhance the optical transmittance owing to the filling of oxygen vacancies, but the sheet resistance rises sharply. However, a higher annealing temperature, above 250°C, results in a decrease in the sheet resistance of ultrathin ITO films, because more Sn ions become an effective dopant. An optimum sheet resistance of 336 Ω/sqr was obtained for ultrathin ITO films at 400°C with an average optical transmittance of 86.8% for touch sensor applications.

  8. Enhancement of optical transmittance and electrical resistivity of post-annealed ITO thin films RF sputtered on Si

    Science.gov (United States)

    Ali, Ahmad Hadi; Hassan, Zainuriah; Shuhaimi, Ahmad

    2018-06-01

    This paper reports on the enhancement of optical transmittance and electrical resistivity of indium tin oxide (ITO) transparent conductive oxides (TCO) deposited by radio frequency (RF) sputtering on Si substrate. Post-annealing was conducted on the samples at temperature ranges of 500-700 °C. From X-ray diffraction analysis (XRD), ITO (2 2 2) peak was observed after post-annealing indicating crystallization phase of the films. From UV-vis measurements, the ITO thin film shows highest transmittance of more than 90% at post-annealing temperature of 700 °C as compared to the as-deposited thin films. From atomic force microscope (AFM), the surface roughness becomes smoother after post-annealing as compared to the as-deposited. The lowest electrical resistivity for ITO sample is 6.68 × 10-4 Ω cm after post-annealed at 700 °C that are contributed by high carrier concentration and mobility. The improved structural and surface morphological characteristics helps in increasing the optical transmittance and reducing the electrical resistivity of the ITO thin films.

  9. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  10. Characterisation of amorphous silicon alloys by RBS/ERD with self consistent data analysis using simulated annealing

    International Nuclear Information System (INIS)

    Barradas, N.P.; Wendler, E.; Jeynes, C.; Summers, S.; Reehal, H.S.; Summers, S.

    1999-01-01

    Full text: Hydrogenated amorphous silicon films are deposited by CVD onto insulating (silica) substrates for the fabrication of solar cells. 1.5MeV 4 He ERD/RBS is applied to the films, and a self consistent depth profile of Si and H using the simulated annealing (SA) algorithm was obtained for each sample. The analytical procedure is described in detail, and the confidence limits of the profiles are obtained using the Markov Chain Monte Carlo method which is a natural extension of the SA algorithm. We show how the results are of great benefit to the growers

  11. Self-assembly morphology effects on the crystallization of semicrystalline block copolymer thin film

    Science.gov (United States)

    Wei, Yuhan; Pan, Caiyuan; Li, Binyao; Han, Yanchun

    2007-03-01

    Self-assembly morphology effects on the crystalline behavior of asymmetric semicrystalline block copolymer polystyrene-block-poly(L-lactic acid) thin film were investigated. Firstly, a series of distinctive self-assembly aggregates, from spherical to ellipsoid and rhombic lamellar micelles (two different kinds of rhombic micelles, defined as rhomb 1 and rhomb 2) was prepared by means of promoting the solvent selectivity. Then, the effects of these self-assembly aggregates on crystallization at the early stage of film evolution were investigated by in situ hot stage atomic force microscopy. Heterogeneous nucleation initiated from the spherical micelles and dendrites with flat on crystals appeared with increasing temperature. At high temperature, protruding structures were observed due to the thickening of the flat-on crystals and finally more thermodynamically stable crystallization formed. Annealing the rhombic lamellar micelles resulted in different phenomena. Turtle-shell-like crystalline structure initiated from the periphery of the rhombic micelle 1 and spread over the whole film surface in the presence of mostly noncrystalline domain interior. Erosion and small hole appeared at the surface of the rhombic lamellar micelle 2; no crystallization like that in rhomb 1 occurred. It indicated that the chain-folding degree was different in these two micelles, which resulted in different annealing behaviors.

  12. Effect of annealing temperature on electrical properties of poly (methyl methacrylate): titanium dioxide nanocomposite films using spin coating deposition technique

    International Nuclear Information System (INIS)

    Ismail, L N; Habibah, Z; Herman, S H; Rusop, M

    2014-01-01

    Nanocomposite poly (methyl methacrylate) :titanium dioxide (PMMA :TiO 2 ) film were deposited on glass substrate. The effect of annealing temperature, especially on electrical, dielectric and the morphological properties of the thin films were investigated by current-voltage (I-V) measurement, impedance spectroscopy, and FESEM. The annealing temperature is varies from 120°C, 140°C, 160°C, 180°C and 200°C. The electrical properties results showing when nanocomposite film annealed at '20°C produce the lowest current. Meanwhile, when the annealing temperature increased, the current increased drastically and this indicates the PMMA:TiO 2 nanocomposite film are no longer having insulating properties. The dielectric properties also indicate that film annealed at 120°C has the best dielectric properties compared to other temperature. The FESEM results show that as the temperature increased, the PMMA:TiO 2 nanocomposite film started to create a phase separation between the PMMA matrix and TiO 2 nanoparticles

  13. Multilayer TiC/TiN diffusion barrier films for copper

    International Nuclear Information System (INIS)

    Yoganand, S.N.; Raghuveer, M.S.; Jagannadham, K.; Wu, L.; Karoui, A.; Rozgonyi, G.

    2002-01-01

    TiC/TiN thin films deposited by reactive magnetron sputtering on Si (100) substrates were investigated by transmission electron microscopy for microstructure and by deep level transient spectroscopy (DLTS) for diffusion barrier against copper. TiN thin films deposited on Si substrates at a substrate temperature of 600 deg. C were textured, and TiC thin films deposited at the same temperature were polycrystalline. TiC/TiN multilayer films also showed the same characteristics with the formation of an additional interaction layer. The diffusion barrier characteristics of the TiC/TiN/Si were determined by DLTS and the results showed that the films completely prevented diffusion of copper into Si

  14. Influence of gaseous annealing environment on the properties of indium-tin-oxide thin films

    International Nuclear Information System (INIS)

    Wang, R.X.; Beling, C.D.; Fung, S.; Djurisic, A.B.; Ling, C.C.; Li, S.

    2005-01-01

    The influence of postannealing in different gaseous environments on the optical properties of indiu-tin-oxide (ITO) thin films deposited on glass substrates using e-beam evaporation has been systematically investigated. It is found that the annealing conditions affect the optical and electrical properties of the films. Atomic force microscopy, x-ray diffraction, and x-ray photoemission spectroscopy (XPS) were employed to obtain information on the chemical state and crystallization of the films. These data suggest that the chemical states and surface morphology of the ITO film are strongly influenced by the gaseous environment during the annealing process. The XPS data indicate that the observed variations in the optical transmittance can be explained by oxygen incorporation into the film, decomposition of the indium oxide phases, as well as the removal of metallic In

  15. Influence of surfactant and annealing temperature on optical properties of sol-gel derived nano-crystalline TiO2 thin films.

    Science.gov (United States)

    Vishwas, M; Sharma, Sudhir Kumar; Rao, K Narasimha; Mohan, S; Gowda, K V Arjuna; Chakradhar, R P S

    2010-03-01

    Titanium dioxide thin films have been synthesized by sol-gel spin coating technique on glass and silicon substrates with and without surfactant polyethylene glycol (PEG). XRD and SEM results confirm the presence of nano-crystalline (anatase) phase at an annealing temperature of 300 degrees C. The influence of surfactant and annealing temperature on optical properties of TiO(2) thin films has been studied. Optical constants and film thickness were estimated by Swanepoel's (envelope) method and by ellipsometric measurements in the visible spectral range. The optical transmittance and reflectance were found to decrease with an increase in PEG percentage. Refractive index of the films decreased and film thickness increased with the increase in percentage of surfactant. The refractive index of the un-doped TiO(2) films was estimated at different annealing temperatures and it has increased with the increasing annealing temperature. The optical band gap of pure TiO(2) films was estimated by Tauc's method at different annealing temperature. Copyright 2010 Elsevier B.V. All rights reserved.

  16. [Effects of annealing temperature on the structure and optical properties of ZnMgO films prepared by atom layer deposition].

    Science.gov (United States)

    Sun, Dong-Xiao; Li, Jin-Hua; Fang, Xuan; Chen, Xin-Ying; Fang, Fang; Chu, Xue-Ying; Wei, Zhi-Peng; Wang, Xiao-Hua

    2014-07-01

    In the present paper, we report the research on the effects of annealing temperature on the crystal quality and optical properties of ZnMgO films deposited by atom layer deposition(ALD). ZnMgO films were prepared on quartz substrates by ALD and then some of the samples were treated in air ambient at different annealing temperature. The effects of annealing temperature on the crystal quality and optical properties of ZnMgO films were characterized by X-ray diffraction (XRD), photoluminescence (PL) and ultraviolet-visible (UV-Vis) absorption spectra. The XRD results showed that the crystal quality of ZnMgO films was significantly improved when the annealing temperature was 600 degrees C, meanwhile the intensity of(100) diffraction peak was the strongest. Combination of PL and UV-Vis absorption measurements showed that it can strongly promote the Mg content increasing in ZnMgO films and increase the band gap of films. So the results illustrate that suitable annealing temperature can effectively improve the crystal quality and optical properties of ZnMgO films.

  17. Annealing of SnO2 thin films by ultra-short laser pulses

    NARCIS (Netherlands)

    Scorticati, D.; Illiberi, A.; Bor, T.; Eijt, S.W.H.; Schut, H.; Römer, G.R.B.E.; Lange, D.F. de; Huis In't Veld, A.J.

    2014-01-01

    Post-deposition annealing by ultra-short laser pulses can modify the optical properties of SnO2 thin films by means of thermal processing. Industrial grade SnO2 films exhibited improved optical properties after picosecond laser irradiation, at the expense of a slightly increased sheet resistance

  18. Influence of alloying and secondary annealing on anneal hardening ...

    Indian Academy of Sciences (India)

    Unknown

    Influence of alloying and secondary annealing on anneal hardening effect at sintered copper alloys. SVETLANA NESTOROVIC. Technical Faculty Bor, University of Belgrade, Bor, Yugoslavia. MS received 11 February 2004; revised 29 October 2004. Abstract. This paper reports results of investigation carried out on sintered ...

  19. Rapid fabrication of transparent conductive films with controllable sheet resistance on glass substrates by laser annealing of diamond-like carbon films

    International Nuclear Information System (INIS)

    Lee, Keunhee; Ki, Hyungson

    2016-01-01

    We report a laser-based method for directly fabricating large-area, transparent conductive films with customizable electrical resistance on glass. In this method, a diamond-like carbon (DLC) film is deposited first on a glass substrate by pulsed laser deposition, which is then annealed in a helium shielding environment by a 2 kW continuous-wave fiber laser with a wavelength of 1070 nm, which is transparent to glass but is absorbed by DLC to transform the amorphous carbons to graphene. When a 510 nm thick film was annealed at a scanning speed of 1 m/s by a 200 μm top-hat laser beam, the sp 3 fraction was decreased from 43.1% to 8.1% after the annealing process, and the transformed film showed a transparency of ∼80% (at 550 nm) and a sheet resistance of ∼2050 Ω/sq. We also showed that sheet resistance and transparency can be controlled by changing processing parameters. To show the scalability of the method, a 15 mm wide line beam was used to produce a 15 mm × 15 mm film. This method is simple, fully scalable, transfer-free and catalyst-free, and we believe that the fabricated films can have many applications with further research, such as transparent heating films, electromagnetic shielding films, and transparent electrodes.

  20. The role of oxygen in the deposition of copper–calcium thin film as diffusion barrier for copper metallization

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Zhinong, E-mail: znyu@bit.edu.cn [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China); Ren, Ruihuang [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China); Xue, Jianshe; Yao, Qi; Li, Zhengliang; Hui, Guanbao [Beijing BOE Optoelectronics Technology Co., Ltd, Beijing 100176 (China); Xue, Wei [School of Optoelectronics and Beijing Engineering Research Center of Mixed Reality and Advanced Display, Beijing Institute of Technology, Beijing 100081 (China)

    2015-02-15

    Highlights: • The CuCa film as the diffusion barrier of Cu film improves the adhesion of Cu film. • The introduction of oxygen into the deposition of CuCa film is necessary to improve the adhesion of Cu film. • The CuCa alloy barrier layer deposited at oxygen atmosphere has perfect anti-diffusion between Cu film and substrate. - Abstract: The properties of copper (Cu) metallization based on copper–calcium (CuCa) diffusion barrier as a function of oxygen flux in the CuCa film deposition were investigated in view of adhesion, diffusion and electronic properties. The CuCa film as the diffusion barrier of Cu film improves the adhesion of Cu film, however, and increases the resistance of Cu film. The introduction of oxygen into the deposition of CuCa film induces the improvement of adhesion and crystallinity of Cu film, but produces a slight increase of resistance. The increased resistance results from the partial oxidation of Cu film. The annealing process in vacuum further improves the adhesion, crystallinity and conductivity of Cu film. X-ray diffraction (XRD) and Auger electron spectroscopy (AES) show that the CuCa alloy barrier layer deposited at oxygen atmosphere has perfect anti-diffusion between Cu film and substrate due to the formation of Ca oxide in the interface of CuCa/substrate.

  1. The effect of thermal annealing on pentacene thin film transistor with micro contact printing.

    Science.gov (United States)

    Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.

  2. A comparative study of thermal annealing effects under various atmospheres on nano-structured CdS thin films prepared by CBD

    Energy Technology Data Exchange (ETDEWEB)

    Kong, Lingjun; Li, Jianmin; Chen, Guilin; Zhu, Changfei, E-mail: cfzhu@ustc.edu.cn; Liu, Weifeng, E-mail: liuwf@ustc.edu.cn

    2013-10-05

    Highlights: •Smooth and uniform CdS thin films were deposited successfully by CBD method. •The influence of CdCl{sub 2}-assisted annealing under various atmospheres of CdS films has been investigated. •We gave a more detailed research on annealing temperature after identified the most optimal annealing method. •High quality CdS films were obtained with air–CdCl{sub 2}-assisted treatments at 400 °C for 0.5 h. •GIXRD was used as a new analysis method of CdS in this paper. -- Abstract: Cadmium sulfide (CdS) nanofilms have been deposited on the glass substrate using the chemical bath technique. The effects of CdCl{sub 2}-assisted annealing under different atmosphere (vacuum, Ar and air) on the structural, morphological and optical properties of CdS nanofilms have been studied. After identifying the optimal annealing atmosphere, we also investigated the CdS thin film annealed at different annealing temperature (300, 400 and 500 °C). Films have been characterized by GI-XRD analysis, scanning electron microscopy, and UV–Vis–NIR spectrophotometer. The as-deposited CdS films have been found to be nanocrystalline in nature with a mixture of two crystallographic phases: a hexagonal phase and a cubic phase. After annealed in air with a CdCl{sub 2} coating layer at 400 °C, the films showed pure hexagonal phase, indicating the phase transition of CdS. It was found that the treatment in air with a CdCl{sub 2} coating layer increased the crystallinity and the mean grain size of CdS film, which are advantageous to the application in solar cells as a window layer material.

  3. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  4. Evolution of microstructure and texture in copper during repetitive extrusion-upsetting and subsequent annealing

    DEFF Research Database (Denmark)

    Chen, Q.; Shu, D. Y.; Lin, J.

    2017-01-01

    The evolution of the microstructure and texture in copper has been studied during repetitive extrusion-upsetting (REU) to a total von Mises strain of 4.7 and during subsequent annealing at different temperatures. It is found that the texture is significantly altered by each deformation pass...... strain of 4.7 is measured to be ∼0.3μm. This refined microstructure is unstable at room temperature as is evident from the presence of a small number of recrystallized grains in the deformed matrix. Pronounced recrystallization took place during annealing at 200 °C for 1 h with recrystallized grains...... developing predominantly in high misorientation regions. At 350 ºC the microstructure is fully recrystallized with an average grain size of only 2.3 μm and a very weak crystallographic texture. This REU-processed and subsequently annealed material is considered to be potentially suitable for using...

  5. Organization of copper nanoclusters in Langmuir–Blodgett films

    Indian Academy of Sciences (India)

    Stable nanoclusters of Cu were synthesized using Langmuir–Blodgett films of octadecylsuccinic acid (ODSA) as template. The Langmuir–Blodgett films of ODSA formed from subphase containing copper ions were first subjected to sulphidation (S) using sodium sulphide and then hydrogenated (H) using hydrogen gas.

  6. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  7. Influence of deposition parameters and annealing on Cu2ZnSnS4 thin films grown by SILAR

    International Nuclear Information System (INIS)

    Patel, Kinjal; Shah, Dimple V.; Kheraj, Vipul

    2015-01-01

    Highlights: • Optimisation of Cu 2 ZnSnS 4 (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu 2 ZnSnS 4 (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur

  8. Effect of annealing on the electrical, optical and structural properties of cadmium stannate thin films prepared by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Kumaravel, R.; Krishnakumar, V.; Gokulakrishnan, V.; Ramamurthi, K.; Jeganathan, K.

    2010-01-01

    Polycrystalline thin films of cadmium stannate (Cd 2 SnO 4 ) were deposited by spray pyrolysis method on the Corning substrates at substrate temperature of 525 o C. Further, the films were annealed at 600 o C in vacuum for 30 min. These films were characterized for their structural, electrical and optical properties. The experimental results showed that the post-deposition annealing in vacuum has a significant influence on the properties of the films. The average grain size of the film was increased from 27.3 to 35.0 nm on heat treatment. The average optical transmittance in the visible region (500-850 nm) is decreased from 81.4% to 73.4% after annealing in vacuum. The minimum resistivity achieved in the present study for the vacuum annealed films is the lowest among the reported values for the Cd 2 SnO 4 thin films prepared by spray pyrolysis method.

  9. Effect of thickness and temperature of copper phthalocyanine films on their properties

    Directory of Open Access Journals (Sweden)

    Alieva Kh. S.

    2012-06-01

    Full Text Available The research has shown that copper phthalocyanine films, having a set of unique properties, can be successfully used as gas-sensitive coating of resistive structures. The thickness of the film, in contrast to its temperature, is not the determining factor for high sensitivity. Low operating temperature of structures with copper phthalocyanine films allows to exploit them in economy mode.

  10. Study of fine films nature on the surface of copper band by photoelectron spectroscopy method

    International Nuclear Information System (INIS)

    Reznichenko, K.N.; Fedorov, V.N.; Shevakin, Yu.F.

    1983-01-01

    The composition of surface films formed on the copper band of industrial production under atmospheric conditions, its changes in thickness and determination of chemical state of the above films are studied. It has been found by the methods of X-ray photoelectronic and Auger-spectroscopy that defect formations on the surface of the copper band of industrial production represent copper oxides in the form of fine films, their change in colour from blue to dark blue probably is determined by different thickness of these defects. The said films on copper have practically identical chemical composition characterized by the presence of unequally valent copper, oxygen in various states (adsorbed and in the form of oxides), carbon and iron. By means of chemical shifts of the line Cu 2psub(3/2) and Ol s the presence in the external part of the film of CuO copper oxide is established and nearer to the interface surface film-metal-of Cu 2 O cuprous oxide which indicates a two-layer surface film structure. The presence of adsorbed carbon and iron in the film composition is a result of surface contamination

  11. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  12. Influence of Al concentration and annealing temperature on structural, optical, and electrical properties of Al co-doped ZnO thin films

    International Nuclear Information System (INIS)

    Gürbüz, Osman; Kurt, İsmail; Çalışkan, Serkan; Güner, Sadık

    2015-01-01

    Highlights: • RF magnetron sputtering technique seems to be very efficient method for fabrication of Al doped ZnO (AZO) films. • Long range single crystalline structure improves with annealing process. • Optical properties became much better after annealing process especially for the AZO films that include high Al concentration. • Much greater conductivity with increasing Al concentration and annealing process. • AZO films have potential applicability in spintronic devices. - Abstract: The pure ZnO and Al-doped ZnO (AZO) thin films (thickness: 200 nm) were prepared on both side polished silica (SiO 2 ) substrates via RF magnetron sputtering at room temperature by using 2.5 inches high-purity ZnO (99.9%) and Al (99.9%) targets. The samples were annealed at 300 °C, 400 °C and 500 °C for 45 min in N 2 ambient in quartz annealing furnace system, respectively. We investigated the effects of various Al concentrations and annealing treatment on the structural, electrical, and optical properties of films. The preferred crystallization was observed along c axis (single (0 0 2) diffraction peak) from substrate surface assigning the single crystalline Würtzite lattice for pure ZnO and AZO thin films. Although increasing Al concentration decreases the order of crystallization of as-grown films, annealing process increases the long range crystal order. The crystallite sizes vary between minimum 12.98 nm and maximum 20.79 nm for as-grown and annealed samples. The crystallite sizes decrease with increasing Al concentration but increase with increasing annealing temperature as general trend. The grain size and porosity of films change with annealing treatment. The smaller grains coalesce together to form larger grains for many films. However, a reverse behavior is seen for Al 2.23 ZnO and Al 12.30 ZnO samples. That is, Al concentration plays critical role as well as temperature on grain size. Low percent optical transmittance (T%) is observed due to higher Al

  13. Phase transformations in sputter-deposited W-doped TiO2 films during annealing in air

    International Nuclear Information System (INIS)

    Saladukhin, I. A.; Abadias, G.

    2013-01-01

    Pure and tungsten-doped TiO 2 films are characterized as amorphous in the as-deposited state by XRD. A crystallization of titanium dioxide occurs during their annealing in air. Depending on the tungsten and nitrogen doping level, anatase or rutile phase formation is observed. Both of these phases are thermally stable in all interval of the temperatures used during annealing. Phase composition and lattice parameter analysis indicates on the formation of substitutional Ti 1 -xW x O 2 films. N-doped Ti 0 .75W 0 .25O 2 film is more resistant against high-temperature oxidation as compared to Ti 0 .74W 0 .26O 2 film and, especially, as compared to Ti 0 .60W 0 .40O 2 film. (authors)

  14. Current-induced metal-insulator transition in VO x thin film prepared by rapid-thermal-annealing

    International Nuclear Information System (INIS)

    Cho, Choong-Rae; Cho, SungIl; Vadim, Sidorkin; Jung, Ranju; Yoo, Inkyeong

    2006-01-01

    The phenomenon of metal-insulator transition (MIT) in polycrystalline VO x thin films and their preparations have been studied. The films were prepared by sputtering of vanadium thin films succeeded by Rapid Thermal Annealing (RTA) in oxygen ambient at 500 deg. C. Crystalline, compositional, and morphological characterizations reveal a continuous change of phase from vanadium metal to the highest oxide phase, V 2 O 5 , with the time of annealing. Electrical MIT switching has been observed in these films. Sweeping mode, electrode area, and temperature dependent MIT has been studied in Pt/VO x /Pt vertical structure. The important parameters for MIT in VO x have been found to be the current density and the electric field, which depend on carrier density in the films

  15. Comparative studies of laser annealing technique and furnace annealing by X-ray diffraction and Raman analysis of lithium manganese oxide thin films for lithium-ion batteries

    International Nuclear Information System (INIS)

    Pröll, J.; Weidler, P.G.; Kohler, R.; Mangang, A.; Heißler, S.; Seifert, H.J.; Pfleging, W.

    2013-01-01

    The structure and phase formations of radio frequency magnetron sputtered lithium manganese oxide thin films (Li 1.1 Mn 1.9 O 4 ) under ambient air were studied. The influence of laser annealing and furnace annealing, respectively, on the bulk structure and surface phases was compared by using ex-situ X-ray diffraction and Raman analysis. Laser annealing technique formed a dominant (440)-reflection, furnace annealing led to both, (111)- and (440)-reflections within a cubic symmetry (S.G. Fd3m (227)). Additionally, in-situ Raman and in-situ X-ray diffraction were applied for online detection of phase transformation temperatures. In-situ X-ray diffraction measurements clearly identified the starting temperature for the (111)- and (440)-reflections around 525 °C and 400 °C, respectively. The 2θ Bragg peak positions of the characteristic (111)- and (440)-reflections were in good agreement with those obtained through conventional furnace annealing. Laser annealing of lithium manganese oxide films provided a quick and efficient technique and delivered a dominant (440)-reflection which showed the expected electrochemical behavior of the well-known two-step de-/intercalation process of lithium-ions into the cubic spinel structure within galvanostatic testing and cyclic voltammetry. - Highlights: ► Formation of cubic spinel-like phase of Li–Mn–O thin films by rapid laser annealing ► Laser annealing at 680 °C and 100 s was demonstrated as quick crystallization method. ► 400 °C was identified as characteristic onset temperature for (440)-reflex formation

  16. Effects of deposition temperature and in-situ annealing time on structure and magnetic properties of (001) orientation FePt films

    International Nuclear Information System (INIS)

    Yu, Yongsheng; George, T.A.; Li, Haibo; Sun, Daqian; Ren, Zhenan; Sellmyer, D.J.

    2013-01-01

    FePt films were prepared on (100) oriented single crystal MgO substrates at high temperature ranging from 620 until 800 °C and in-situ annealed for different times ranging from 0 to 60 min to obtain ordered FePt films. The structural analysis indicates that FePt films grow epitaxially on MgO (100) substrates. Both increasing deposition temperature and in-situ annealing time enhance the (001) texture and ordering of FePt films. The magnetic analysis shows that these L1 0 FePt films have perpendicular anisotropy and the easy magnetization c-axis is perpendicular to the film plane. Magnetization reversal is controlled by a rotational mechanism. The hard magnetic properties of the films are improved with increasing deposition temperature or in-situ annealing time. - Highlights: ► The paper reports the texture and magnetic evolution of FePt films deposited on MgO substrates. ► Increasing deposition temperature or annealing time enhanced the texture and ordering. ► The magnetic analysis shows L1 0 FePt films have perpendicular anisotropy.

  17. Effect of annealing on properties of sputtered and nitrogen-implanted ZnO:Ga thin films

    Directory of Open Access Journals (Sweden)

    Vojs M.

    2012-07-01

    Full Text Available Thin films of gallium-doped zinc oxide (ZnO:Ga were deposited on Corning glass substrates by rf diode sputtering and then implanted with 180 keV nitrogen ions in the dose range of 1 × 1015 ÷ 2 × 1016 cm-2. After the ion implantation, the films were annealed under oxygen and nitrogen ambient, at different temperatures and time, and the effect on their microstructure, type and range of conductivity, and optical properties was investigated. Post-implantation annealing at 550 °C resulted in n-type conductivity films with the highest electron concentration of 1.4 × 1020 cm-3. It was found that the annealing parameters had a profound impact on the film’s properties. A p-type conductivity (a hole concentration of 2.8 × 1019 cm-3, mobility of 0.6 cm2/V s was observed in a sample implanted with 1 × 1016 cm-2 after a rapid thermal annealing (RTA in N2 at 400 °C. Optical transmittance of all films was >84% in the wavelength range of 390–1100 nm. The SIMS depth profile of the complex 30NO− ions reproduces well a Gaussian profile of ion implantation. XRD patterns reveal a polycrystalline structure of N-implanted ZnO:Ga films with a c-axis preferred orientation of the crystallites. Depending on the annealing conditions, the estimated crystallite size increased 25 ÷ 42 nm and average micro-strains decreased 1.19 × 10-2 ÷ 6.5 × 10-3 respectively.

  18. Investigation of optimum annealing parameters for formation of dip coated Cu{sub 2}ZnSnS{sub 4} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Chaudhari, Sushmita; Kannan, P.K.; Dey, Suhash R., E-mail: suhash@iith.ac.in

    2016-08-01

    Cu{sub 2}ZnSnS{sub 4} (CZTS) is most attractive absorber material for inorganic solar cell applications because of its cost effective and ecofriendly nature. To obtain phase pure CZTS film, effects of annealing parameters on synthesis of CZTS thin film are investigated. CZTS films are deposited through dip coating method followed by heat treatment to form crystalline CZTS thin films. Factors influencing the crystallinity, morphology and composition of the films such as annealing temperature, time, rate and atmosphere are studied through X-Ray Diffraction, Raman Spectroscopy, Scanning Electron Microscopy and Energy Dispersive X-Ray Spectroscopy. After numerous experiments of synthesis of CZTS in different annealing conditions and its characterization, it is observed that 1.4 eV band gap CZTS thin film of kesterite structure is obtained by annealing the film in nitrogen atmosphere for 60 min at 300 °C with 10 °C/min ramping rate. - Highlights: • Dip coated Cu{sub 2}ZnSnS{sub 4} film is developed using non-hydrazine based precursor solution. • Optimum annealing condition to achieve best crystalline film is studied. • Optimal condition is 300 °C in N{sub 2} atmosphere for 60 min at 10 °C/min ramping rate. • Bandgap of prepared films is 1.4 eV, suitable for solar cell applications.

  19. The annealing temperature dependences of microstructures and magnetic properties in electro-chemical deposited CoNiFe thin films

    International Nuclear Information System (INIS)

    Suharyadi, Edi; Riyanto, Agus; Abraha, Kamsul

    2016-01-01

    CoNiFe thin films with various compositions had been successfully fabricated using electro-chemical deposition method. The crystal structure of Co_6_5Ni_1_5Fe_2_0, Co_6_2Ni_1_5Fe_2_3, and Co_5_5Ni_1_5Fe_3_0 thin films was fcc, bcc-fcc mix, and bcc, respectively. The difference crystal structure results the difference in magnetic properties. The saturation magnetic flux density (Bs) of Co_6_5Ni_1_5Fe_2_0, Co_6_2Ni_1_5Fe_2_3, and Co_5_5Ni_1_5Fe_3_0 thin films was 1.89 T, 1.93 T, and 2.05 T, respectively. An optimal annealing temperature was determined for controlling the microstructure and magnetic properties of CoNiFe thin films. Depending on annealing temperature, the ratio of bcc and fcc structure varied without changing the film composition. By annealing at temperature of T ≥ 350°C, the intensity ratio of X-ray diffraction peaks for bcc(110) to fcc(111) increased. The increase of phase ratio of bcc(110) to fcc(111) caused the increase of Bs, from 1.89 T to 1.95 T. Coercivity (Hc) also increased after annealing, from 2.6 Oe to 18.6 Oe for fcc phase thin films, from 2.0 Oe to 12.0 Oe for fcc-bcc mix phase thin films, and 7.8 Oe to 8 Oe for bcc phase thin films. The changing crystal structures during annealing process indicated that the thermal treatment at high temperature cause the changing crystallinity and atomic displacement. The TEM bright-field images with corresponding selected-area electron diffraction (SAED) patterns showed that there are strongly effects of thermal annealing on the size of fcc and bcc phase crystalline grain as described by size of individual spot and discontinuous rings. The size of crystalline grains increased by thermal annealing. The evolution of bcc and fcc structures of CoNiFe during annealing is though to be responsible for the change of magnetic properties.

  20. Structural and electrical properties of room temperature pulsed laser deposited and post-annealed thin SrRuO3 films

    International Nuclear Information System (INIS)

    Gautreau, O.; Harnagea, C.; Normandin, F.; Veres, T.; Pignolet, A.

    2007-01-01

    Good quality strontium ruthenate (SrRuO 3 ) thin continuous films (15 to 125 nm thick) have been synthesized on silicon (100) substrates by room temperature pulsed laser deposition under vacuum followed by a post-deposition annealing, a route unexplored and yet not reported for SrRuO 3 film growth. The presence of an interfacial Sr 2 SiO 4 layer has been identified for films annealed at high temperature, and the properties of this interface layer as well as the properties of the SrRuO 3 film have been analyzed and characterized as a function of the annealing temperature. The room temperature resistivity of the SrRuO 3 films deposited by laser ablation at room temperature and post-annealed is 2000 μΩ.cm. A critical thickness of 120 nm has been determined above which the influence of the interface layer on the resistivity becomes negligible

  1. Vacuum-annealing induced enhancements in the transparent conducting properties of Mo  +  F doped ZnO thin films

    Science.gov (United States)

    Dineshbabu, N.; Ravichandran, K.

    2017-09-01

    The decisive aim of the present study is to enhance the transparent conducting properties of Mo  +  F co-doped ZnO films through annealing. In this work, Mo  +  F co-doped ZnO (MFZO) films were deposited on glass substrates at a deposition temperature of 350 °C using a home-made nebulizer spray pyrolysis technique and the prepared samples were annealed under air and vacuum atmosphere at 400 °C for 2 h. The structural, electrical, optical, surface morphological and elemental properties of as-deposited, air-annealed and vacuum-annealed samples were compared using various analytical techniques. The vacuum-annealed sample shows lowest resistivity of 1.364  ×  10-3 Ω cm and high transmittance of 90% in the visible region with high ohmic conducting nature. The optical bandgap of the sample was found to be increased to 3.36 eV after vacuum annealing treatment. The XRD patterns of the films confirmed the polycrystalline nature. The PL measurements show the defect levels of the deposited films. The FESEM and AFM studies show an increase in the grain size and roughness of the films, respectively, after vacuum-annealing treatment. The presence of the elements before and after annealing treatment was confirmed using XPS analysis.

  2. Improved electrical conduction properties in unintentionally-doped ZnO thin films treated by rapid thermal annealing

    International Nuclear Information System (INIS)

    Lee, Youngmin; Lee, Choeun; Shim, Eunhee; Jung, Eiwhan; Lee, Jinyong; Kim, Deukyoung; Lee, Sejoon; Fu, Dejun; Yoon, Hyungdo

    2011-01-01

    The effects of thermal treatments on the electrical conduction properties for the unintentionally doped ZnO thin films were investigated. Despite the decreased carrier density in the annealed ZnO thin films, the conductivity was increased because the contribution of the effective carrier mobility to the conductivity of the unintentionally-doped ZnO thin films is greater than that of the carrier density. The resistivity exponentially decreased with increasing RTA temperature, and this result was confirmed to come from the enhanced effective carrier-mobility, which originated from the increased crystallite size in the annealed ZnO thin films.

  3. Improved electrical conduction properties in unintentionally-doped ZnO thin films treated by rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Youngmin; Lee, Choeun; Shim, Eunhee; Jung, Eiwhan; Lee, Jinyong; Kim, Deukyoung; Lee, Sejoon [Dongguk University-Seoul, Seoul (Korea, Republic of); Fu, Dejun [Wuhan University, Wuhan (China); Yoon, Hyungdo [Korea Electronics Technology Institute, Seongnam (Korea, Republic of)

    2011-10-15

    The effects of thermal treatments on the electrical conduction properties for the unintentionally doped ZnO thin films were investigated. Despite the decreased carrier density in the annealed ZnO thin films, the conductivity was increased because the contribution of the effective carrier mobility to the conductivity of the unintentionally-doped ZnO thin films is greater than that of the carrier density. The resistivity exponentially decreased with increasing RTA temperature, and this result was confirmed to come from the enhanced effective carrier-mobility, which originated from the increased crystallite size in the annealed ZnO thin films.

  4. Thermoelectric properties of P-type Sb2Te3 thick film processed by a screen-printing technique and a subsequent annealing process

    International Nuclear Information System (INIS)

    Kim, Sun Jin; We, Ju Hyung; Kim, Jin Sang; Kim, Gyung Soo; Cho, Byung Jin

    2014-01-01

    Highlights: • We report on thermoelectric properties of screen-printed Sb 2 Te 3 thick film. • Subsequent annealing process determines thermoelectric properties of Sb 2 Te 3 film. • Annealing in tellurium powder ambient contributes to tellurium-rich Sb 2 Te 3 film. • Annealing in tellurium powder ambient enhances carrier mobility of Sb 2 Te 3 film. -- Abstract: We herein report the thermoelectric properties of Sb 2 Te 3 thick film fabricated by a screen-printing technique and a subsequent annealing process. Each step of the screen-printing fabrication process of Sb 2 Te 3 thick film is described in detail. It was found that the subsequent annealing process must be carefully designed to achieve good thermoelectric properties of the screen-printed film. The results show that the annealing of the screen-printed Sb 2 Te 3 thick film together with tellurium powder in the same process chamber significantly improves the carrier mobility by increasing the average scattering time of the carrier in the film, resulting in a large improvement of the power factor. By optimizing the annealing process, we achieved a maximum thermoelectric figure-of-merit, ZT, of 0.32 at room temperature, which is slightly higher than that of bulk Sb 2 Te 3 . Because screen-printing is a simple and low-cost process and given that it is easy to scale up to large sizes, this result will be useful for the realization of large, film-type thermoelectric devices

  5. Effect of an annealing on magnetic properties of Fe-Ni films electroplated in citric-acid-based plating baths

    Science.gov (United States)

    Yanai, T.; Koda, K.; Eguchi, K.; Morimura, T.; Takashima, K.; Nakano, M.; Fukunaga, H.

    2018-04-01

    We have already reported Fe-Ni films with good soft magnetic properties prepared by using an electroplating method. In the present study, we employed an annealing for further improvement in soft magnetic properties of the electroplated Fe-Ni films. The annealing reduces the coercivity of the films, and the reduction rate of the coercivity depended on the Cl- ion concentration in the bath. The Fe22Ni78 films prepared in the plating bath with high Cl- ion concentration showed large reduction rate of the coercivity, and we found that the annealing is more effective for high Cl- ion concentration bath since much lower coercivity value can be obtained compared with that for low Cl- ion concentration one.

  6. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  7. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    The effect of deposition and thermal annealing temperatures on the dry etch rate of a-C:H films was investigated to increase our fundamental understanding of the relationship between thermal annealing and dry etch rate and to obtain a low dry etch rate hard mask. The hydrocarbon contents and hydrogen concentration were decreased with increasing deposition and annealing temperatures. The I(D)/I(G) intensity ratio and extinction coefficient of the a-C:H films were increased with increasing deposition and annealing temperatures because of the increase of sp 2 bonds in the a-C:H films. There was no relationship between the density of the unpaired electrons and the deposition temperature, or between the density of the unpaired electrons and the annealing temperature. However, the thermally annealed a-C:H films had fewer unpaired electrons compared with the as-deposited ones. Transmission electron microscopy analysis showed the absence of any crystallographic change after thermal annealing. The density of the as-deposited films was increased with increasing deposition temperature. The density of the 600 °C annealed a-C:H films deposited under 450 °C was decreased but at 550 °C was increased, and the density of all 800 °C annealed films was increased. The dry etch rate of the as-deposited a-C:H films was negatively correlated with the deposition temperature. The dry etch rate of the 600 °C annealed a-C:H films deposited at 350 °C and 450 °C was faster than that of the as-deposited film and that of the 800 °C annealed a-C:H films deposited at 350 °C and 450 °C was 17% faster than that of the as-deposited film. However, the dry etch rate of the 550 °C deposited a-C:H film was decreased after annealing at 600 °C and 800 °C. The dry etch rate of the as-deposited films was decreased with increasing density but that of the annealed a-C:H films was not. These results indicated that the dry etch rate of a-C:H films for dry etch hard masks can be further decreased by

  8. Evaluation of the Process of Solvent Vapor Annealing on Organic Thin Films

    KAUST Repository

    Ren, Yi

    2011-07-01

    Solvent vapor annealing has recently emerged as an intriguing, room-temperature, and highly versatile alternative to thermal annealing. The chemically selective interaction between solvents and organic semiconductors opens new opportunities to selectively anneal certain components of the device, while leaving others intact. On the downside, these interactions are complex and rather unpredictable, requiring further investigation. We propose a novel methodology to investigate solvent-film interactions, based on use of an in situ quartz crystal microbalance with dissipation (QCM-D) capability and in situ grazing incidence wide angle X-ray scattering (GIWAXS). These methods make it possible to investigate both qualitatively and quantitatively the solvent vapor uptake, the resulting softening and changes (reversible and/or irreversible) in crystallinity. Using this strategy, we have investigated the solvent vapor annealing of traditional donor and acceptor materials, namely poly(3-hexylthiophene-2,5-diyl) (P3HT) and [6,6]-Phenyl-C61-butyric acid methyl ester (PCBM). We find these materials retain their rigid structure during toluene vapor annealing and do not dewet. We also investigated the toluene vapor annealing of several newly proposed acceptor molecules (pentacene-based) modified with various silyl groups and electron withdrawing groups to tune the packing structure of the acceptor domains and energy levels at the donor-acceptor interface. We found a dramatic effect of the electron-withdrawing group on vapor uptake and whether the film remains rigid, softens, or dissolves completely. In the case of trifluoromethyl electron-withdrawing group, we found the film dissolves, resulting in complete and irreversible loss of long range order. By contrast, the cyano group prevented loss of long range order, instead promoting crystallization in some cases. The silyl groups had a secondary effect in comparison to these. In the last part of the thesis, we investigated the

  9. Effect of annealing temperature on the crystalline quality and phase transformation of chemically deposited CdSe films

    International Nuclear Information System (INIS)

    Zapata-Torres, M.; Chale-Lara, F.; Caballero-Briones, F.; Calzadilla, O.

    2005-01-01

    Polycrystalline CdSe thin films were grown on glass substrates by chemical bath deposition at 50 C. The samples were annealed in air atmosphere at different temperatures and characterized by X-ray diffraction and Raman spectroscopy. It was found that the as-grown films have cubic structure. These samples maintain their cubic structure for annealing temperatures between 60 C and 300 C. For annealing temperatures higher than 300 C we obtain a mixture of cubic and hexagonal phases. The analysis made by X-ray diffraction and Raman dispersion show that the samples annealed at temperatures under the phase-transition temperature increase their crystalline quality. In order to determinate the temperature for the complete transition of the cubic phase, we used the precipitated material obtained during the grown of the CdSe films. This material was annealed on air atmosphere between 300 C and 500 C with 50 intervals. The samples were measured by X-ray diffraction. The samples maintained the cubic structure if the annealing temperature is under 300 C. For temperatures between 300 C and 450 C we found a mixture of cubic and hexagonal phase. For an annealing temperature of 500 C we obtain only the hexagonal phase. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Solid state de-wetting observed for vapor deposited copper films on carbon substrates

    International Nuclear Information System (INIS)

    Schrank, C.; Eisenmenger-Sittner, C.; Neubauer, E.; Bangert, H.; Bergauer, A.

    2004-01-01

    Copper-Carbon composites are a good example for novel materials consisting of components with extremely different physical and chemical properties. They have a high potential for an application as heat sinks for electronic components, but the joining of the two materials is a difficult task. To obtain reasonable mechanical and thermal contact between copper and carbon the following route was chosen. First glassy-carbon substrates were subjected to an RF-Nitrogen plasma treatment. Then 300 nm thick copper coatings were sputter-deposited on the plasma treated surface within the same vacuum chamber. Finally, the samples were removed from the deposition chamber and either investigated immediately or thermally annealed at 850 deg. C under high vacuum conditions (10 -4 Pa). While non-annealed copper-coatings were continuous and showed excellent adhesion values of approximately 700 N/cm 2 , the heat treated samples lose their continuity by a de-wetting process. At the beginning holes are formed, then a labyrinth-like morphology develops and finally the coating consists of isolated droplets. All these processes occur well below the melting temperature of copper and were observed by AFM and SEM. The mechanism of this solid-state de-wetting process is investigated in relation to the recent literature on de-wetting and its consequences on the manufacturing of copper-carbon composites are discussed

  11. Step dynamics and terrace-width distribution on flame-annealed gold films: The effect of step-step interaction

    International Nuclear Information System (INIS)

    Shimoni, Nira; Ayal, Shai; Millo, Oded

    2000-01-01

    Dynamics of atomic steps and the terrace-width distribution within step bunches on flame-annealed gold films are studied using scanning tunneling microscopy. The distribution is narrower than commonly observed for vicinal planes and has a Gaussian shape, indicating a short-range repulsive interaction between the steps, with an apparently large interaction constant. The dynamics of the atomic steps, on the other hand, appear to be influenced, in addition to these short-range interactions, also by a longer-range attraction of steps towards step bunches. Both types of interactions promote self-ordering of terrace structures on the surface. When current is driven through the films a step-fingering instability sets in, reminiscent of the Bales-Zangwill instability

  12. Thermal annealing evolution to physical properties of ZnS thin films as buffer layer for solar cell applications

    Science.gov (United States)

    Kaushalya; Patel, S. L.; Purohit, A.; Chander, S.; Dhaka, M. S.

    2018-07-01

    The conventional CdS window layer in solar cells is found to be hazardous for the environment due to toxic nature of the cadmium. Therefore, in order to seek an alternative, a study on effect of post-annealing treatment on physical properties of e-beam evaporated ZnS thin films has been carried out where films of thickness 150 nm were deposited on glass and indium tin oxide (ITO) substrates. The post annealing treatment was performed in air atmosphere within the temperature range from 100 °C to 500 °C. X-ray diffraction analysis reveals that the films on glass substrate are found to be amorphous at low temperature annealing (≤300 °C) while have α-ZnS hexagonal phase (wurtzite structure) at higher annealing. The patterns also show that the possibility of oxidation is increased significantly at temperature 500 °C which leads to decrease in direct band gap from 3.28 eV to 3.18 eV except films annealed at 300 °C (i.e. 3.39 eV). The maximum transmittance is found about 95% as a result of Doppler blue shift while electrical analysis indicated almost ohmic behavior between current and voltage and surface roughness is increased with post-annealing treatment.

  13. Magnetic and structural properties of NdFeB thin film prepared by step annealing

    International Nuclear Information System (INIS)

    Serrona, Leo K.E.B.; Sugimura, A.; Fujisaki, R.; Okuda, T.; Adachi, N.; Ohsato, H.; Sakamoto, I.; Nakanishi, A.; Motokawa, M.

    2003-01-01

    The crystallization of the amorphous phase into the tetragonal Nd 2 Fe 14 B (PHI) phase and the corresponding changes in magnetic properties have been examined by step annealing experiment using a 2 μm thick NdFeB film sample. Microstructural and magnetic analysis indicate that the film was magnetically soft as deposited with the coercivity H ciperp -1 and the remnant magnetization 4πM rperp -1 was developed and diffraction analysis showed evidence of PHI phase 002l peaks being aligned perpendicular to the film plane. At an optimum annealing temperature of 575 deg. C, the remnant magnetization of this anisotropic thin film is around 0.60 T with intrinsic coercivity of ∼1340 kA m -1 . Annealing the film sample at 200 deg. C≤T ann ≤750 deg. C showed variations in magnetic properties that were mostly due to the change in the perpendicular anisotropy. Based on 4πM sperpendicular values plotted against T ann , a dip in 4πM sperpendicular values was observed as T ann increased in the soft-to-hard magnetic characteristics transition region and rose as the hard crystalline phase started to form. The results show that the magnetic properties of the NdFeB film were slightly influenced by the presence of NdO, film surface roughening and the small increase in crystal size as a consequence of repeated heat treatment. At T ann ∼300 deg. C, the nominal saturation magnetization indicated a certain degree of weak perpendicular magnetic anisotropy in the film sample considered to be essential in the enhancement of coercivity in crystallized films

  14. Studies on annealed ZnO:V thin films deposited by nebulised spray pyrolysis method

    Science.gov (United States)

    Malini, D. Rachel

    2018-04-01

    Structural, optical and photoluminescence properties of annealed ZnO:V thin films deposited by nebulized spray pyrolysis technique by varying vanadium concentration are studied. Thickness of thin films varies from 1.52µm to 7.78µm. V2O5, VO2 and ZnO peaks are observed in XRD patterns deposited with high vanadium concentration and the intensity of peaks corresponding to ZnO decreases in those samples. Morphological properties were studied by analysing SEM images and annealed thin films deposited at ZnO:V = 50:50 possess dumb bell shape grains. Emission peaks corresponding to both Augur transition and deep level transition are observed in the PL spectra of the samples.

  15. Effect of thermal annealing of lead oxide film

    International Nuclear Information System (INIS)

    Hwang, Oh Hyeon; Kim, Sang Su; Suh, Jong Hee; Cho, Shin Hang; Kim, Ki Hyun; Hong, Jin Ki; Kim, Sun Ung

    2011-01-01

    Oxygen partial pressure in a growth process of lead oxide determines chemical and physical properties as well as crystalline structure. In order to supply oxygen, two ring-shape suppliers have been installed in a growth chamber. Films have been deposited using vacuum thermal evaporation from a raw material of yellow lead oxide powder (5N). Growth rate is controlled to be about 400 A/s, and film thickness more than 50 μm has been achieved. After deposition, the film is annealed at various temperatures under an oxygen atmosphere. In this study, an optimum growth condition for a good X-ray detector has been achieved by fine control of oxygen flow-rate and by thermal treatment. An electrical resistivity of 4.5x10 12 Ω cm is measured, and is comparable with the best data of PbO.

  16. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    Science.gov (United States)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  17. Fabrication of zinc indium oxide thin films and effect of post annealing on structural, chemical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Vipin Kumar, E-mail: vipinjain7678@gmail.com [Institute of Engineering and Technology, JK Lakshmipat University, Jaipur 302026 (India); Kumar, Praveen [Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore 560064 (India); Srivastava, Subodh; Vijay, Y.K. [Thin film and Membrane Science Laboratory, University of Rajasthan, Jaipur 302004 (India)

    2012-07-25

    Highlights: Black-Right-Pointing-Pointer ZIO films have been prepared by flash evaporation. Black-Right-Pointing-Pointer Thermal stability of ZIO films. Black-Right-Pointing-Pointer Structural, optical, electrical and other properties have been studied. - Abstract: In the present study, zinc indium oxide (ZIO) thin films were deposited on glass substrate with varying concentration (ZnO:In{sub 2}O{sub 3} - 100:0, 90:10, 70:30 and 50:50 wt.%) at room temperature by flash evaporation technique. These deposited ZIO films were annealed in vacuum to study the thermal stability and to see the effects on the structural, chemical and electrical properties. The XRD analysis indicates that crystallization of the ZIO films strongly depends on concentration of In{sub 2}O{sub 3} and post annealing where annealed films showed polycrystalline nature. The surface morphological study of the films using scanning electron microscopy (SEM) revealed the formation of nanostructured ZIO thin films. The surface composition and oxidation state were analyzed by X-ray photoelectron spectroscopy. XPS spectra shows that as the concentration of In{sub 2}O{sub 3} increases from 10 to 50 wt%, the surface composition ratio In/Zn and O/Zn increases for as-prepared and annealed ZIO films while the XPS valance band spectra manifest the electronic transitions. The electrical resistivity was found to be decreased while carrier concentration and Hall mobility increased for both types of films with increasing concentration of In{sub 2}O{sub 3}.

  18. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  19. Method for fabrication of ceramic dielectric films on copper foils

    Science.gov (United States)

    Ma, Beihai; Narayanan, Manoj; Dorris, Stephen E.; Balachandran, Uthamalingam

    2015-03-10

    The present invention provides a method for fabricating a ceramic film on a copper foil. The method comprises applying a layer of a sol-gel composition onto a copper foil. The sol-gel composition comprises a precursor of a ceramic material suspended in 2-methoxyethanol. The layer of sol-gel is then dried at a temperature up to about 250.degree. C. The dried layer is then pyrolyzed at a temperature in the range of about 300 to about 450.degree. C. to form a ceramic film from the ceramic precursor. The ceramic film is then crystallized at a temperature in the range of about 600 to about 750.degree. C. The drying, pyrolyzing and crystallizing are performed under a flowing stream of an inert gas. In some embodiments an additional layer of the sol-gel composition is applied onto the ceramic film and the drying, pyrolyzing and crystallizing steps are repeated for the additional layer to build up a thicker ceramic layer on the copper foil. The process can be repeated one or more times if desired.

  20. Microstructural evolution of Au/TiO{sub 2} nanocomposite films: The influence of Au concentration and thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Borges, J., E-mail: joelborges@fisica.uminho.pt [Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Centro/Departamento de Física, Universidade do Minho, Campus de Gualtar, 4710-057 Braga (Portugal); Kubart, T.; Kumar, S.; Leifer, K. [Solid-State Electronics, Department of Engineering Sciences, Uppsala University, P.O. Box 534, Uppsala SE-751 21 (Sweden); Rodrigues, M.S. [Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); Centro/Departamento de Física, Universidade do Minho, Campus de Gualtar, 4710-057 Braga (Portugal); Duarte, N.; Martins, B.; Dias, J.P. [Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); Cavaleiro, A. [SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Vaz, F. [SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Centro/Departamento de Física, Universidade do Minho, Campus de Gualtar, 4710-057 Braga (Portugal)

    2015-04-01

    Nanocomposite thin films consisting of a dielectric matrix, such as titanium oxide (TiO{sub 2}), with embedded gold (Au) nanoparticles were prepared and will be analysed and discussed in detail in the present work. The evolution of morphological and structural features was studied for a wide range of Au concentrations and for annealing treatments in air, for temperatures ranging from 200 to 800 °C. Major findings revealed that for low Au atomic concentrations (at.%), there are only traces of clustering, and just for relatively high annealing temperatures, T ≥ 500 °C. Furthermore, the number of Au nanoparticles is extremely low, even for the highest annealing temperature, T = 800 °C. It is noteworthy that the TiO{sub 2} matrix also crystallizes in the anatase phase for annealing temperatures above 300 °C. For intermediate Au contents (5 at.% ≤ C{sub Au} ≤ 15 at.%), the formation of gold nanoclusters was much more evident, beginning at lower annealing temperatures (T ≥ 200 °C) with sizes ranging from 2 to 25 nm as the temperature increased. A change in the matrix crystallization from anatase to rutile was also observed in this intermediate range of compositions. For the highest Au concentrations (> 20 at.%), the films tended to form relatively larger clusters, with sizes above 20 nm (for T ≥ 400 °C). It is demonstrated that the structural and morphological characteristics of the films are strongly affected by the annealing temperature, as well as by the particular amounts, size and distribution of the Au nanoparticles dispersed in the TiO{sub 2} matrix. - Highlights: • Au:TiO{sub 2} films were produced by magnetron sputtering and post-deposition annealing. • The Au concentration in the films increases with the Au pellet area. • Annealing induced microstructural changes in the films. • The nanoparticle size evolution with temperature depends on the Au concentration.

  1. Aqueous chemical growth of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films: Air annealing and photoelectrochemical properties

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, N.M.; Deshmukh, P.R.; Patil, S.V. [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India); Lokhande, C.D., E-mail: l_chandrakant@yahoo.com [Thin Film Physics Laboratory, Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India)

    2013-05-15

    Highlights: ► Facile and efficient route for synthesis of CZTS film. ► Effect of annealing on structural, morphological and electrical properties of CZTS films. ► Solar cell study. - Abstract: In present investigation, Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films have been deposited on to glass substrates by novel chemical successive ionic layer adsorption and reaction (SILAR) method. The effect of air annealing in the temperature range between 573 and 773 K on the structural, morphological, optical and electrical properties has been studied. The X-ray diffraction studies revealed the formation of polycrystalline CZTS films. The surface morphological study showed smooth, compact and uniform film formation after annealing formation. The band gap was in between range from 1.5 to 1.8 eV depending on annealing temperature. The thermo emf measurement revealed that the CZTS exhibits p-type electrical conductivity. Further, photoactivity of CZTS thin films was tested by forming the photoelectrochemical cell.

  2. Effect of annealing temperature on a single step processed Cu{sub 2}ZnSnS{sub 4} thin film via solution method

    Energy Technology Data Exchange (ETDEWEB)

    Prabeesh, P.; Selvam, I. Packia; Potty, S.N.

    2016-05-01

    Cu{sub 2}ZnSnS{sub 4} (CZTS) is a promising material for thin film solar cell applications because of its excellent photovoltaic properties, high abundance and non-toxicity. Thin films of CZTS are generally fabricated by vacuum based techniques or by using toxic solvents and these routes reduce its attention as a low cost and environmental friendly material. In this study, we have prepared CZTS through a solution based single step approach using non-toxic chemicals by spin coating and studied the effect of annealing temperature in the range 350–550 °C in nitrogen atmosphere on structural, optical and electrical properties. XRD results revealed the formation of kesterite phase at all annealing temperatures, while the Raman studies indicated Cu{sub 2}SnS{sub 2} impurity phase in the film annealed at 550 °C. Band gap of the films annealed in nitrogen varies from 1.46 eV to 1.56 eV, depending on the annealing temperature. Optimum properties, such as, good crystallinity, dense structure, ideal band gap (1.49 eV) and good absorption coefficient (10{sup 4} cm{sup −1}), were obtained for the film annealed at 500 °C for 30 min in nitrogen. - Highlights: • Prepared CZTS film through one-step liquid based approach using non-toxic chemicals. • Studied the effect of N{sub 2} annealing on structural, optical and electrical properties. • The phase pure CZTS absorber film exhibited excellent photovoltaic properties • The film annealed at 500 °C for 30 min in nitrogen exhibited optimum properties.

  3. Effects of vacuum rapid thermal annealing on the electrical characteristics of amorphous indium gallium zinc oxide thin films

    Science.gov (United States)

    Lee, Hyun-Woo; Cho, Won-Ju

    2018-01-01

    We investigated the effects of vacuum rapid thermal annealing (RTA) on the electrical characteristics of amorphous indium gallium zinc oxide (a-IGZO) thin films. The a-IGZO films deposited by radiofrequency sputtering were subjected to vacuum annealing under various temperature and pressure conditions with the RTA system. The carrier concentration was evaluated by Hall measurement; the electron concentration of the a-IGZO film increased and the resistivity decreased as the RTA temperature increased under vacuum conditions. In a-IGZO thin-film transistors (TFTs) with a bottom-gate top-contact structure, the threshold voltage decreased and the leakage current increased as the vacuum RTA temperature increased. As the annealing pressure decreased, the threshold voltage decreased, and the leakage current increased. X-ray photoelectron spectroscopy indicated changes in the lattice oxygen and oxygen vacancies of the a-IGZO films after vacuum RTA. At higher annealing temperatures, the lattice oxygen decreased and oxygen vacancies increased, which suggests that oxygen was diffused out in a reduced pressure atmosphere. The formation of oxygen vacancies increased the electron concentration, which consequently increased the conductivity of the a-IGZO films and reduced the threshold voltage of the TFTs. The results showed that the oxygen vacancies and electron concentrations of the a-IGZO thin films changed with the vacuum RTA conditions and that high-temperature RTA treatment at low pressure converted the IGZO thin film to a conductor.

  4. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  5. Annealing time dependence of the physical, electrical and pH response characteristics of spin coated TiO2 thin films

    International Nuclear Information System (INIS)

    Zulkefle, M A; Rahman, R A; Yusoff, K A; Herman, S H; Abdullah, W F H; Rusop, M

    2015-01-01

    Titanium dioxide (TiO 2 ) thin film was deposited on indium tin oxide (ITO) substrate and used as sensing membrane of EGFET pH sensor. The thin film was fabricated using sol- gel spin coating method. All samples were annealed at 400 °C but the annealing time was varied. This is done to study the effects of annealing time on physical and electrical properties of titanium dioxide thin film. The sensitivity of each sample towards H + ion was measured and result shows that sample annealed for 45 minutes has the highest sensitivity (52.6 mV/pH). It is found that increasing annealing duration will increase the pH sensitivity but a limit will be reached at certain point. Longer annealing processes done beyond this point will results in lower pH sensitivity. (paper)

  6. Effect of an annealing on magnetic properties of Fe-Ni films electroplated in citric-acid-based plating baths

    Directory of Open Access Journals (Sweden)

    T. Yanai

    2018-04-01

    Full Text Available We have already reported Fe-Ni films with good soft magnetic properties prepared by using an electroplating method. In the present study, we employed an annealing for further improvement in soft magnetic properties of the electroplated Fe-Ni films. The annealing reduces the coercivity of the films, and the reduction rate of the coercivity depended on the Cl- ion concentration in the bath. The Fe22Ni78 films prepared in the plating bath with high Cl- ion concentration showed large reduction rate of the coercivity, and we found that the annealing is more effective for high Cl- ion concentration bath since much lower coercivity value can be obtained compared with that for low Cl- ion concentration one.

  7. Effect of annealing on the magnetic properties and microstructure of NdFeB/Tb multilayered films

    Energy Technology Data Exchange (ETDEWEB)

    Li, D S; Suzuki, S; Liu, W F; Horikawa, T; Machida, K [Center for Advanced Science and Innovation, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)], E-mail: machida@casi.osaka-u.ac.jp

    2009-02-01

    The magnetic properties of NdFeB/Tb multilayered films annealed at 773-1273K were investigated by evaluating the effect of Tb spacer layer and comparing them with those of NdFeB single layered films. The as-deposited NdFeB/Tb film with a amorphous structure was crystallized at the higher annealing temperature than 923K and Nd-rich phases were formed at 1073 K, meanwhile the coercivity increased substantially. By observations of the microstructure, Tb element was found to diffuse into the Nd-rich phases to form a Tb-enriched phase around the Nd{sub 2}Fe{sub 14}B primary phase particles in the multilayered films.

  8. Effect of annealing on the magnetic properties and microstructure of NdFeB/Tb multilayered films

    International Nuclear Information System (INIS)

    Li, D S; Suzuki, S; Liu, W F; Horikawa, T; Machida, K

    2009-01-01

    The magnetic properties of NdFeB/Tb multilayered films annealed at 773-1273K were investigated by evaluating the effect of Tb spacer layer and comparing them with those of NdFeB single layered films. The as-deposited NdFeB/Tb film with a amorphous structure was crystallized at the higher annealing temperature than 923K and Nd-rich phases were formed at 1073 K, meanwhile the coercivity increased substantially. By observations of the microstructure, Tb element was found to diffuse into the Nd-rich phases to form a Tb-enriched phase around the Nd 2 Fe 14 B primary phase particles in the multilayered films.

  9. Annealing effects on the microwave linewidth broadening of FeCuNbSiB ferromagnetic films

    Energy Technology Data Exchange (ETDEWEB)

    Alves, M. J. P.; Gonzalez-Chavez, D. E.; Sommer, R. L. [Centro Brasileiro de Pesquisas Físicas, Rua Dr. Xavier Sigaud 150, Urca, 22290-180 Rio de Janeiro, RJ (Brazil); Bohn, F. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, 59078-900 Natal, RN (Brazil)

    2015-03-28

    We systematically investigate the annealing effects on the microwave linewidth broadening of FeCuNbSiB ferromagnetic films with thickness of 100 nm. We correlate the non-uniform residual stress obtained from grazing incidence x-ray diffraction measurements with the ferromagnetic resonance (FMR) linewidth due to effective field inhomogeneities measured from broadband ferromagnetic resonance absorption measurements. We also estimate the annealing temperature effect on the Gilbert and two-magnon scattering contributions to the total ferromagnetic resonance FMR linewidth. We show that the effective field inhomogeneities constitute the main contribution to the microwave linewidth, while this contribution is related to the non-uniform residual stress in the films which is reduced by thermal annealing.

  10. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.

    2014-11-11

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films as the lower layer we built a matrix of bottom-gate Cu2O/SnO bilayer thin-film transistors of different thickness. We found that the thickness of the Cu2O layer is of major importance in oxidation of the SnO layer underneath. The thicker the Cu2O layer, the more the underlying SnO layer is oxidized, and, hence, the more transistor mobility is enhanced at a specific temperature. Both device performance and the annealing temperature required could be adjusted by controlling the thickness of each layer of Cu2O/SnO bilayer thin-film transistors.

  11. In-situ x-ray absorption study of copper films in ground water solutions

    International Nuclear Information System (INIS)

    Kvashnina, K.O.; Butorin, S.M.; Modin, A.; Soroka, I.; Marcellini, M.; Nordgren, J.; Guo, J.-H.; Werme, L.

    2007-01-01

    This study illustrates how the damage from copper corrosion can be reduced by modifying the chemistry of the copper surface environment. The surface modification of oxidized copper films induced by chemical reaction with Cl - and HCO 3 - in aqueous solutions was monitored by in situ X-ray absorption spectroscopy. The results show that corrosion of copper can be significantly reduced by adding even a small amount of sodium bicarbonate. The studied copper films corroded quickly in chloride solutions, whereas the same solution containing 1.1 mM HCO 3 - prevented or slowed down the corrosion processes

  12. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah

    2013-04-10

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  13. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah; Li, Ruipeng; Ren, Yi; Chen, Long; Payne, Marcia M.; Bhansali, Unnat Sampatraj; Smilgies, Detlef Matthias; Anthony, John Edward; Amassian, Aram

    2013-01-01

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  14. High-voltage electron-microscope investigation of point-defect agglomerates in irradiated copper during in-situ annealing

    International Nuclear Information System (INIS)

    Jaeger, W.; Urban, K.; Frank, W.

    1980-01-01

    Thin copper foils were irradiated with 650 keV electrons at 10 K in a high-voltage electron microscope (HVEM) to doses phi in the range 2 x 10 23 electrons/m 2 approximately 25 electrons /m 2 and then annealed in situ up to room temperature and outside the HVEM between room temperature and 470 K. During irradiation visible defect clusters were formed only at phi >= 2.5 x 10 24 electrons/m 2 . At smaller doses defect clusters became visible after annealing at 50 K. Between 50 K and 120 K further clusters, mainly dislocation loops on brace111 planes, appeared. Above 120 K, particularly between 160 K and 300 K, some of the dislocation loops became glissile. They glided out of the specimens or agglomerated to larger clusters of frequently complex shapes. As a consequence between 160 K and 300 K the cluster density decreased strongly, whereas the mean cluster size increased monotonously through the entire range of annealing temperatures covered. Contrast analyses between 180 K and 400 K revealed that the great majority of the dislocation loops were of interstitial type. At 470 K a new type of small clusters emerged, presumably of vacancy type. These observations are compared with other studies on electron-irradiated copper and with the current models of radiation damage in metals. (author)

  15. Hierarchical Micro/Nano Structures by Combined Self-Organized Dewetting and Photopatterning of Photoresist Thin Films.

    Science.gov (United States)

    Sachan, Priyanka; Kulkarni, Manish; Sharma, Ashutosh

    2015-11-17

    Photoresists are the materials of choice for micro/nanopatterning and device fabrication but are rarely used as a self-assembly material. We report for the first time a novel interplay of self-assembly and photolithography for fabrication of hierarchical and ordered micro/nano structures. We create self-organized structures by the intensified dewetting of unstable thin (∼10 nm to 1 μm) photoresist films by annealing them in an optimal solvent and nonsolvent liquid mixture that allows spontaneous dewetting to form micro/nano smooth dome-like structures. The density, size (∼100 nm to millimeters), and curvature/contact angle of the dome/droplet structures are controlled by the film thickness, composition of the dewetting liquid, and time of annealing. Ordered dewetted structures are obtained simply by creating spatial variation of viscosity by ultraviolet exposure or by photopatterning before dewetting. Further, the structures thus fabricated are readily photopatterned again on the finer length scales after dewetting. We illustrate the approach by fabricating several three-dimensional structures of varying complexity with secondary and tertiary features.

  16. In-situ investigation of the microstructure evolution in nanocrystalline copper electrodeposits at room temperature

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2006-01-01

    The microstructure evolution in copper electrodeposits at room temperature (self-annealing) was investigated by means of x-ray diffraction analysis and simultaneous measurements of the electrical resistivity as a function of time. In situ studies were started immediately after deposition...... growth, crystallographic texture changes by multiple twinning, and a decrease of the electrical resistivity occurred as a function of time at room temperature. The kinetics of self-annealing is strongly affected by the layer thickness: the thinner the layer, the slower the microstructure evolution is......, and self-annealing is suppressed completely for a thin layer with 0.4 µm. The preferred crystallographic orientation of the as-deposited crystallites is suggested to cause the observed thickness dependence of the self-annealing kinetics. ©2006 American Institute of Physics...

  17. A novel application of the CuI thin film for preparing thin copper nanowires

    International Nuclear Information System (INIS)

    Shi Shuo; Sun Jialin; Zhang Jianhong; Cao Yang

    2005-01-01

    We present a novel application of the CuI thin film for preparing thin copper nanowires under a direct current electric field (DCEF). The CuI thin film was used as a medium for transmitting cuprous ions during the growing process of copper nanowires. As electrodes are the source of cuprous ions, high-purity copper films were deposited on both ends of the CuI thin film. At 353 K, under whole solid condition, without any templates, and having applied a DCEF of 1.5x10 4 V/m, cuprous ions were generated at the anode and migrated towards the cathode through the CuI film. At the edge of the cathode, cuprous ions obtained electrons and congregated to form a disordered thin copper nanowires bundle. The SEM images showed that these copper nanowires were from 10 to 20 nm in diameter and several hundred nanometers in length. The effect of the electric field intensity and the growth temperature on the diameter of the nanowires was also studied

  18. The role of surface preparation in corrosion protection of copper with nanometer-thick ALD alumina coatings

    Energy Technology Data Exchange (ETDEWEB)

    Mirhashemihaghighi, Shadi; Światowska, Jolanta [PSL Research University, CNRS – Chimie ParisTech, Institut de Recherche de Chimie Paris (IRCP), 11 rue Pierre et Marie Curie, 75005 Paris (France); Maurice, Vincent, E-mail: vincent.maurice@chimie-paristech.fr [PSL Research University, CNRS – Chimie ParisTech, Institut de Recherche de Chimie Paris (IRCP), 11 rue Pierre et Marie Curie, 75005 Paris (France); Seyeux, Antoine; Klein, Lorena H. [PSL Research University, CNRS – Chimie ParisTech, Institut de Recherche de Chimie Paris (IRCP), 11 rue Pierre et Marie Curie, 75005 Paris (France); Salmi, Emma; Ritala, Mikko [Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Marcus, Philippe [PSL Research University, CNRS – Chimie ParisTech, Institut de Recherche de Chimie Paris (IRCP), 11 rue Pierre et Marie Curie, 75005 Paris (France)

    2016-11-30

    Highlights: • 10–50 nm thick alumina coatings were grown on copper by atomic layer deposition. • Surface smoothening by substrate annealing was studied as pre-deposition treatment. • Corrosion protection is promoted by pre-treatment for 10 nm but not for thicker films. • Local adhesion failure is assigned to the stresses accumulated in the thicker films. • Surface smoothening decreases the interfacial strength bearing the film stresses. - Abstract: Surface smoothening by substrate annealing was studied as a pre-treatment for improving the corrosion protection provided to copper by 10, 20 and 50 nm thick alumina coatings deposited by atomic layer deposition. The interplay between substrate surface state and deposited film thickness for controlling the corrosion protection provided by ultrathin barrier films is demonstrated. Pre-annealing at 750 °C heals out the dispersed surface heterogeneities left by electropolishing and reduces the surface roughness to less than 2 nm independently of the deposited film thickness. For 10 nm coatings, substrate surface smoothening promotes the corrosion resistance. However, for 20 and 50 nm coatings, it is detrimental to the corrosion protection due to local detachment of the deposited films. The weaker adherence of the thicker coatings is assigned to the stresses accumulated in the films with increasing deposited thickness. Healing out the local heterogeneities on the substrate surface diminishes the interfacial strength that is bearing the stresses of the deposited films, thereby increasing adhesion failure for the thicker films. Pitting corrosion occurs at the local sites of adhesion failure. Intergranular corrosion occurs at the initially well coated substrate grain boundaries because of the growth of a more defective and permeable coating at grain boundaries.

  19. An optimized In–CuGa metallic precursors for chalcopyrite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: junfeng.han@cnrs-imn.fr [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Department of Physics, Peking University, Beijing 100871 (China); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Chengdu Green Energy and Green Manufacturing Technology R and D Center, Chengdu, Sichuan Province 601207 (China); Jiang, Tao; Xie, Hua-mu; Zhao, Kui [Department of Physics, Peking University, Beijing 100871 (China); Besland, M.-P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2013-10-31

    We report a study of CuGa–In metallic precursors for chalcopyrite thin film. CuGa and In thin films were prepared by DC sputtering at room temperature. Due to low melting point of indium, the sputtering power on indium target was optimized. Then, CuGa and In multilayers were annealed at low temperature. At 120 °C, the annealing treatment could enhance diffusion and alloying of CuGa and In layers; however, at 160 °C, it caused a cohesion and crystalline of indium from the alloy which consequently formed irregular nodules on the film surface. The precursors were selenized to form copper indium gallium selenide (CIGS) thin films. The morphological and structural properties were investigated by scanning electron microscopy, X-ray diffraction and Raman spectra. The relationships between metallic precursors and CIGS films were discussed in the paper. A smooth precursor layer was the key factor to obtain a homogeneous and compact CIGS film. - Highlights: • An optimized sputtered indium film • An optimized alloying process of metallic precursor • An observation of nodules forming on the indium film and precursor surface • An observation of cauliflower structure in copper indium gallium selenide film • The relationship between precursor and CIGS film surface morphology.

  20. Effects of thickness and annealing condition on magnetic properties and thermal stabilities of Ta/Nd/NdFeB/Nd/Ta sandwiched films

    International Nuclear Information System (INIS)

    Liu Wen-Feng; Zhang Min-Gang; Zhang Ke-Wei; Zhang Hai-Jie; Chai Yue-Sheng; Xu Xiao-Hong

    2016-01-01

    Ta/Nd/NdFeB/Nd/Ta sandwiched films are deposited by magnetron sputtering on Si (100) substrates, and subsequently annealed in vacuum at different temperatures for different time. It is found that both the thickness of NdFeB and Nd layer and the annealing condition can affect the magnetic properties of Ta/Nd/NdFeB/Nd/Ta films. Interestingly, the thickness and annealing temperature show the relevant behaviors that can affect the magnetic properties of the film. The high coercivity of 24.1 kOe (1 Oe = 79.5775 A/m) and remanence ratio (remanent magnetization/saturation magnetization) of 0.94 can be obtained in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed for 3 min at 1023 K. In addition, the thermal stability of the film is also linked to the thickness of NdFeB and Nd layer and the annealing temperature as well. The excellent thermal stability can be achieved in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed at 1023 K. (paper)

  1. Effect of annealing temperature on the supercapacitor behaviour of β-V{sub 2}O{sub 5} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeyalakshmi, K. [Department of Physics, PSNA College of Engineering and Technology, Dindigul 624622 (India); Vijayakumar, S.; Nagamuthu, S. [Department of Physics, Gandhigram Rural Institute, Deemed University, Gandhigram 624302 (India); Muralidharan, G., E-mail: muralg@rediffmail.com [Department of Physics, Gandhigram Rural Institute, Deemed University, Gandhigram 624302 (India)

    2013-02-15

    Graphical abstract: Display Omitted Highlights: ► Structural, optical, supercapacitor properties of β-V{sub 2}O{sub 5} thin films are reported. ► Influence of annealing temperature on β-V{sub 2}O{sub 5} thin films have been studied. ► Film annealed at 300 °C exhibit lower charge transfer resistance. -- Abstract: Vanadium pentoxide thin films are prepared via sol–gel spin coating method. The films coated on FTO and glass substrates are treated at different temperatures ranging from 250 °C to 400 °C. The structural, optical and electrochemical investigations are made. X-ray diffraction analysis shows the film to be composed of V{sub 2}O{sub 5} in β-phase up to annealing temperature of 350 °C and at 400 °C the structural transformation to α-phase is observed. FTIR spectrum shows the formation of V-O bond. The SEM images reveal the formation of nanopores. Optical absorption studies indicate a band gap of 2.2–2.4 eV. The supercapacitor behaviour is studied using cyclic voltammetery technique and electrochemical impedance analysis. The vanadium pentoxide films annealed at 300 °C for an hour exhibits a maximum specific capacitance of 346 F g{sup −1} at a scan rate of 5 mV s{sup −1}.

  2. Patterned self-assembled monolayers of alkanethiols on copper nanomembranes by submerged laser ablation

    Science.gov (United States)

    Rhinow, Daniel; Hampp, Norbert A.

    2012-06-01

    Self-assembled monolayers (SAMs) of alkanethiols are major building blocks for nanotechnology. SAMs provide a functional interface between electrodes and biomolecules, which makes them attractive for biochip fabrication. Although gold has emerged as a standard, copper has several advantages, such as compatibility with semiconductors. However, as copper is easily oxidized in air, patterning SAMs on copper is a challenging task. In this work we demonstrate that submerged laser ablation (SLAB) is well-suited for this purpose, as thiols are exchanged in-situ, avoiding air exposition. Using different types of ω-substituted alkanethiols we show that alkanethiol SAMs on copper surfaces can be patterned using SLAB. The resulting patterns were analyzed by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Both methods indicate that the intense laser beam promotes the exchange of thiols at the copper surface. Furthermore, we present a procedure for the production of free-standing copper nanomembranes, oxidation-protected by alkanethiol SAMs. Incubation of copper-coated mica in alkanethiol solutions leads to SAM formation on both surfaces of the copper film due to intercalation of the organic molecules. Corrosion-protected copper nanomembranes were floated onto water, transferred to electron microscopy grids, and subsequently analyzed by electron energy loss spectroscopy (EELS).

  3. Microstructural modifications induced by rapid thermal annealing in plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F.J.; Fernandez, M.; Martinez, F.L.

    2003-01-01

    The effect of rapid thermal annealing (RTA) processes on the structural properties of SiO x N y H z films was investigated. The samples were deposited by the electron cyclotron resonance plasma method, using SiH 4 , O 2 and N 2 as precursor gases. For SiO x N y H z films with composition close to that of SiO 2 , which have a very low H content, RTA induces thermal relaxation of the lattice and improvement of the structural order. For films of intermediate composition and of compositions close to SiN y H z , the main effect of RTA is the release of H at high temperatures (T>700 deg. C). This H release is more significant in films containing both Si-H and N-H bonds, due to cooperative reactions between both kinds of bonds. In these films the degradation of structural order associated to H release prevails over thermal relaxation, while in those films with only N-H bonds, thermal relaxation predominates. For annealing temperatures in the 500-700 deg. C range, the passivation of dangling bonds by the nonbonded H in the films and the transition from the paramagnetic state to the diamagnetic state of the K center result in a decrease of the density of paramagnetic defects. The H release observed at high annealing temperatures is accompanied by an increase of density of paramagnetic defects

  4. Influence of annealing temperature on passivation performance of thermal atomic layer deposition Al2O3 films

    International Nuclear Information System (INIS)

    Zhang Xiang; Liu Bang-Wu; Li Chao-Bo; Xia Yang; Zhao Yan

    2013-01-01

    Chemical and field-effect passivation of atomic layer deposition (ALD) Al 2 O 3 films are investigated, mainly by corona charging measurement. The interface structure and material properties are characterized by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS), respectively. Passivation performance is improved remarkably by annealing at temperatures of 450 °C and 500 °C, while the improvement is quite weak at 600 °C, which can be attributed to the poor quality of chemical passivation. An increase of fixed negative charge density in the films during annealing can be explained by the Al 2 O 3 /Si interface structural change. The Al—OH groups play an important role in chemical passivation, and the Al—OH concentration in an as-deposited film subsequently determines the passivation quality of that film when it is annealed, to a certain degree. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Thermoelectric properties of bismuth antimony tellurium thin films through bilayer annealing prepared by ion beam sputtering deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Zhuang-hao [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Fan, Ping, E-mail: fanping308@126.com [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Luo, Jing-ting [College of Physics Science and Technology, Shenzhen University, 518060 (China); Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China); Cai, Xing-min; Liang, Guang-xing; Zhang, Dong-ping [College of Physics Science and Technology, Shenzhen University, 518060 (China); Ye, Fan [Shenzhen Key Laboratory of Sensor Technology, Shenzhen 518060 (China)

    2014-07-01

    Bismuth antimony tellurium is one of the most important tellurium-based materials for high-efficient thermoelectric application. In this paper, ion beam sputtering was used to deposit Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films on borosilicate substrates at room-temperature. Then the bismuth antimony tellurium thin films were synthesized via post thermal treatment of the Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} bilayer thin films. The effect of annealing temperature and compositions on the thermoelectric properties of the thin films was investigated. After the thin films were annealed from 150 °C to 350 °C for 1 h in the high vacuum condition, the Seebeck coefficient changed from a negative sign to a positive sign. The X-ray diffraction results showed that the synthesized tellurium-based thermoelectric thin film exhibited various alloys phases, which contributed different thermoelectricity conductivity to the synthesized thin film. The overall Seebeck coefficient of the synthesized thin film changed from negative sign to positive sign, which was due to the change of the primary phase of the tellurium-based materials at different annealing conditions. Similarly, the thermoelectric properties of the films were also associated with the grown phase. High-quality thin film with the Seebeck coefficient of 240 μV K{sup −1} and the power factor of 2.67 × 10{sup −3} Wm{sup −1} K{sup −2} showed a single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase when the Sb/Te thin film sputtering time was 40 min. - Highlights: • Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} thermoelectric thin films synthesized via bilayer annealing • The film has single Bi{sub 0.5}Sb{sub 1.5}Te{sub 3} phase with best thermoelectric performance. • The film has high thermoelectric properties comparable with other best results.

  6. Hard magnetic properties of rapidly annealed NdFeB thin films on Nb and V buffer layers

    International Nuclear Information System (INIS)

    Jiang, H.; Evans, J.; O'Shea, M.J.; Du Jianhua

    2001-01-01

    NdFeB thin films of the form A (20 nm)/NdFeB(d nm)/A(20 nm), where d ranges from 54 to 540 nm and the buffer layer A is Nb or V were prepared on a Si(1 0 0) substrate by magnetron sputtering. The hard Nd 2 Fe 14 B phase is formed by a 30 s rapid anneal or a 20 min anneal. Average crystallite size ranged from 20 to 35 nm with the rapidly annealed samples having the smaller crystallite size. These samples also exhibited a larger coercivity and energy product than those treated by a 20 min vacuum anneal. A maximum coercivity of 26.3 kOe at room temperature was obtained for a Nb/NdFeB (180 nm)/Nb film after a rapid anneal at 725 deg. C. Initial magnetization curves indicate magnetization rotation rather than nucleation of reverse domains is important in the magnetization process. A Brown's equation analysis of the coercivity as a function of temperature allowed us to compare the rapidly annealed and 20 min annealed samples. This analysis suggests that rapid annealing gives higher quality crystalline grains than the 20 min annealed sample leading to the observed large coercivity in the rapidly annealed samples

  7. Precision Photothermal Annealing of Nanoporous Gold Thin Films for the Microfabrication of a Single-chip Material Libraries

    Energy Technology Data Exchange (ETDEWEB)

    Harris, C. D. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Shen, N. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Rubenchik, A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Demos, S. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Matthews, M. J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-06-30

    Single-chip material libraries of thin films of nanostructured materials are a promising approach for high throughput studies of structure-property relationship in the fields of physics and biology. Nanoporous gold (np-Au), produced by an alloy corrosion process, is a nanostructured material of specific interest in both these fields. One attractive property of np-Au is its self-similar coarsening behavior by thermally induced surface diffusion. However, traditional heat application techniques for the modification of np-Au are bulk processes that cannot be used to generate a library of different pore sizes on a single chip. Laser micromachining offers an attractive solution to this problem by providing a means to apply energy with high spatial and temporal resolution. In the present study we use finite element multiphysics simulations to predict the effects of laser mode (continuous-wave vs. pulsed) and supporting substrate thermal conductivity on the local np-Au film temperatures during photothermal annealing and subsequently investigate the mechanisms by which the np-Au network is coarsening. Our simulations predict that continuous-wave mode laser irradiation on a silicon supporting substrate supports the widest range of morphologies that can be created through the photothermal annealing of thin film np-Au. Using this result we successfully fabricate a single-chip material library consisting of 81 np-Au samples of 9 different morphologies for use in increased throughput material interaction studies.

  8. solution growth and characterization of copper oxide thin films ...

    African Journals Online (AJOL)

    Thin films of copper oxide (CuO) were grown on glass slides by using the solution growth technique. Copper cloride (CuCl ) and potassium telluride (K T O ) were used. Buffer 2 2e 3 solution was used as complexing agent. The solid state properties and optical properties were obtained from characterization done using PYE ...

  9. Effects of vacuum rapid thermal annealing on the electrical characteristics of amorphous indium gallium zinc oxide thin films

    Directory of Open Access Journals (Sweden)

    Hyun-Woo Lee

    2018-01-01

    Full Text Available We investigated the effects of vacuum rapid thermal annealing (RTA on the electrical characteristics of amorphous indium gallium zinc oxide (a-IGZO thin films. The a-IGZO films deposited by radiofrequency sputtering were subjected to vacuum annealing under various temperature and pressure conditions with the RTA system. The carrier concentration was evaluated by Hall measurement; the electron concentration of the a-IGZO film increased and the resistivity decreased as the RTA temperature increased under vacuum conditions. In a-IGZO thin-film transistors (TFTs with a bottom-gate top-contact structure, the threshold voltage decreased and the leakage current increased as the vacuum RTA temperature increased. As the annealing pressure decreased, the threshold voltage decreased, and the leakage current increased. X-ray photoelectron spectroscopy indicated changes in the lattice oxygen and oxygen vacancies of the a-IGZO films after vacuum RTA. At higher annealing temperatures, the lattice oxygen decreased and oxygen vacancies increased, which suggests that oxygen was diffused out in a reduced pressure atmosphere. The formation of oxygen vacancies increased the electron concentration, which consequently increased the conductivity of the a-IGZO films and reduced the threshold voltage of the TFTs. The results showed that the oxygen vacancies and electron concentrations of the a-IGZO thin films changed with the vacuum RTA conditions and that high-temperature RTA treatment at low pressure converted the IGZO thin film to a conductor.

  10. Annealing of RF-magnetron sputtered SnS{sub 2} precursors as a new route for single phase SnS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, M.G., E-mail: martasousa@ua.pt [AIN, I3N and Departamento de Física, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal); Cunha, A.F. da, E-mail: antonio.cunha@ua.pt [AIN, I3N and Departamento de Física, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal); Fernandes, P.A., E-mail: pafernandes@ua.pt [AIN, I3N and Departamento de Física, Universidade de Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro (Portugal); Departamento de Física, Instituto Superior de Engenharia do Porto, Instituto Politécnico do Porto, Rua Dr. António Bernardino de Almeida 431, 4200-072 Porto (Portugal)

    2014-04-01

    Tin sulphide thin films have been grown on soda-lime glass substrates through the annealing of RF-magnetron sputtered SnS{sub 2} precursors. Three different approaches to the annealing were compared and the resulting films thoroughly studied. One series of precursors was annealed in a tubular furnace directly exposed to a flux of sulphur vapour plus forming gas, N{sub 2} + 5%H{sub 2}, and at a constant pressure of 500 mbar. The other two series of identical precursors were annealed in the same furnace but inside a graphite box with and without elemental sulphur evaporation again in the presence of N{sub 2} + 5%H{sub 2} and at the same pressure as for the sulphur flux experiments. Different maximum annealing temperatures for each set of samples, in the range of 300–570 °C, were tested to study their effects on the properties of the final films. The resulting phases were structurally investigated by X-Ray Diffraction (XRD) and Raman spectroscopy. Annealing of SnS{sub 2} precursors in sulphur flux produced films where SnS{sub 2} was dominant for temperatures up to 480 °C. Increasing the temperature to 530 °C and 570 °C led to films where the dominant phase became Sn{sub 2}S{sub 3}. Annealing of SnS{sub 2} precursors in a graphite box with sulphur vapour at temperatures in the range between 300 °C and 480 °C the films are multi-phase, containing Sn{sub 2}S{sub 3}, SnS{sub 2} and SnS. For high annealing temperatures of 530 °C and 570 °C the films have SnS as the dominant phase. Annealing of SnS{sub 2} precursors in a graphite box without sulphur vapour at 300 °C and 360 °C the films are essentially amorphous, at 420 °C SnS{sub 2} is the dominant phase. For temperatures of 480 °C and 530 °C SnS is the dominant phase but also same residual SnS{sub 2} and Sn{sub 2}S{sub 3} phases are observed. For annealing at 570 °C, according to the XRD results the films appear to be single phase SnS. The composition was studied using energy dispersive spectroscopy being

  11. Role of annealing temperature on microstructural and electro-optical properties of ITO films produced by sputtering

    Science.gov (United States)

    Senol, Abdulkadir; Gulen, Mahir; Yildirim, Gurcan; Ozturk, Ozgur; Varilci, Ahmet; Terzioglu, Cabir; Belenli, Ibrahim

    2013-03-01

    In this study, we investigate the effect of annealing temperature on electrical, optical and microstructural properties of indium tin oxide (ITO) films deposited onto Soda lime glass substrates by conventional direct current (DC) magnetron reactive sputtering technique at 100 watt using an ITO ceramic target (In2O3:SnO2, 90:10 wt. %) in argon atmosphere at room temperature. The films obtained are exposed to the calcination process at different temperature up to 700 ° C. Resistivity, Hall Effect, X-ray diffractometer (XRD), ultra violet-visible spectrometer (UV-vis) and atomic force microscopy (AFM) measurements are performed to characterize the samples. Moreover, phase purity, surface morphology, optical and photocatalytic properties of the films are compared with each other. Furthermore, mobility, carrier density and conductivity characteristics of the samples prepared are carried out as function of temperature in the range of 80-300 K at the magnetic field of 0.550 T. The results obtained show that all the properties depend strongly on the annealing temperature and in fact the film annealed at 400 ° C obtains the better optical properties due to the high refractive index while the film produced at 100 °C exhibits much better photoactivity than the other films as a result of the large optical energy band gap.

  12. Impact of annealing temperature on the mechanical and electrical properties of sputtered aluminum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gillinger, M.; Schneider, M.; Bittner, A.; Schmid, U. [Institute of Sensor and Actuator Systems, Vienna University of Technology, Vienna 1040 (Austria); Nicolay, P. [CTR Carinthian Tech Research AG, Villach 9524 (Austria)

    2015-02-14

    Aluminium nitride (AlN) is a promising material for challenging sensor applications such as process monitoring in harsh environments (e.g., turbine exhaust), due to its piezoelectric properties, its high temperature stability and good thermal match to silicon. Basically, the operational temperature of piezoelectric materials is limited by the increase of the leakage current as well as by enhanced diffusion effects in the material at elevated temperatures. This work focuses on the characterization of aluminum nitride thin films after post deposition annealings up to temperatures of 1000 °C in harsh environments. For this purpose, thin film samples were temperature loaded for 2 h in pure nitrogen and oxygen gas atmospheres and characterized with respect to the film stress and the leakage current behaviour. The X-ray diffraction results show that AlN thin films are chemically stable in oxygen atmospheres for 2 h at annealing temperatures of up to 900 °C. At 1000 °C, a 100 nm thick AlN layer oxidizes completely. For nitrogen, the layer is stable up to 1000 °C. The activation energy of the samples was determined from leakage current measurements at different sample temperatures, in the range between 25 and 300 °C. Up to an annealing temperature of 700 °C, the leakage current in the thin film is dominated by Poole-Frenkel behavior, while at higher annealing temperatures, a mixture of different leakage current mechanisms is observed.

  13. Enhancement of electron transfer from CdSe core/shell quantum dots to TiO2 films by thermal annealing

    International Nuclear Information System (INIS)

    Shao, Cong; Meng, Xiangdong; Jing, Pengtao; Sun, Mingye; Zhao, Jialong; Li, Haibo

    2013-01-01

    We demonstrated the enhancement of electron transfer from CdSe/ZnS core/shell quantum dots (QDs) to TiO 2 films via thermal annealing by means of steady-state and time-resolved photoluminescence (PL) spectroscopy. The significant decrease in PL intensities and lifetimes of the QDs on TiO 2 films was clearly observed after thermal annealing at temperature ranging from 100 °C to 300 °C. The obtained rates of electron transfer from CdSe core/shell QDs with red, yellow, and green emissions to TiO 2 films were significantly enhanced from several times to an order of magnitude (from ∼10 7 s −1 to ∼10 8 s −1 ). The improvement in efficiencies of electron transfer in the TiO 2 /CdSe QD systems was also confirmed. The enhancement could be considered to result from the thermal annealing reduced distance between CdSe QDs and TiO 2 films. The experimental results revealed that thermal annealing would play an important role on improving performances of QD based optoelectronic devices. -- Highlights: • Annealing-induced enhancement of electron transfer from CdSe to TiO 2 is reported. • CdSe QDs on TiO 2 and SiO 2 films are annealed at various temperatures. • Steady-state and time-resolved PL spectroscopy of CdSe QDs is studied. • The enhancement is related to the reduced distance between CdSe QDs and TiO 2

  14. Implantation and annealing effects in molecular organic films

    CERN Document Server

    Pakhomov, G L; Shashkin, V I; Tura, J M; Ribo, J M; Ottaviano, L

    2002-01-01

    Ion implantation and annealing effects on the surface of phthalocyanine thin films have been studied by means of atomic force microscopy and electron spectroscopy for chemical analysis. Both the topology and the chemical composition of the surface are affected by irradiation. The influence of the irradiation dose is shown. The chemical degradation of the layer results mainly in the decrease of atomic concentration of nitrogen and chlorine, and in the increase of atomic concentration of oxygen. At highest dose, carbonization becomes important. Furthermore, N 1s, C 1s and Cl 2p core levels testify that the formation of new chemical species occurs in implanted pthalocyanine films. All these processes are modified by subsequent heat treatment in different ways, depending on the applied implantation fluence.

  15. Thermodynamic investigation of the MOCVD of copper films from bis ...

    Indian Academy of Sciences (India)

    Equilibrium concentrations of various condensed and gaseous phases have been thermodynamically calculated, using the free energy minimization criterion, for the metalorganic chemical vapour deposition (MOCVD) of copper films using bis(2,2,6,6-tetramethyl-3,5-heptadionato)copper(II) as the precursor material.

  16. The effect of annealing ambient on the characteristics of an indium-gallium-zinc oxide thin film transistor.

    Science.gov (United States)

    Park, Soyeon; Bang, Seokhwan; Lee, Seungjun; Park, Joohyun; Ko, Youngbin; Jeon, Hyeongtag

    2011-07-01

    In this study, the effects of different annealing conditions (air, O2, N2, vacuum) on the chemical and electrical characteristics of amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFT) were investigated. The contact resistance and interface properties between the IGZO film and the gate dielectric improved after an annealing treatment. However, the chemical bonds in the IGZO bulk changed under various annealing atmospheres, which, in turn, altered the characteristics of the TFTs. The TFTs annealed in vacuum and N2 ambients exhibited undesired switching properties due to the high carrier concentration (>10(17) cm(-3)) of the IGZO active layer. In contrast, the IGZO TFTs annealed in air and oxygen ambients displayed clear transfer characteristics due to an adequately adjusted carrier concentration in the operating range of the TFT. Such an optimal carrier concentration arose through the stabilization of unstable chemical bonds in the IGZO film. With regard to device performance, the TFTs annealed in O2 and air exhibited saturation mobility values of 8.29 and 7.54 cm2/Vs, on-off ratios of 7.34 x 10(8) and 3.95 x 10(8), and subthreshold swing (SS) values of 0.23 and 0.19 V/decade, respectively. Therefore, proper annealing ambients contributed to internal modifications in the IGZO structure and led to an enhancement in the oxidation state of the metal. As a result, defects such as oxygen vacancies were eliminated. Oxygen annealing is thus effective for controlling the carrier concentration of the active layer, decreasing electron traps, and enhancing TFT performance.

  17. Effect of neutron irradiation and post-irradiation annealing on microstructure and mechanical properties of OFHC-copper

    International Nuclear Information System (INIS)

    Singh, B.N.; Edwards, D.J.; Toft, P.

    2001-01-01

    Specimens of oxygen-free high conductivity (OFHC) copper were irradiated in the DR-3 reactor at Risoe at 100 deg. C to doses in the range 0.01-0.3 dpa (NRT). Some of the specimens were tensile tested in the as-irradiated condition at 100 deg. C whereas others were given a post-irradiation annealing treatment at 300 deg. C for 50 h and subsequently tested at 100 deg. C. The microstructure of specimens was characterized in the as-irradiated as well as irradiated and annealed conditions both before and after tensile deformation. While the interstitial loop microstructure coarsens with irradiation dose, no significant changes were observed in the population of stacking fault tetrahedra (SFT). The post-irradiation annealing leads to only a partial recovery and the level of recovery depends on the irradiation dose level. However, the post-irradiation annealing eliminates the yield drop and reinstates enough uniform elongation to render the material useful again. These results are discussed in terms of the cascade-induced source hardening (CISH) model

  18. Effect of neutron irradiation and post-irradiation annealing on microstructure and mechanical properties of OFHC-copper

    Energy Technology Data Exchange (ETDEWEB)

    Singh, B.N. E-mail: bachu.singh@risoe.dk; Edwards, D.J.; Toft, P

    2001-12-01

    Specimens of oxygen-free high conductivity (OFHC) copper were irradiated in the DR-3 reactor at Risoe at 100 deg. C to doses in the range 0.01-0.3 dpa (NRT). Some of the specimens were tensile tested in the as-irradiated condition at 100 deg. C whereas others were given a post-irradiation annealing treatment at 300 deg. C for 50 h and subsequently tested at 100 deg. C. The microstructure of specimens was characterized in the as-irradiated as well as irradiated and annealed conditions both before and after tensile deformation. While the interstitial loop microstructure coarsens with irradiation dose, no significant changes were observed in the population of stacking fault tetrahedra (SFT). The post-irradiation annealing leads to only a partial recovery and the level of recovery depends on the irradiation dose level. However, the post-irradiation annealing eliminates the yield drop and reinstates enough uniform elongation to render the material useful again. These results are discussed in terms of the cascade-induced source hardening (CISH) model.

  19. Effect of neutron irradiation and post-irradiation annealing on microstructure and mechanical properties of OFHC-copper

    Science.gov (United States)

    Singh, B. N.; Edwards, D. J.; Toft, P.

    2001-12-01

    Specimens of oxygen-free high conductivity (OFHC) copper were irradiated in the DR-3 reactor at Risø at 100 °C to doses in the range 0.01-0.3 dpa (NRT). Some of the specimens were tensile tested in the as-irradiated condition at 100 °C whereas others were given a post-irradiation annealing treatment at 300 °C for 50 h and subsequently tested at 100 °C. The microstructure of specimens was characterized in the as-irradiated as well as irradiated and annealed conditions both before and after tensile deformation. While the interstitial loop microstructure coarsens with irradiation dose, no significant changes were observed in the population of stacking fault tetrahedra (SFT). The post-irradiation annealing leads to only a partial recovery and the level of recovery depends on the irradiation dose level. However, the post-irradiation annealing eliminates the yield drop and reinstates enough uniform elongation to render the material useful again. These results are discussed in terms of the cascade-induced source hardening (CISH) model.

  20. Effects of annealing temperature on the structures, ferroelectric and magnetic properties of Aurivillius Bi5Ti3FeO15 polycrystalline films

    International Nuclear Information System (INIS)

    Bai, W.; Zhu, J.Y.; Wang, J.L.; Lin, T.; Yang, J.; Meng, X.J.; Tang, X.D.; Zhu, Z.Q.; Chu, J.H

    2012-01-01

    The effects of annealing temperature on the structures, ferroelectric and magnetic properties of Aurivillius layer-structured Bi 5 Ti 3 FeO 15 (BTF) films were investigated. It was found that an annealing temperature above 625 °C can lead to the appearance of Bi 4 Ti 3 O 12 (BiT) secondary phase on Pt substrates. The reduction of the grain sizes was simultaneously confirmed by X-ray diffraction and atomic force microscopy with the introduction of the BiT phase. Moreover, the remanent polarization and coercive field of the BTF films were dramatically enhanced with the introduction of the BiT phase. Improved ferromagnetism for the BTF films was demonstrated upon increasing annealing temperature. Our data indicated that the ferroelectricity strongly correlated with the growth orientation of the BTF films. Finally, the possible factors for the obvious increase of the remanent polarization and coercive field, and the possible reasons for the enhanced ferromagnetic properties were discussed with increasing annealing temperature. - Highlights: ► Effects of annealing temperature on physical properties of BTF films were studied. ► Improved multiferroic properties were shown with annealing temperature. ► Ferroelectricity strongly depended on the growth orientation of the BTF films. ► Possible factors were proposed to explain the improved multiferroic properties.

  1. Effect of thermal annealing on the properties of transparent conductive In–Ga–Zn oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Li, Ling [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi' an Jiaotong University, Xi' an 710049, China and School of Information Science and Engineering, Shandong University, Jinan 250100 (China); Fan, Lina; Li, Yanhuai; Song, Zhongxiao; Ma, Fei, E-mail: mafei@mail.xjtu.edu.cn, E-mail: chlliu@mail.xjtu.edu.cn [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); Liu, Chunliang, E-mail: mafei@mail.xjtu.edu.cn, E-mail: chlliu@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi' an Jiaotong University, Xi' an 710049 (China)

    2014-03-15

    Amorphous In–Ga–Zn oxide (IGZO) thin films were prepared using radio frequency magnetron sputtering at room temperature. Upon thermal annealing at temperatures even up to 500 °C, the amorphous characteristics were still maintained, but the electronic properties could be considerably enhanced. This could be ascribed to the increased optical band gap and the increased oxygen vacancies, as corroborated by the microstructure characterizations. In addition, the surface became smoother upon thermal annealing, guaranteeing good interface contact between electrode and a-IGZO. The optical transmittance at 400–800 nm exceeded 90% for all samples. All in all, thermal annealing at appropriate temperatures is expected to improve the performances of relevant a-IGZO thin film transistors.

  2. Effect of Annealing Temperature on Flowerlike Cu3BiS3 Thin Films Grown by Chemical Bath Deposition

    Science.gov (United States)

    Deshmukh, S. G.; Patel, S. J.; Patel, K. K.; Panchal, A. K.; Kheraj, Vipul

    2017-10-01

    For widespread application of thin-film photovoltaic solar cells, synthesis of inexpensive absorber material is essential. In this work, deposition of ternary Cu3BiS3 absorber material, which contains abundant and environmentally benign elements, was carried out on glass substrate. Flowerlike Cu3BiS3 thin films with nanoflakes as building block were formed on glass substrate by chemical bath deposition. These films were annealed at 573 K and 673 K in sulfur ambient for structural improvement. Their structure was characterized using Raman spectroscopy, as well as their surface morphological and optical properties. The x-ray diffraction profile of as-deposited Cu3BiS3 thin film revealed amorphous structure, which transformed to orthorhombic phase after annealing. The Raman spectrum exhibited a characteristic peak at 290 cm-1. Scanning electron microscopy of as-deposited Cu3BiS3 film confirmed formation of nanoflowers with diameter of around 1052 nm. Wettability testing of as-deposited Cu3BiS3 thin film demonstrated hydrophobic nature, which became hydrophilic after annealing. The measured ultraviolet-visible (UV-Vis) absorption spectra of the Cu3BiS3 thin films gave an absorption coefficient of 105 cm-1 and direct optical bandgap of about 1.42 eV after annealing treatment. Based on all these results, such Cu3BiS3 material may have potential applications in the photovoltaic field as an absorber layer.

  3. Annealing induced low coercivity, nanocrystalline Co–Fe–Si thin films exhibiting inverse cosine angular variation

    Energy Technology Data Exchange (ETDEWEB)

    Hysen, T., E-mail: hysenthomas@gmail.com [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Al-Harthi, Salim; Al-Omari, I.A. [Department of Physics, Sultan Qaboos University, PC 123, Muscat, Sultanate of Oman (Oman); Geetha, P.; Lisha, R. [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India); Ramanujan, R.V. [School of Materials Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Sakthikumar, D. [Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe, Saitama (Japan); Anantharaman, M.R., E-mail: mra@cusat.ac.in [Department of Physics, Cochin University of Science and Technology, Cochin 682022, Kerala (India)

    2013-09-15

    Co–Fe–Si based films exhibit high magnetic moments and are highly sought after for applications like soft under layers in perpendicular recording media to magneto-electro-mechanical sensor applications. In this work the effect of annealing on structural, morphological and magnetic properties of Co–Fe–Si thin films was investigated. Compositional analysis using X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a native oxide surface layer consisting of oxides of Co, Fe and Si on the surface. The morphology of the as deposited films shows mound like structures conforming to the Volmer–Weber growth model. Nanocrystallisation of amorphous films upon annealing was observed by glancing angle X-ray diffraction and transmission electron microscopy. The evolution of magnetic properties with annealing is explained using the Herzer model. Vibrating sample magnetometry measurements carried out at various angles from 0° to 90° to the applied magnetic field were employed to study the angular variation of coercivity. The angular variation fits the modified Kondorsky model. Interestingly, the coercivity evolution with annealing deduced from magneto-optical Kerr effect studies indicates a reverse trend compared to magetisation observed in the bulk. This can be attributed to a domain wall pinning at native oxide layer on the surface of thin films. The evolution of surface magnetic properties is correlated with morphology evolution probed using atomic force microscopy. The morphology as well as the presence of the native oxide layer dictates the surface magnetic properties and this is corroborated by the apparent difference in the bulk and surface magnetic properties. - Highlights: • The relation between grain size and magnetic properties in Co–Fe–Si thin films obeys the Herzer model. • Angular variation of coercivity is found to obey the Kondorsky model. • The MOKE measurements provide further evidence for domain wall pinning.

  4. Annealing effects on the ferromagnetic resonance linewidths of sputter-deposited Fe100−xCox(001) thin films (x < 11)

    International Nuclear Information System (INIS)

    Kusaoka, A.; Kimura, J.; Takahashi, Y.; Inaba, N.; Kirino, F.; Ohtake, M.; Futamoto, M.

    2015-01-01

    Effects of post-growth annealing on the magnetic damping of 3d transition alloy thin films were investigated. Fe 100−x Co x (x < 11 at. %) thin films were epitaxially deposited on GaAs(001) substrates by rf magnetron sputtering, and some of them were annealed without exposing to atmosphere. Electrical measurement showed that in-plane resistivity was smaller in the annealed films than in the as-deposited ones, indicating that the annealing mitigates crystalline imperfections and leads to reduced electron scattering rates. Magnetic damping was evaluated by the peak widths of ferromagnetic resonance (FMR) spectra obtained by a conventional Q-band spectrometer. Comparison of as-deposited and annealed specimens showed that the damping was decreased by annealing. Combined with the electrical and FMR measurements, these observations are consistent with the theoretical predictions that crystalline imperfections strongly influence the magnetic damping, both in intrinsic and extrinsic origins

  5. Self-healing coatings based on halloysite clay polymer composites for protection of copper alloys.

    Science.gov (United States)

    Abdullayev, Elshad; Abbasov, Vagif; Tursunbayeva, Asel; Portnov, Vasiliy; Ibrahimov, Hikmat; Mukhtarova, Gulbaniz; Lvov, Yuri

    2013-05-22

    Halloysite clay nanotubes loaded with corrosion inhibitors benzotriazole (BTA), 2-mercaptobenzimidazole (MBI), and 2-mercaptobenzothiazole (MBT) were used as additives in self-healing composite paint coating of copper. These inhibitors form protective films on the metal surface and mitigate corrosion. Mechanisms involved in the film formation have been studied with optical and electron microscopy, UV-vis spectrometry, and adhesivity tests. Efficiency of the halloysite lumen loading ascended in the order of BTA halloysite formulations have shown the best protection. Inhibitors were kept in the tubes buried in polymeric paint layer for a long time and release was enhanced in the coating defects exposed to humid media with 20-50 h, sufficient for formation of protective layer. Anticorrosive performance of the halloysite-based composite acrylic and polyurethane coatings have been demonstrated for 110-copper alloy strips exposed to 0.5 M aqueous NaCl for 6 months.

  6. Crystallization and segregation in vitreous rutile films annealed at high temperature

    International Nuclear Information System (INIS)

    Omari, M.A.; Sorbello, R.S.; Aita, C.R.

    2005-01-01

    Vitreous titania films with rutile short-range order were sputter deposited on unheated fused silica substrates, sequentially annealed at 973 and 1273 K, and examined by Raman microscopy, scanning electron microscopy, and x-ray diffraction. A segregated microstructure developed after the 1273 K anneal. This microstructure consists of supermicron-size craters dispersed in a matrix of submicron rutile crystals. Ti-O short-range order in the craters is characteristic of a mixture of two high pressure phases, m-TiO 2 (monoclinic P2 1 /c space group) and α-TiO 2 (tetragonal Pbcn space group). We calculated that a high average compressive stress parallel to the substrate must be accommodated in the films at 1273 K, caused by the difference in the thermal expansion coefficients of titania and fused silica. The formation of the segregated microstructure is modeled by considering two processes at work at 1273 K to lower a film's internal energy: crystallization and nonuniform stress relief. The Gibbs-Thomson relation shows that small m-TiO 2 crystallites are able to form directly from vitreous TiO 2 at 1273 K. However, the preferred mechanism for forming α-TiO 2 is likely to be by epitaxial growth at crystalline rutile twin boundaries (secondary crystallization). Both phases are denser than crystalline rutile and reduce the average thermal stress in the films

  7. Rutile TiO{sub 2} active-channel thin-film transistor using rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sung-Jin; Heo, Kwan-Jun; Yoo, Su-Chang; Choi, Seong-Gon [Chungbuk National University, Cheongju (Korea, Republic of); Chang, Seung-Wook [Samsung Display, Co., Ltd., Suwon (Korea, Republic of)

    2014-10-15

    TiO{sub 2} active-channel thin-film transistors (TFTs), in which the bottom-gate top-contact architecture was prepared with atomic layer deposition grown TiO{sub 2} as the semiconducting layer, were fabricated and then investigated based on key process parameters, such as the rapid thermal annealing (RTA) temperature. Structural analyses suggested that TiO{sub 2} films annealed at temperatures above 500 .deg. C changed from an amorphous to a rutile phase. The TFT with a TiO{sub 2} semiconductor annealed at 600 .deg. C exhibited strongly-saturated output characteristics, a much higher on/off current ratio of 4.3 x 10{sup 5}, and an electron mobility of 0.014 cm{sup 2}/Vs. Moreover, the potential for manipulating TiO{sub 2}-based TFTs with RTA methodology was demonstrated through the realization of a simple resistive-load inverter.

  8. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  9. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  10. The effect of annealing temperature on the optical properties of a ruthenium complex thin film

    Energy Technology Data Exchange (ETDEWEB)

    Ocakoglu, Kasim, E-mail: kasim.ocakoglu@mersin.edu.tr [Advanced Technology Research & Application Center, Mersin University, TR-33343, Yenisehir, Mersin (Turkey); Department of Energy Systems Engineering, Faculty of Technology, Mersin University, TR-33480 Mersin (Turkey); Okur, Salih, E-mail: salih.okur@ikc.edu.tr [Department of Materials Science and Engineering, Faculty of Engineering and Architecture, Izmir Katip Celebi University, Izmir (Turkey); Aydin, Hasan [Izmir Institute of Technology, Department of Material Science and Engineering, Gulbahce Campus, 35430, Urla, Izmir (Turkey); Emen, Fatih Mehmet [Faculty of Arts and Sciences, Department of Chemistry, Mehmet Akif Ersoy University, TR-15030 Burdur (Turkey)

    2016-08-01

    The stability of the optical parameters of a ruthenium polypyridyl complex (Ru-PC K314) film under varying annealing temperatures between 278 K and 673 K was investigated. The ruthenium polypyridyl complex thin film was prepared on a quartz substrate by drop casting technique. The transmission of the film was recorded by using Ultraviolet/Visible/Near Infrared spectrophotometer and the optical band gap energy of the as-deposited film was determined around 2.20 eV. The optical parameters such as refractive index, extinction coefficient, and dielectric constant of the film were determined and the annealing effect on these parameters was investigated. The results show that Ru PC K314 film is quite stable up to 595 K, and the rate of the optical band gap energy change was found to be 5.23 × 10{sup −5} eV/K. Furthermore, the thermal analysis studies were carried out in the range 298–673 K. The Differential Thermal Analysis/Thermal Gravimmetry/Differantial Thermal Gravimmetry curves show that the decomposition is incomplete in the temperature range 298–673 K. Ru-PC K314 is thermally stable up to 387 K. The decomposition starts at 387 K with elimination of functional groups such as CO{sub 2}, CO molecules and SO{sub 3}H group was eliminated between 614 K and 666 K. - Highlights: • Optical parameters of a ruthenium polypyridyl complex film under varying annealing temperatures • The film is quite stable up to 573 K. • The rate of change of optical energy gap was obtained as 5.23 × 10{sup −5} eV/K.

  11. Preparation of CuAlO2 Thin Films by Sol-Gel Method Using Nitrate Solution Dip-Coating

    Directory of Open Access Journals (Sweden)

    Ehara Takashi

    2016-01-01

    Full Text Available CuAlO2 thin films are prepared by sol-gel dip-coating followed by annealing in nitrogen atmosphere using copper nitrate and aluminum nitrate as metal source materials. X-ray diffraction (XRD patterns show (003, (006 and (009 oriented peaks of CuAlO2 at annealing temperature of 800 – 1000°C. This result indicates that the CuAlO2 films prepared in the present work are c-axis oriented. XRD peak intensity increase with annealing temperature and becomes maximum at 850°C. The CuAlO2 XRD peak decreased at annealing temperature of 900°C with appearance of a peak of CuO, and then increased again with annealing temperature until 1000 °C. The films have bandgap of 3.4 eV at annealing temperature of 850°C in which the transparency becomes the highest. At the annealing temperature of 850°C, scanning electron microscope (SEM observation reveals that the films are consist of amorphous fraction and microcrystalline CuAlO2 fraction.

  12. Effect of copper concentration on the physical properties of copper doped NiO thin films deposited by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Mani Menaka, S., E-mail: manimenaka.phy@gmail.com [PG and Research Department of Physics, Government Arts College, Coimbatore, 641018, Tamilnadu (India); Umadevi, G. [PG and Research Department of Physics, Government Arts College, Coimbatore, 641018, Tamilnadu (India); Manickam, M. [SRMV College of Arts and Science, Coimbatore, 641020, Tamilnadu (India)

    2017-04-15

    The spray pyrolysis (SP) technique is an important and powerful method for the preparation of nickel oxide (NiO) and copper-doped nickel oxide thin films. The best films were obtained when the substrate temperature, T{sub s} = 450 °C on glass substrates. Copper (Cu) concentrations in the films were varied from 0 to 8%. The effect of Cu concentration on the structural, morphological, spectral, optical, and electrical properties of the thin films were studied by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Fourier transformed infrared spectroscopy (FTIR), UV–vis–NIR spectrophotometer, Hot probe and Hall system. The X-ray diffraction result shows the polycrystalline cubic structure of sprayed films with (200) preferred orientation. The variations of the structural parameters such as lattice parameters and grain sizes were investigated. The SEM image displays the surface morphology of the NiO and Cu:NiO thin films. The FTIR of the as-deposited films were associated with chemical identification. The optical transmittance and absorbance spectra of the films were measured by UV–vis–NIR spectrophotometer. The absorption coefficient and band gaps of the films were calculated using the optical method. All the NiO and Cu:NiO films were p-type. The resistivity of the above films decreases with the increase in copper concentration and so the conductivity of the films depend on the precursor concentration. - Highlights: • Pure and Cu:NiO films were deposited by Spray pyrolysis technique. • The XRD result shows the polycrystalline nature of pure and Cu:NiO films. • The formation of pure and Cu:NiO were confirmed by FTIR analysis. • Band gap values of pure and Cu:NiO decreases. • All the pure and Cu:NiO films were p-type.

  13. Effect of copper concentration on the physical properties of copper doped NiO thin films deposited by spray pyrolysis

    International Nuclear Information System (INIS)

    Mani Menaka, S.; Umadevi, G.; Manickam, M.

    2017-01-01

    The spray pyrolysis (SP) technique is an important and powerful method for the preparation of nickel oxide (NiO) and copper-doped nickel oxide thin films. The best films were obtained when the substrate temperature, T_s = 450 °C on glass substrates. Copper (Cu) concentrations in the films were varied from 0 to 8%. The effect of Cu concentration on the structural, morphological, spectral, optical, and electrical properties of the thin films were studied by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Fourier transformed infrared spectroscopy (FTIR), UV–vis–NIR spectrophotometer, Hot probe and Hall system. The X-ray diffraction result shows the polycrystalline cubic structure of sprayed films with (200) preferred orientation. The variations of the structural parameters such as lattice parameters and grain sizes were investigated. The SEM image displays the surface morphology of the NiO and Cu:NiO thin films. The FTIR of the as-deposited films were associated with chemical identification. The optical transmittance and absorbance spectra of the films were measured by UV–vis–NIR spectrophotometer. The absorption coefficient and band gaps of the films were calculated using the optical method. All the NiO and Cu:NiO films were p-type. The resistivity of the above films decreases with the increase in copper concentration and so the conductivity of the films depend on the precursor concentration. - Highlights: • Pure and Cu:NiO films were deposited by Spray pyrolysis technique. • The XRD result shows the polycrystalline nature of pure and Cu:NiO films. • The formation of pure and Cu:NiO were confirmed by FTIR analysis. • Band gap values of pure and Cu:NiO decreases. • All the pure and Cu:NiO films were p-type.

  14. Study of physicochemical stability of the copper/polyphenylquinoxaline interfaces

    Science.gov (United States)

    Even, R.; Palleau, J.; Oberlin, J. C.; Pantel, R.; Laviale, D.; Templier, F.; Torres, J.; Giustiniani, R.; Cros, A.

    Thin film technologies are now applied in building up multilayered chip to chip interconnections to achieve agressive requirement such as high electrical performance or extreme compactness. Copper as conductor and a new polymeric material, a poly phenyl quinoxaline, as dielectric have been chose to fulfill demanding electrical and physical conditions. Thermal stability at the interface Cu/polymer and polymer/Cu during polymer curing process is very important to preserve good adhesion between the conductor and dielectric materials. We have studied in this work the interfacial behavior as a function of annealing temperature and of annealing atmosphere.

  15. Effect of low thermal budget annealing on surface passivation of silicon by ALD based aluminum oxide films.

    Science.gov (United States)

    Vandana; Batra, Neha; Gope, Jhuma; Singh, Rajbir; Panigrahi, Jagannath; Tyagi, Sanjay; Pathi, P; Srivastava, S K; Rauthan, C M S; Singh, P K

    2014-10-21

    Thermal ALD deposited Al2O3 films on silicon show a marked difference in surface passivation quality as a function of annealing time (using a rapid thermal process). An effective and quality passivation is realized in short anneal duration (∼100 s) in nitrogen ambient which is reflected in the low surface recombination velocity (SRV passivation. Both as-deposited and low thermal budget annealed films show the presence of positive fixed charges and this is never been reported in the literature before. The role of field and chemical passivation is investigated in terms of fixed charge and interface defect densities. Further, the importance of the annealing step sequence in the MIS structure fabrication protocol is also investigated from the view point of its effect on the nature of fixed charges.

  16. Synthesis of V-doped TiO{sub 2} films by chemical bath deposition and the effect of post-annealing on their properties

    Energy Technology Data Exchange (ETDEWEB)

    Shopova-Gospodinova, Denitsa [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Jeurgens, Lars P.H.; Welzel, Udo [Max-Planck-Institut fuer Intelligente Systeme (formerly MPI for Metals Research), Department Mittemeijer, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bauermann, Luciana Pitta; Hoffmann, Rudolf C. [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bill, Joachim, E-mail: mwishopova@imw.uni-stuttgart.de [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany)

    2012-07-01

    Amorphous composite films, composed of a Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase and a V{sub 2}O{sub 5} phase, were produced by chemical bath deposition and subsequently air-annealed at various temperatures up to 550 Degree-Sign C. The microstructure and chemical composition of the as-prepared and annealed films were investigated by a combinatorial experimental approach using Scanning electron microscopy, X-ray powder diffraction and X-ray photoelectron spectroscopy. Ultraviolet-Visible Spectrometry was applied to determine the optical band gap of the as-prepared and annealed films. It followed that the incorporation of vanadium in the as-deposited films reduces the optical band gap of TiO{sub 2} from about 3.8 eV to 3.2 eV. Annealing of the films up to 350 Degree-Sign C leads to slight increase of band gap, as attributed to a reduction of the defect density in the initially amorphous oxide films due to the gradual development of long-range order and a concurrent reduction of the V{sup 4+}-dopant concentration in the Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase. The films crystallized upon annealing in air at 550 Degree-Sign C, which resulted in drastic changes of the phase constitution, optical absorbance and surface morphology. Due to the lower solubility of V{sup 4+} in crystalline TiO{sub 2}, V{sup 4+} segregates out of the crystallizing Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase, forming crystalline V{sub 2}O{sub 5} at the film surface. - Highlights: Black-Right-Pointing-Pointer Incorporation of vanadium in TiO2 thin film reduces its optical band gap. Black-Right-Pointing-Pointer Amorphous V-doped TiO2 and TiO2-V2O5 composite films were air-annealed up to 550 Masculine-Ordinal-Indicator C. Black-Right-Pointing-Pointer Annealing of the films up to 350 Degree-Sign C leads to slight increase of the band gap.

  17. Detection of organic vapors on sputtered and annealed thin Au films

    Science.gov (United States)

    Kvitek, O.; Kopacek, V.; Reznickova, A.; Svorcik, V.

    2018-03-01

    Unique optical properties of metal nanostructures enable construction of new types of chemical sensors. Nanostructures composed of Au on glass substrate were prepared by annealing of 2-20 nm thick sputtered Au films at 300 °C for 1 h. The annealing leads to transformation of the as sputtered continuous Au layers to a nanoisland structure. The forming nanostructure shows a strong, well defined surface plasmon resonance absorption band in UV-Vis spectrum, which is useful for construction of a chemical sensor. The samples were used to detect vapors of acetone and water in an experimental testing apparatus. The achieved signal-to-noise ratio was 583 and 386 for acetone and water vapors, respectively on the nanostructure prepared from 4 nm thick Au layer. The nanostructured sensitive layers, however, showed poor signal stability; therefore a polymer overlayer was introduced to protect it. The employed polystyrene film prepared by spin-coating improved sensitivity and selectivity of the sensor, while the dynamic properties of the sensing influenced only slightly.

  18. Amplified Self-replication of DNA Origami Nanostructures through Multi-cycle Fast-annealing Process

    Science.gov (United States)

    Zhou, Feng; Zhuo, Rebecca; He, Xiaojin; Sha, Ruojie; Seeman, Nadrian; Chaikin, Paul

    We have developed a non-biological self-replication process using templated reversible association of components and irreversible linking with annealing and UV cycles. The current method requires a long annealing time, up to several days, to achieve the specific self-assembly of DNA nanostructures. In this work, we accomplished the self-replication with a shorter time and smaller replication rate per cycle. By decreasing the ramping time, we obtained the comparable replication yield within 90 min. Systematic studies show that the temperature and annealing time play essential roles in the self-replication process. In this manner, we can amplify the self-replication process to a factor of 20 by increasing the number of cycles within the same amount of time.

  19. Influence of deposition parameters and annealing on Cu{sub 2}ZnSnS{sub 4} thin films grown by SILAR

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Kinjal; Shah, Dimple V. [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT 84112 (United States)

    2015-02-15

    Highlights: • Optimisation of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur.

  20. Dependence of Optical Properties of SEL-Deposited Silver Gallium Selenide Thin Films on the On-Line Growth Parameter: Annealing Duration

    International Nuclear Information System (INIS)

    Bhuiyan, M.R.A.; Firoz Hasan, S.M.

    2005-01-01

    Silver gallium selenide (AGS) composite thin films were formed onto ultrasonically and chemically cleaned glass substrates by successive on-line thermal evaporation of individual elements and post-deposition annealing at 300 0 C for various durations in vacuum. The annealing duration was varied between 5 and 20 minutes. The structural and optical properties of the films were ascertained by x-ray diffraction (XRD) and uv-vis-nir spectrophotometry (photon wavelength ranging between 300 and 2500 nm), respectively. The diffractogram indicated that these films were polycrystalline in nature having tetragonal structure with lattice parameters, a ∼ 6.0034 A and c ∼ 10.9165 A. The optical transmittance and reflectance were utilized to compute the absorption coefficient, refractive index and energy gap of the films. Dependence of the optical and structural properties of the films on various annealing durations has been analyzed. The nature of the optical transitions has been direct allowed with band gap energies ranging between 1.713 and 1.757 eV and refractive indices between 1.596 and 3.351 depending on photon energy as well as annealing duration. (authors)

  1. Influence of annealing temperature on ZnO thin films grown by dual ...

    Indian Academy of Sciences (India)

    Administrator

    In electrical characterization as well, when annealing temperature was increased .... of ZnO (002) peaks and (c) crystallite size and stress generation on ZnO thin films ... sufficient kinetic energy and surface mobility to occupy stable positions ...

  2. Effects of Annealing Temperature on Properties of Ti-Ga-Doped ZnO Films Deposited on Flexible Substrates.

    Science.gov (United States)

    Chen, Tao-Hsing; Chen, Ting-You

    2015-11-03

    An investigation is performed into the optical, electrical, and microstructural properties of Ti-Ga-doped ZnO films deposited on polyimide (PI) flexible substrates and then annealed at temperatures of 300 °C, 400 °C, and 450 °C, respectively. The X-ray diffraction (XRD) analysis results show that all of the films have a strong (002) Ga doped ZnO (GZO) preferential orientation. As the annealing temperature is increased to 400 °C, the optical transmittance increases and the electrical resistivity decreases. However, as the temperature is further increased to 450 °C, the transmittance reduces and the resistivity increases due to a carbonization of the PI substrate. Finally, the crystallinity of the ZnO film improves with an increasing annealing temperature only up to 400 °C and is accompanied by a smaller crystallite size and a lower surface roughness.

  3. Methods of making copper selenium precursor compositions with a targeted copper selenide content and precursor compositions and thin films resulting therefrom

    Science.gov (United States)

    Curtis, Calvin J [Lakewood, CO; Miedaner, Alexander [Boulder, CO; van Hest, Marinus Franciscus Antonius Maria; Ginley, David S [Evergreen, CO; Leisch, Jennifer [Denver, CO; Taylor, Matthew [West Simsbury, CT; Stanbery, Billy J [Austin, TX

    2011-09-20

    Precursor compositions containing copper and selenium suitable for deposition on a substrate to form thin films suitable for semi-conductor applications. Methods of forming the precursor compositions using primary amine solvents and methods of forming the thin films wherein the selection of temperature and duration of heating controls the formation of a targeted species of copper selenide.

  4. Annealing temperature effect on electrical properties of MEH-PPV thin film via spin coating method

    Science.gov (United States)

    Azhar, N. E. A.; Shariffudin, S. S.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Organic semiconductor has been discovered in different application devices such as organic light emitting diodes (OLEDs). Poly [2-methoxy-5(2' -ethylhexyloxy)-1, 4-phenylenevinylene), MEH-PPV widely used in this device because its ability to produce a good optical quality films. The MEH-PPV was prepared on glass substrate by spin coating method. The thin film was investigated at different annealing temperatures. The scanning electron micrographs (SEM) revealed that sample annealed at 50°C showed uniformity and less aggregation on morphology polymer thin film. Optical properties showed the intensities of visible emission increased as temperatures increased. The current-voltage (I-V) measurement revealed that the temperature of 50°C showed high conductive and it is suitable for optoelectronic device.

  5. Effects of annealing and pulse plating on soft magnetic properties of electroplated Fe-Ni films

    Directory of Open Access Journals (Sweden)

    T. Yanai

    2016-05-01

    Full Text Available We have already reported that Fe-Ni films prepared in citric-acid-based plating baths show good soft magnetic properties. In this paper, we investigated the effect of the grain size of the Fe-Ni crystalline phase in the films on magnetic properties, and employed an annealing and a pulse plating method in order to vary the grain size. The coercivity of the annealed Fe-Ni films at 600 °C shows large value, and good correlation between the grain growth and the coercivity was observed. The pulse plating enables us to reduce the grain size of the as-plated Fe-Ni films compared with the DC plating method, and we realized smooth surface and low coercivity of the Fe-Ni films using the pulse plating method. From these results, we confirmed the importance of the reduction in the grain size, and concluded that a pulse plating is an effective method to improve the good soft magnetic properties for our previously-reported Fe-Ni films.

  6. Effects of bias voltage and annealing on the structure and mechanical properties of WC0.75N0.25 thin films

    International Nuclear Information System (INIS)

    Su, Y.D.; Hu, C.Q.; Wen, M.; Wang, C.; Liu, D.S.; Zheng, W.T.

    2009-01-01

    We investigated the effects of both bias voltage and annealing on the structure and mechanical properties of WC 0.75 N 0.25 thin films, deposited on Si (1 0 0) substrates by a direct current reactive magnetron sputtering system, in which the negative substrate bias voltage (V b ) was varied from floating (-1.6 V) to -200 V, and the deposited films were annealed at 800 deg. C for 2 h. The X-ray photoelectron spectroscopy and selected area electron diffraction analyses, along with the density-functional theory (DFT) calculations on the electronic structure, showed that WC 0.75 N 0.25 films were a single-phase of carbonitrides. After annealing, a significant decrease in hardness for the films was observed, being a result of point-defect annihilation as V b was in the range of floating to -120 V. However, when V b was in the range of -160 to -200 V, the hardness increased from ∼37 GPa for the as-deposited film to a maximum of ∼43 GPa for the annealed one. This increase in hardness after annealing might be attributed to age-hardening.

  7. Propagating self-sustained annealing of radiation-induced interstitial complexes

    International Nuclear Information System (INIS)

    Bokov, P M; Selyshchev, P A

    2016-01-01

    A propagating self-sustained annealing of radiation induced defects as a result of thermal-concentration instability is studied. The defects that are considered in the model are complexes. Each of them consists of one atom of impunity and of one interstitial atom. Crystal with defects has extra energy which is transformed into heat during defect annealing. Simulation of the auto-wave of annealing has been performed. The front and the speed of the auto-wave have been obtained. It is shown that annealing occurs in a narrow region of time and space. There are two kinds of such annealing behaviour. In the first case the speed of the auto-wave oscillates near its constant mean value and the front of temperature oscillates in a complex way. In the second case the speed of propagation is constant and fronts of temperature and concentration look like sigmoid functions. (paper)

  8. Effects of annealing time on the recovery of Charpy V-notch properties of irradiated high-copper weld metal

    International Nuclear Information System (INIS)

    Iskander, S.K.; Sokolov, M.A.; Nanstad, R.K.

    1994-01-01

    One of the options to mitigate the effects of irradiation on reactor pressure vessels is to thermally anneal them to restore the toughness properties that have been degraded by neutron irradiation. An important issue to be resolved is the effect on the toughness properties of reirradiating a vessel that has been annealed. This paper describes the annealing response of irradiated high-copper submerged-arc weld HSSI 73W. For this study, the weld has been annealed at 454 C (850 F) for lengths of time varying between 1 and 14 days. The Charpy V-notch 41-J (30-ft-lb) transition temperature (TT 41J ) almost fully recovered for the longest period studied, but recovered to a lesser degree for the shorter periods. No significant recovery of the TT 41J was observed for a 7-day anneal at 343 C (650 F). At 454 C for the durations studied, the values of the upper-shelf impact energy of irradiated and annealed weld metal exceeded the values in the unirradiated condition. Similar behavior was observed after aging the unirradiated weld metal at 460 and 490 C for 1 week

  9. Correlating defect density with growth time in continuous graphene films.

    Science.gov (United States)

    Kang, Cheong; Jung, Da Hee; Nam, Ji Eun; Lee, Jin Seok

    2014-12-01

    We report that graphene flakes and films which were synthesized by copper-catalyzed atmospheric pressure chemical vapor deposition (APCVD) method using a mixture of Ar, H2, and CH4 gases. It was found that variations in the reaction parameters, such as reaction temperature, annealing time, and growth time, influenced the domain size of as-grown graphene. Besides, the reaction parameters influenced the number of layers, degree of defects and uniformity of the graphene films. The increase in growth temperature and annealing time tends to accelerate the graphene growth rate and increase the diffusion length, respectively, thereby increasing the average size of graphene domains. In addition, we confirmed that the number of pinholes reduced with increase in the growth time. Micro-Raman analysis of the as-grown graphene films confirmed that the continuous graphene monolayer film with low defects and high uniformity could be obtained with prolonged reaction time, under the appropriate annealing time and growth temperature.

  10. Analysis of structural and optical properties of annealed fullerene thin films

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; Gadallah, A.-S.; Atta Khedr, M.; Afify, H. A.

    2015-08-01

    Fullerene thin films were thermally deposited onto different substrates. The films annealed at 523 K for 10 h. X-ray diffraction technique was used to examine the structure of the films. The morphology of films was examined by field emission scanning electron microscopy. Fourier transform infrared spectra were recorded in wavenumber range 400-2000 cm-1. The optical characteristics were analyzed using UV- Vis-NIR spectrophotometric measurements in the spectral range 200-2500 nm. The refractive index and extinction coefficient were determined. Some dispersion parameters were calculated such as single oscillator energy, dispersion energy, dielectric constant at high frequency and lattice dielectric constant. As well as, the nonlinear optical susceptibility χ(3) and nonlinear refractive index n2 were determined.

  11. The Effect of Thermal Annealing on the Optical Properties of a-SiC:H Films Produced by DC Sputtering Methods: I. Graphite Target Case.

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-04-01

    Full Text Available A study of the annealing effect on optical properties and disorder of hydrogenated amorphous silicon carbon (a-SiC:H films was undertaken. The films were prepared by sputtering technique using graphite target and silicon wafer in argon and hydrogen gas mixture, and then characterized by uv-vis (ultra violet-visible spectroscopy before and after annealing. Index of refraction n and absorption coefficient α of films have been determined from measurements of transmittance. The optical gap show small variation with annealing temperature, increasing with increasing annealing temperature up to 500 °C. An increase of annealing temperature leads to reduced film density and the amorphous network disorder. The experimental results are discussed in terms of deposition condition and compared to other experimental results.

  12. Insights into the annealing process of sol-gel TiO2 films leading to anatase development: The interrelationship between microstructure and optical properties

    Science.gov (United States)

    Blanco, E.; Domínguez, M.; González-Leal, J. M.; Márquez, E.; Outón, J.; Ramírez-del-Solar, M.

    2018-05-01

    The microstructure and optical properties of TiO2 thin films, prepared by the sol-gel dip coating technique on glass substrates, were inspected. After deposition, the films were annealed at several temperatures in the 400-850 °C range and the resulting nanostructured films were studied by different techniques showing that their structural and optical characteristics evolved significantly with the increased annealing temperature. The analysis of these results by the assumption of the Tauc Lorenz model and the use of Wemple-DiDomenico equation leads to a correlation between microstructural aspects and optical characteristics of the films. Thus, crystallization processes (nucleation, growth and phase transformation) and the evolution of films texture and thickness with increasing annealing temperatures are related with the variation of the refractive index, average gap and extinction coefficient during annealing. Finally, the free-carrier concentration in the films, estimated from the Spitzer-Fan model, ranged from 1.44 × 1019 cm-3 to 3.07 × 1019 cm-3 with the changing annealing temperature, which is in agreement with those obtained in similar anatase thin films from electrical measurement techniques.

  13. Thermally Annealed Iron (Oxide) Thin Film on an Alumina Barrier Layer, by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Madaan, Nitesh; Kanyal, Supriya S.; Jensen, David S.; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Linford, Matthew R.

    2013-09-06

    Herein we show characterization of an Fe thin film on Al_2O_3 after thermal annealing under H_2 using Al Ka X-rays. The XPS survey spectrum, narrow Fe 2p scan, and valence band regions are presented. The survey spectrum shows aluminum signals due to exposure of the underlying Al_2O_3 film during Fe nanoparticle formation.

  14. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a

  15. Effect of annealing on structural and optical properties of Ni{sub (1−x)}Mn{sub x}O nanostructures thin films

    Energy Technology Data Exchange (ETDEWEB)

    Khodair, Ziad T.; Kamil, Asaad A.; Abdalaah, Yamamah K.

    2016-12-15

    Nanostructured Nickel−Manganese oxide (Ni{sub (1−x)}Mn{sub x}O) thin films, where (x=0%, 2%, 4%, 6% and 8%) have been prepared by a simple and inexpensive chemical spray pyrolysis technique (CSP) on glass substrates at a temperature of (400 °C) and thickness of about (300 nm). The effect of annealing on structural properties has been investigated. The structural properties of these films have been studied using X-ray diffraction. The X-ray results showed that all films before and after annealing are polycrystalline in nature with cubic structure and preferred orientation along (111) plane. The average crystallite size (D{sub av}) was calculated using Scherrer formula for Nickel−Manganese oxide (Ni{sub (1−x)}Mn{sub x}O) thin films before and after annealing and it is found that the (D{sub av}) increases as the Mn-concentration increases and increases after annealing too, and the (D{sub av}) values after annealing were in the range of (11.260−19.943) nm. The Structural parameters including (Lattice Constant (a{sub ○}), Dislocation Density (δ), Number of Crystal Per Unite area (N{sub o}) and Texture coeffecient (T{sub c}) were also calculated. AFM results showed the average grain size estimated from the AFM granularity report confirms the XRD results. The optical properties of the films prepared before and after annealing were studied by recording the transmittance and absorbance spectrum in the range of (300−900) nm, the results showed that the absorbance increases with increasing the percentage of doping and it is also found that the energy band gap for the allowed direct transition decreass with increasing the percentage of doping for all films prepared before and after annealing and the values were in the range of (3.59–3.53 eV) before annealing and increased to the range of (3.64-3.57 eV) after annealing.

  16. Annealing temperature effect on the properties of mercury-doped TiO2 films prepared by sol-gel dip-coating technique

    International Nuclear Information System (INIS)

    Mechiakh, R.; Ben Sedrine, N.; Karyaoui, M.; Chtourou, R.

    2011-01-01

    This work presents the annealing temperature effect on the properties of mercury (Hg)-doped titanium dioxide (TiO 2 ). Thin films and polycrystalline powders have been prepared by sol-gel process. The structure, surface morphology and optical properties, as a function of the annealing temperature, have been studied by atomic force microscopy (AFM), Raman, reflectance and ellipsometric spectroscopies. In order to determine the transformation points, we have analyzed the xerogel-obtained powder by differential scanning calorimetry (DSC). Raman spectroscopy shows the crystalline anatase and rutile phases for the films annealed at 400 deg. C and 1000 deg. C respectively. The AFM surface morphology results indicate that the particle size increases from 14 to 57 nm by increasing the annealing temperature. The complex index and the optical band gap (E g ) of the films were determined by the spectroscopic ellipsometry analysis. We have found that the optical band gap decreases by increasing the annealing temperature.

  17. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  18. High temperature annealing effects on chromel (Ni90Cr10) thin films and interdiffusion study for sensing applications

    International Nuclear Information System (INIS)

    Datta, Arindom; Cheng Xudong; Miller, Michael A.; Li Xiaochun

    2008-01-01

    Metal embedded thin film thermocouples are very attractive for various applications in harsh environments. One promising technique to embed thin films micro sensors is diffusion bonding, which requires high temperatures and pressures typically in a vacuum. In this study, high temperature annealing effects on chromel (Ni90Cr10) thin film, an important sensor material as one of the components in type K thermocouple, were investigated in a diffusion bonding environment. Annealing was carried out at 800 deg. C for one hour in a diffusion bonder under vacuum without applying pressure. Under such conditions; surface, interface and interdiffusion phenomena were investigated using different characterization techniques including X-ray Diffraction, X-ray Photoelectron Spectroscopy, Scanning Electron Microscopy, and Energy Dispersive Spectroscopy. Results indicate that the present combination of dielectrics is quite reliable and Ni90Cr10 films of 500 nm thickness can be used for applications at least up to 800 deg. C due to a protective thin chromium oxide layer formation on top of the sensor film during annealing

  19. Postdeposition Annealing Effect on Cu2ZnSnS4 Thin Films Grown at Different Substrate Temperature

    Directory of Open Access Journals (Sweden)

    Samia Ahmed Nadi

    2014-01-01

    Full Text Available Cu2ZnSnS4 (CZTS thin films were deposited on top of Molybdenum (Mo coated soda lime glass (SLG substrates using a single target rf magnetron sputtering technique. The sputtering parameters such as base pressure, working pressure, rf power, argon (Ar gas flow rate, and deposition time were kept consistent throughout the experiment. The effect of different substrate temperatures, for example, room temperature (RT, 300°C, 350°C, 370°C, 400°C, and 450°C, was analyzed by studying their structural, electrical, and optical properties. As-sputtered films were then annealed at 460°C. X-ray diffraction (XRD measurement revealed the structure to be kesterite with peak of (112 plane in both annealed and as-sputtered CZTS thin films. The crystallinity of the films improved with the increasing substrate temperature until 370°C. Secondary phases of MoS2, CuxMoSx, CuxSnSx, CuxS, and Cu6MoSnS8 (hemusite were also observed in the annealed CZTS films. Scanning electron microscopy (SEM shows crystallite size of deposited CZTS thin film to be proportionally related to deposition temperature. The highest surface roughness of 67.318 nm is observed by atomic force microscopy (AFM. The conductivity type of the films was found to be p-type by Hall effect measurement system.

  20. The influence of annealing in nitrogen atmosphere on the electrical, optical and structural properties of spray- deposited ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ikhmayies, S.J. [Applied Science Private Univ., Amman (Jordan). Dept. of Physics; Abu El-Haija, N.M.; Ahmad-Bitar, R.N. [Jordan Univ., Amman (Jordan). Dept. of Physics

    2009-07-01

    Thin-film zinc oxide (ZnO) has many applications in solar cell technology and is considered to be a candidate for the substitution of indium tin oxide and tin oxide. ZnO thin films can be prepared by thermal evaporation, rf-sputtering, atomic layer deposition, chemical vapor deposition, sol-gel, laser ablation and spray pyrolysis technique. Spray pyrolysis has received much attention because of its simplicity and low cost. In this study, large area and highly uniform polycrystalline ZnO thin films were produced by spray pyrolysis using a home-made spraying system on glass substrates at 450 degrees C. The electrical, optical and structural properties of the ZnO films were enhanced by annealing the thin films in nitrogen atmosphere. X-ray diffraction revealed that the films are polycrystalline with a hexagonal wurtzite structure. The preferential orientation did not change with annealing, but XRD patterns revealed that some very weak lines had grown. There was no noticeable increase in the grain size. The transmittance of the films increased as a result of annealing. It was concluded that post-deposition annealing is essential to improve the quality of the ZnO thin films. The electrical properties improved due to a decrease in resistivity. 13 refs., 5 figs.

  1. Structural and optical properties of annealed and illuminated (Ag3AsS3)0.6(As2S3)0.4 thin films

    Science.gov (United States)

    Studenyak, I. P.; Neimet, Yu. Yu.; Rati, Y. Y.; Stanko, D.; Kranjčec, M.; Kökényesi, S.; Daróci, L.; Bohdan, R.

    2014-11-01

    (Ag3AsS3)0.6(As2S3)0.4 thin films were deposited upon a quartz substrate by rapid thermal evaporation. Structural studies of the as-deposited, annealed and illuminated films were performed using XRD, scanning electron and atomic force microscopies. Surfaces of all the films were found to be covered with Ag-rich crystalline micrometer sized cones. Thermal annealing leads to mechanical deformation of part of the cones and their detachment from the base film surface while the laser illumination leads to the new formations appearance on the surface of thin films. The spectroscopic studies of optical transmission spectra for as-deposited, annealed and illuminated thin films were carried out. The optical absorption spectra in the region of its exponential behaviour were analysed, the dispersion dependences of refractive index as well as their variation after annealing and illumination were investigated.

  2. Effect of annealing in reduced oxygen pressure on the structure and magnetic properties of M-type hexaferrite bulk and film

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Kyoung-Seok; Yang, Dae-Jin; Lee, Sang-Eui [Materials R& D Center, Samsung Advanced Institute of Technology, Samsung Electronics, 16677 Suwon (Korea, Republic of); Kim, Dong Hun [Department of Materials Science and Engineering, Myongji University, 17058 Yongin (Korea, Republic of); Kang, Young-Min, E-mail: ymkang@ut.ac.kr [Department of Materials Science & Engineering, Korea National University of Transportation, 27469 Chungju (Korea, Republic of)

    2017-06-15

    Highlights: • The Ca-La-Co SrM decomposed into the three different ferrite phases after N{sub 2} annealing at 1200 °C. • The charge-imbalance substitution of La{sup 3+}-Co{sup 2+} into SrM induces the phase instability. • The SrM films showed significantly increased M{sub S} with reduced H{sub C} after vacuum annealing. - Abstract: The Ca-La-Co-doped M-type Sr-hexaferrite (Sr{sub 0.1}Ca{sub 0.45}La{sub 0.45}Fe{sub 11.7}Co{sub 0.3}O{sub 19}) decomposed into three different phases of orthorhombic, spinel, and hexagonal structures after annealing at 1200 °C in nitrogen atmosphere while the non-substituted SrFe{sub 12}O{sub 19} maintained the hexagonal structure after annealing at the same condition. It is suggested that the charge-imbalance substitution of La{sup 3+}/Co{sup 2+} = 1.5 induces phase instability of the M-type structure and it causes the phase transformation during the N{sub 2} annealing. In film experiment, polycrystalline Sr-hexaferrite films (SrFe{sub 12}O{sub 19}) have been prepared by pulsed laser deposition and post-annealing processes. When the film was annealed in vacuum at 500 °C, the magnetization value at the magnetic field H = 15 kOe increased by ∼80% and the coercivity decreased significantly without microstructural change.

  3. Enhanced off-resonance magnetoelectric response in laser annealed PZT thick film grown on magnetostrictive amorphous metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Palneedi, Haribabu [Materials Interface Laboratory, Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701 (Korea, Republic of); Functional Ceramics Group, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of); Maurya, Deepam; Priya, Shashank [Bio-inspired Materials and Devices Laboratory (BMDL), Center for Energy Harvesting Materials and Systems (CEHMS), Virginia Tech, Blacksburg, Virginia 24061 (United States); Kim, Gi-Yeop; Choi, Si-Young, E-mail: youngchoi@kims.re.kr [Materials Modeling and Characterization Department, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of); Kang, Suk-Joong L. [Materials Interface Laboratory, Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701 (Korea, Republic of); Kim, Kwang-Ho [School of Materials Science and Engineering, Pusan National University, Busan 609-735 (Korea, Republic of); Ryu, Jungho, E-mail: jhryu@kims.re.kr [Functional Ceramics Group, Korea Institute of Materials Science (KIMS), Changwon 641-831 (Korea, Republic of)

    2015-07-06

    A highly dense, 4 μm-thick Pb(Zr,Ti)O{sub 3} (PZT) film is deposited on amorphous magnetostrictive Metglas foil (FeBSi) by granule spray in vacuum process at room temperature, followed by its localized annealing with a continuous-wave 560 nm ytterbium fiber laser radiation. This longer-wavelength laser radiation is able to anneal the whole of thick PZT film layer without any deteriorative effects, such as chemical reaction and/or atomic diffusion, at the interface and crystallization of amorphous Metglas substrate. Greatly enhanced dielectric and ferroelectric properties of the annealed PZT are attributed to its better crystallinity and grain growth induced by laser irradiation. As a result, a colossal off-resonance magnetoelectric (ME) voltage coefficient that is two orders of magnitude larger than previously reported output from PZT/Metglas film-composites is achieved. The present work addresses the problems involved in the fabrication of PZT/Metglas film-composites and opens up emerging possibilities in employing piezoelectric materials with low thermal budget substrates (suitable for integrated electronics) and designing laminate composites for ME based devices.

  4. Structural, optical and electrical properties of CuIn{sub 5}S{sub 8} thin films grown by thermal evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Gannouni, M., E-mail: gm_mounir@yahoo.fr [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs -ENIT BP 37, Le belvedere 1002-Tunis (Tunisia); Kanzari, M. [Laboratoire de Photovoltaique et Materiaux Semi-conducteurs -ENIT BP 37, Le belvedere 1002-Tunis (Tunisia)

    2011-05-19

    Highlights: > In this work, thin films of CuIn{sub 5}S{sub 8} were successfully deposited onto glass substrates by thermal evaporation and annealed in air. > Post-depositional annealing effects on structural, optical and electrical properties of thermal evaporated CuIn{sub 5}S{sub 8} thin films were studied. > The results reported in this work make this material attractive as an absorber material in solar cells applications. - Abstract: Stoichiometric compound of copper indium sulfur (CuIn{sub 5}S{sub 8}) was synthesized by direct reaction of high purity elemental copper, indium and sulfur in an evacuated quartz tube. The phase structure of the synthesized material revealed the cubic spinel structure. The lattice parameter (a) of single crystals was calculated to be 10.667 A. Thin films of CuIn{sub 5}S{sub 8} were deposited onto glass substrates under the pressure of 10{sup -6} Torr using thermal evaporation technique. CuIn{sub 5}S{sub 8} thin films were then thermally annealed in air from 100 to 300 deg. C for 2 h. The effects of thermal annealing on their physico-chemical properties were investigated using X-ray diffraction (XRD), Energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), optical transmission and hot probe method. XRD studies of CuIn{sub 5}S{sub 8} thin films showed that as-deposited films were amorphous in nature and transformed into polycrystalline spinel structure with strong preferred orientation along the (3 1 1) plane after the annealing at 200 deg. C. The composition is greatly affected by thermal treatment. From the optical transmission and reflection, an important absorption coefficient exceeds 10{sup 4} cm{sup -1} was found. As increasing the annealing temperature, the optical energy band gap decreases from 1.83 eV for the as-deposited films to 1.43 eV for the annealed films at 300 deg. C. It was found that CuIn{sub 5}S{sub 8} thin film is an n-type semiconductor at 300 deg. C.

  5. Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of ultrathin block copolymer films.

    Science.gov (United States)

    Huang, Changchun; Wen, Gangyao; Li, Jingdan; Wu, Tao; Wang, Lina; Xue, Feifei; Li, Hongfei; Shi, Tongfei

    2016-09-15

    Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of spin-coated polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) films (dewetting of the films with different thicknesses occur via the spinodal dewetting and the nucleation and growth mechanisms, respectively. The PS-b-PMMA films rupture into droplets which first coalesce into large ones to reduce the surface free energy. Then the large droplets rupture into small ones to increase the contact area between PMMA blocks and acetone molecules resulting from ultimate migration of PMMA blocks to droplet surface, which is a novel dewetting process observed in spin-coated films for the first time. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Microstructure and mechanical properties of neutron irradiated OFHC-copper before and after post-irradiation annealing

    International Nuclear Information System (INIS)

    Singh, B.N.; Edwards, D.J.; Toft, P.

    2001-02-01

    Tensile specimens of OFHC-copper were irradiated with fission neutrons in the DR-3 reactor at Risoe National Laboratory at 100 deg. C to different displacement dose levels in the range of 0.01 to 0.3 dpa (NRT). Some of the specimens were tensile tested in the as-irradiated condition at 100 deg. C whereas other were given a post-irradiation annealing at 300 deg. C for 50 h and subsequently tested at 100 deg. C. Transmission electron microscopy was used to characterize the microstructure of specimens in the as-irradiation as well as irradiation and annealed conditions both before and after tensile deformation. The results show that while the interstitial loop microstructure coarsens with irradiation dose, no significant changes are observed in the population of stacking fault tetrahedra. The results also illustrates that the post-irradiation annealing leads to only a partial recovery and that the level of recovery depends on the irradiation dose level. However, the post-irradiation annealing eliminates the problem of yield drop and reinstates enough uniform elongation to render the material useful again. These results are discussed in terms of the cascade induced source hardening (CISH) and the dispersed barrier hardening (DBH) models. Both technological and scientific implications of these results are considered. (au)

  7. Electrical characteristics and preparation of (Ba0.5Sr0.5)TiO3 films by spray pyrolysis and rapid thermal annealing

    International Nuclear Information System (INIS)

    Koo, Horngshow; Ku, Hongkou; Kawai, Tomoji; Chen Mi

    2007-01-01

    Functional films of (Ba 0.5 Sr 0.5 )TiO 3 on Pt (1000 A)/Ti (100 A)/SiO 2 (2000 A)/Si substrates are prepared by spray pyrolysis and subsequently rapid thermal annealing. Barium nitrate, strontium nitrate and titanium isopropoxide are used as starting materials with ethylene glycol as solvent. For (Ba 0.5 Sr 0.5 )TiO 3 functional thin film, thermal characteristics of the precursor powder scratched from as-sprayed films show a remarkable peak around 300-400degC and 57.7% weight loss up to 1000degC. The as-sprayed precursor film with coffee-like color and amorphous-like phase is transformed into the resultant film with white, crystalline perovskite phase and characteristic peaks (110) and (100). The resultant films show correspondent increases of dielectric constant, leakage current and dissipation factor with increasing annealing temperatures. The dielectric constant is 264 and tangent loss is 0.21 in the resultant films annealed at 750degC for 5 min while leakage current density is 1.5x10 -6 A/cm 2 in the film annealed at 550degC for 5 min. (author)

  8. Improved electrical properties after post annealing of Ba0.7Sr0.3TiO3 thin films for MIM capacitor applications

    Science.gov (United States)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Jomni, F.; Defaÿ, E.; Yangui, B.

    2012-11-01

    Dielectric measurements have been performed on ion beam sputtering (IBS) barium strontium titanate Ba0.7Sr0.3TiO3 thin films at annealing temperatures 470 and 700 °C using impedance spectroscopy. The effect of the annealing temperature upon the electrical properties of the films is also investigated using capacitance-voltage techniques. Increasing annealing temperature suggested the increases of density and grain size, whereas the density of the trapped oxygen vacancy may be decreasing with increasing annealing temperature. The barrier height ( E a) of the oxygen vacancy decreases with increasing annealing temperature. The C- V characteristics were investigated in relation to the annealing temperature to identify the anomalous capacitance in the MIM configuration films. Among all measurement temperatures, it was observed that the data fit well by the "LGD" model. The interfacial effect and its dependence of morphology structure have been studied, and the results are discussed.

  9. Improved electrical properties after post annealing of Ba0.7Sr0.3TiO3 thin films for MIM capacitor applications

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Jomni, F.; Yangui, B.; Defay, E.

    2012-01-01

    Dielectric measurements have been performed on ion beam sputtering (IBS) barium strontium titanate Ba 0.7 Sr 0.3 TiO 3 thin films at annealing temperatures 470 and 700 C using impedance spectroscopy. The effect of the annealing temperature upon the electrical properties of the films is also investigated using capacitance-voltage techniques. Increasing annealing temperature suggested the increases of density and grain size, whereas the density of the trapped oxygen vacancy may be decreasing with increasing annealing temperature. The barrier height (E a ) of the oxygen vacancy decreases with increasing annealing temperature. The C-V characteristics were investigated in relation to the annealing temperature to identify the anomalous capacitance in the MIM configuration films. Among all measurement temperatures, it was observed that the data fit well by the ''LGD'' model. The interfacial effect and its dependence of morphology structure have been studied, and the results are discussed. (orig.)

  10. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  11. Photoconductivity in reactively evaporated copper indium selenide thin films

    Science.gov (United States)

    Urmila, K. S.; Asokan, T. Namitha; Pradeep, B.; Jacob, Rajani; Philip, Rachel Reena

    2014-01-01

    Copper indium selenide thin films of composition CuInSe2 with thickness of the order of 130 nm are deposited on glass substrate at a temperature of 423 ±5 K and pressure of 10-5 mbar using reactive evaporation, a variant of Gunther's three temperature method with high purity Copper (99.999%), Indium (99.999%) and Selenium (99.99%) as the elemental starting materials. X-ray diffraction (XRD) studies shows that the films are polycrystalline in nature having preferred orientation of grains along the (112) plane. The structural type of the film is found to be tetragonal with particle size of the order of 32 nm. The structural parameters such as lattice constant, particle size, dislocation density, number of crystallites per unit area and strain in the film are also evaluated. The surface morphology of CuInSe2 films are studied using 2D and 3D atomic force microscopy to estimate the grain size and surface roughness respectively. Analysis of the absorption spectrum of the film recorded using UV-Vis-NIR Spectrophotometer in the wavelength range from 2500 nm to cutoff revealed that the film possess a direct allowed transition with a band gap of 1.05 eV and a high value of absorption coefficient (α) of 106 cm-1 at 570 nm. Photoconductivity at room temperature is measured after illuminating the film with an FSH lamp (82 V, 300 W). Optical absorption studies in conjunction with the good photoconductivity of the prepared p-type CuInSe2 thin films indicate its suitability in photovoltaic applications.

  12. Growth and Characterisation of Pulsed-Laser Deposited Tin Thin Films on Cube-Textured Copper at Different Temperatures

    Directory of Open Access Journals (Sweden)

    Szwachta G.

    2016-06-01

    Full Text Available High-quality titanium nitride thin films have been grown on a cube-textured copper surface via pulsed laser deposition. The growth of TiN thin films has been very sensitive to pre-treatment procedure and substrate temperature. It is difficult to grow heteroexpitaxial TiN films directly on copper tape due to large differences in lattice constants, thermal expansion coefficients of the two materials as well as polycrystalline structure of substrate. The X-Ray diffraction measurement revealed presence of high peaks belonged to TiN(200 and TiN(111 thin films, depending on used etcher of copper surface. The electron diffraction patterns of TiN(200/Cu films confirmed the single-crystal nature of the films with cube-on-cube epitaxy. The high-resolution microscopy on our films revealed sharp interfaces between copper and titanium nitride with no presence of interfacial reaction.

  13. Annealing behaviour of structural and magnetic properties of evaporated Co thin films

    International Nuclear Information System (INIS)

    Jergel, M; Halahovets, Y; Siffalovic, P; Mat'ko, I; Senderak, R; Majkova, E; Luby, S; Cheshko, I; Protsenko, S

    2009-01-01

    Cobalt thin films of 50 nm nominal thickness were e-beam evaporated on silicon substrates covered with thermal oxide. Two series of independent and cumulative vacuum annealings up to 600 deg. C and 650 deg. C, respectively, were performed. The x-ray diffraction, specular and non-specular x-ray reflectivity and longitudinal magneto-optical Kerr effect measurements were applied to probe the annealing behaviour of the film structure and magnetic properties. A gradual transition from the hexagonal close-packed (hcp) to the face-centred cubic (fcc) structure was observed. Evolution of the in-plane magnetic anisotropy is dominated by residual stresses which relax during the structural transformation. The coercivity follows the stress behaviour in the hcp phase up to 300 deg. C and increases abruptly above 400 deg. C due to improving the magneto-crystalline anisotropy in the growing fcc crystallites and enhanced surface/interface roughness.

  14. Synthesis and microstructural studies of annealed Cu(2)O/Cu(x)S bilayer as transparent electrode material for photovoltaic and energy storage devices.

    Science.gov (United States)

    Taleatu, B A; Arbab, E A A; Omotoso, E; Mola, G T

    2014-10-01

    Cu2 O thin film and a transparent bilayer have been fabricated by electrodeposition method. The growths were obtained in potentiostatic mode with gradual degradation of anodic current. X-ray diffraction (XRD) study showed that the bilayer is polycrystalline and it possesses mixture of different crystallite phases of copper oxides. Surface morphology of the films was investigated by scanning electron microscopy (SEM). The SEM images revealed that the films were uniformly distributed and the starting material (Cu2 O) had cubical structure. Grains agglomeration and crystallinity were enhanced by annealing. Optical studies indicated that all the samples have direct allowed transition. Energy band gap of the bilayer film was reduced by annealing treatment thus corroborating quantum confinement upshot. © 2014 The Authors Journal of Microscopy © 2014 Royal Microscopical Society.

  15. Electrical properties of solution-deposited ZnO thin-film transistors by low-temperature annealing.

    Science.gov (United States)

    Lim, Chul; Oh, Ji Young; Koo, Jae Bon; Park, Chan Woo; Jung, Soon-Won; Na, Bock Soon; Chu, Hye Yong

    2014-11-01

    Flexible oxide thin-film transistors (Oxide-TFTs) have emerged as next generation transistors because of their applicability in electronic device. In particular, the major driving force behind solution-processed zinc oxide film research is its prospective use in printing for electronics. A low-temperature process to improve the performance of solution-processed n-channel ZnO thin-film transistors (TFTs) fabricated via spin-coating and inkjet-printing is introduced here. ZnO nanoparticles were synthesized using a facile sonochemical method that was slightly modified based on a previously reported method. The influence of the annealing atmosphere on both nanoparticle-based TFT devices fabricated via spin-coating and those created via inkjet printing was investigated. For the inkjet-printed TFTs, the characteristics were improved significantly at an annealing temperature of 150 degrees C. The field effect mobility, V(th), and the on/off current ratios were 3.03 cm2/Vs, -3.3 V, and 10(4), respectively. These results indicate that annealing at 150 degrees C 1 h is sufficient to obtain a mobility (μ(sat)) as high as 3.03 cm2/Vs. Also, the active layer of the solution-based ZnO nanoparticles allowed the production of high-performance TFTs for low-cost, large-area electronics and flexible devices.

  16. Annealing effect on physical properties of evaporated molybdenum oxide thin films for ethanol sensing

    Energy Technology Data Exchange (ETDEWEB)

    Touihri, S., E-mail: s_touihri@yahoo.fr [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Arfaoui, A.; Tarchouna, Y. [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Labidi, A. [Laboratoire Matériaux, Molécules et Applications, IPEST, BP 51 La Marsa 2070, Tunis (Tunisia); Amlouk, M. [Unité de Physique des Dispositifs a semi-conducteurs, Faculté des sciences de Tunis, Tunis El Manar University, 2092 Tunis (Tunisia); Bernede, J.C. [LUNAM, Universite de Nantes, Moltech Anjou, CNRS, UMR 6200, FSTN, 2 Rue de la houssiniere, BP 92208, Nantes F-44322 (France)

    2017-02-01

    Highlights: • Thermally grown molybdenum oxide films are amorphous, oxygen deficient and gas sensing. • Air or vacuum annealing transforms them into a sub-stoichiometric MoO{sub 3−x} phase. • The samples annealed at 500 °C in oxygen were crystallized and identified as pure orthorhombic MoO{sub 3} phase. • The conduction process and sensing mechanism of MoO{sub 3-x} to ethanol have been studied. - Abstract: This paper deals with some physical investigations on molybdenum oxide thin films growing on glass substrates by the thermal evaporation method. These films have been subjected to an annealing process under vacuum, air and oxygen at various temperatures 673, 723 and 773 K. First, the physical properties of these layers were analyzed by means of X-ray diffraction, Raman spectroscopy, scanning electron microscopy (SEM) and optical measurements. These techniques have been used to investigate the oxygen index in MoO{sub x} properties during the heat treatment. Second, from the reflectance and transmittance optical measurements, it was found that the direct band gap energy value increased from 3.16 to 3.90 eV. Finally, the heat treatments reveal that the oxygen index varies in such molybdenum oxides showing noticeably sensitivity toward ethanol gas.

  17. POLYMER COMPOSITE FILMS WITH SIZE-SELECTED METAL NANOPARTICLES FABRICATED BY CLUSTER BEAM TECHNIQUE

    DEFF Research Database (Denmark)

    Ceynowa, F. A.; Chirumamilla, Manohar; Popok, Vladimir

    2017-01-01

    Formation of polymer films with size-selected silver and copper nanoparticles (NPs) is studied. Polymers are prepared by spin coating while NPs are fabricated and deposited utilizing a magnetron sputtering cluster apparatus. The particle embedding into the films is provided by thermal annealing...... after the deposition. The degree of immersion can be controlled by the annealing temperature and time. Together with control of cluster coverage the described approach represents an efficient method for the synthesis of thin polymer composite layers with either partially or fully embedded metal NPs....... Combining electron beam lithography, cluster beam deposition and thermal annealing allows to form ordered arrays of metal NPs on polymer films. Plasticity and flexibility of polymer host and specific properties added by coinage metal NPs open a way for different applications of such composite materials...

  18. Properties of different temperature annealed Cu(In,Ga)Se{sub 2} and Cu(In,Ga){sub 2}Se{sub 3.5} films prepared by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Yu Zhou; Liu Lian; Yan Yong; Zhang Yanxia; Li Shasha; Yan Chuanpeng; Zhang Yong [Key Laboratory of Magnetic Suspension Technology and Maglev Vehicle, Ministry of Education of China, Superconductivity and New Energy R and D Center (SNERDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhao Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Suspension Technology and Maglev Vehicle, Ministry of Education of China, Superconductivity and New Energy R and D Center (SNERDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney 2052, NSW (Australia)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer The Cu(In,Ga)Se{sub 2} and Cu(In,Ga)2Se{sub 3.5} films follow different process to form CIGS phase. Black-Right-Pointing-Pointer Composition loss of the annealed Cu(In,Ga)Se{sub 2} and Cu(In,Ga){sub 2}Se{sub 3.5} films are different. Black-Right-Pointing-Pointer Hexagonal CuSe phase exhibits unique transport feature. Black-Right-Pointing-Pointer Conductivity of the CIGS films is affected by the 'variable range hopping' mechanism. - Abstract: We have investigated the effect of annealing temperature on structural, compositional, electrical properties of the one-step RF sputtered Cu(In,Ga)Se{sub 2} and Cu(In,Ga){sub 2}Se{sub 3.5} films. After the annealing at various temperatures, loss of Se element is significant for the Cu(In,Ga)Se{sub 2} films and meanwhile composition of the annealed Cu(In,Ga){sub 2}Se{sub 3.5} films keeps almost constant. The as-deposited Cu(In,Ga)Se{sub 2} and Cu(In,Ga){sub 2}Se{sub 3.5} films show amorphous structure and they follow different transformation process to form chalcopyrite structure. Electrical conductivity of the annealed CIGS films related to their chemical composition. Cu(In,Ga)Se{sub 2} films annealed at 150 Degree-Sign C show unique electron transport mechanism for the formation of hexagonal CuSe phase. Electrical conductivity of the chalcopyrite structure films are dominated by the 'variable range hopping' transport mechanism. The annealed Cu(In,Ga){sub 2}Se{sub 3.5} films present higher density of disorders than the annealed Cu(In,Ga)Se{sub 2} films for their significant Cu deficient composition.

  19. Influence of sputtering conditions on the optical and electrical properties of laser-annealed and wet-etched room temperature sputtered ZnO:Al thin films

    Energy Technology Data Exchange (ETDEWEB)

    Boukhicha, Rym, E-mail: rym.boukhicha@polytechnique.edu [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Charpentier, Coralie [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Prod' Homme, Patricia [Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Roca i Cabarrocas, Pere [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Lerat, Jean-François; Emeraud, Thierry [Photovoltaic Business Unit, Excico Group NV, Kempische Steenweg 305/2, B-3500 Hasselt (Belgium); Johnson, Erik [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France)

    2014-03-31

    We explore the influence of the sputtering deposition conditions on the outcome of an excimer laser anneal and chemical etching process with the goal of producing highly textured substrates for thin film silicon solar cells. Aluminum-doped zinc oxide (ZnO:Al) thin films were prepared on glass substrates by radio frequency magnetron sputtering from a ceramic target at room temperature. The effects of the process pressure (0.11–1.2 Pa) and oxygen flow (0–2 sccm) on the optical and electrical properties of ZnO:Al thin films have been studied both before and after an excimer laser annealing treatment followed by a dilute HCl chemical etch. The as-deposited films varied from completely opaque to yellowish. Thin film laser annealing dramatically improves the optical properties of the most opaque thin films. After laser annealing at the optimum fluence, the average transmittance in the visible wavelength range was around 80% for most films, and reasonable electrical performance was obtained for the films deposited at lower pressures and without oxygen flux (7 Ω/□ for films of 1 μm). After etching, all films displayed a dramatic improvement in haze, but only the low pressure, low oxygen films retained acceptable electrical properties (< 11 Ω/□). - Highlights: • Al:ZnO thin films were deposited at room temperature. • The ZnO:Al films were excimer laser annealed and then wet-etched. • The optical and electrical properties were studied in details.

  20. Characterization of copper thin films prepared by metal self-ion beam sputter deposition

    International Nuclear Information System (INIS)

    Gotoh, Yasuhito; Amioka, Takao; Tsuji, Hiroshi; Ishikawa, Junzo

    1994-01-01

    New deposition technique, 'metal-ion beam self-sputtering' method has been developed. Using metal ions which is the same element with the target material, no contamination with noble gas atoms, which are often used in the conventional sputtering, will occur. In this paper, fundamental measurement of the film purity is reported. As a result of PIXE measurements, it was clarified that only slight amount of iron is incorporated in the films. (author)

  1. A protected annealing strategy to enhanced light emission and photostability of YAG:Ce nanoparticle-based films

    Science.gov (United States)

    Revaux, Amelie; Dantelle, Geraldine; George, Nathan; Seshadri, Ram; Gacoin, Thierry; Boilot, Jean-Pierre

    2011-05-01

    A significant obstacle in the development of YAG:Ce nanoparticles as light converters in white LEDs and as biological labels is associated with the difficulty of finding preparative conditions that allow simultaneous control of structure, particle size and size distribution, while maintaining the optical properties of bulk samples. Preparation conditions frequently involve high-temperature treatments of precursors (up to 1400 °C), which result in increased particle size and aggregation, and lead to oxidation of Ce(iii) to Ce(iv). We report here a process that we term protected annealing, that allows the thermal treatment of preformed precursor particles at temperatures up to 1000 °C while preserving their small size and state of dispersion. In a first step, pristine nanoparticles are prepared by a glycothermal reaction, leading to a mixture of YAG and boehmite crystalline phases. The preformed nanoparticles are then dispersed in a porous silica. Annealing of the composite material at 1000 °C is followed by dissolution of the amorphous silica by hydrofluoric acid to recover the annealed particles as a colloidal dispersion. This simple process allows completion of YAG crystallization while preserving their small size. The redox state of Ce ions can be controlled through the annealing atmosphere. The obtained particles of YAG:Ce (60 +/- 10 nm in size) can be dispersed as nearly transparent aqueous suspensions, with a luminescence quantum yield of 60%. Transparent YAG:Ce nanoparticle-based films of micron thickness can be deposited on glass substrates using aerosol spraying. Films formed from particles prepared by the protected annealing strategy display significantly improved photostability over particles that have not been subject to such annealing.A significant obstacle in the development of YAG:Ce nanoparticles as light converters in white LEDs and as biological labels is associated with the difficulty of finding preparative conditions that allow simultaneous

  2. Systematic trends of YBa2Cu3O7-δ thin films post annealed in low oxygen partial pressures

    International Nuclear Information System (INIS)

    Hou, S.Y.; Phillips, J.M.; Werder, D.J.; Tiefel, T.H.; Marshall, J.H.; Siegal, M.P.

    1994-01-01

    Systematic studies have been performed on 1000 A YBa 2 Cu 3 O 7-δ films produced by the BaF 2 process and annealed in an oxygen partial pressure (p O 2 ) range from 740 Torr to 10 mTorr as well as a temperature range from 600 to 1050 degree C. The results show that while high quality films can be annealed in a wide range of oxygen partial pressure, they have different characteristics. In general, crystalline quality and T c are optimized at high p O 2 and high annealing temperature, while strong flux pinning and low normal state resistivity are achieved at lower values of both variables. Under optimized low p O 2 conditions, an ion channeling χ min of 6% is obtained on films as thick as 5000 A. This study will serve as a useful guide to tailoring film properties to the application at hand

  3. The effect of pre-heating and pre-irradiation with gamma rays on thermal annealing in bis [n-benzoil-n-phenyl hydroxilaminate] copper (II)

    International Nuclear Information System (INIS)

    Nakanishi, C.; Silva, C.P.G. da.

    1988-10-01

    The main purpose of this work was to make a contribution to the study of the chemical effects of the (n,γ) reaction on copper chelate. The influence of some factors such as pre-heating and pre-irradiation with gamma-rays on the retention and thermal annealing of bis-[N-benzoil-N-phenlhydroxilaminate] copper (II) was investigated. The complex was synthesized and later characterized by means of: determination of the melting-Point, elemental analysis, infra-red and vesible range absortion spectrophotometry. The compound was heated and also irradiated with gamma-rays in order to verify the effect of thermolysis and radiolysis on the retention. It seems that heat gamma-radiation can produce deffects which will lower the susceptibility of the compound to thermal annealing. On the model envolving electronic species some explanation of ours results were made and a mechanism was proposed for the retention and thermal annealing aasuming the capture of free electrons and also the existence of holes. (author) [pt

  4. The role of ultra-fast solvent evaporation on the directed self-assembly of block polymer thin films

    Science.gov (United States)

    Drapes, Chloe; Nelson, G.; Grant, M.; Wong, J.; Baruth, A.

    The directed self-assembly of nano-structures in block polymer thin films viasolvent vapor annealing is complicated by several factors, including evaporation rate. Solvent vapor annealing exposes a disordered film to solvent(s) in the vapor phase, increasing mobility and tuning surface energy, with the intention of producing an ordered structure. Recent theoretical predictions reveal the solvent evaporation affects the resultant nano-structuring. In a competition between phase separation and kinetic trapping during drying, faster solvent removal can enhance the propagation of a given morphology into the bulk of the thin film down to the substrate. Recent construction of a purpose-built, computer controlled solvent vapor annealing chamber provides control over forced solvent evaporation down to 15 ms. This is accomplished using pneumatically actuated nitrogen flow into and out of the chamber. Furthermore, in situ spectral reflectance, with 10 ms temporal resolution, monitors the swelling and evaporation. Presently, cylinder-forming polystyrene-block-polylactide thin films were swollen with 40% (by volume) tetrahydrofuran, followed by immediate evaporation under a variety of designed conditions. This includes various evaporation times, ranging from 15 ms to several seconds, and four unique rate trajectories, including linear, exponential, and combinations. Atomic force microscopy reveals specific surface, free and substrate, morphologies of the resultant films, dependent on specific evaporation conditions. Funded by the Clare Boothe Luce Foundation and Nebraska EPSCoR.

  5. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  6. Mechanism of via failure in copper/organosilicate glass interconnects induced by oxidation

    International Nuclear Information System (INIS)

    Min, Woo Sig; Kim, Dong Joon; Pyo, Sung Gyu; Park, Sang Jong; Choi, Jin Tae; Kim, Sibum

    2007-01-01

    Annealing for copper/organosilicate glass (Cu/OSG) dual damascene (DD) structure resulted in via resistance increase when Ta or TaN x film by ionized physical vapor deposition (iPVD) method was used as a barrier metal. The percentage increase in via resistance was higher in smaller vias. In spite of the huge increase of more than 50% in via resistance during annealing, any Cu voids in a single via or via chains of the DD structure were not observed. Instead, large amount of oxygen was detected at the interface between the barrier metal and Cu in the bottom of the vias. It was found that via resistance increase during annealing was caused by oxidation of the barrier metal at the via bottom. Improvement of the step coverage of the barrier metal inhibited the via resistance increase even after the high temperature annealing at 500 deg. C. It means that the oxygen atoms diffused out from the OSG film were blocked by the barrier metal covering the side wall of the vias

  7. Self-assembled three-dimensional and compressible interdigitated thin-film supercapacitors and batteries

    Science.gov (United States)

    Nyström, Gustav; Marais, Andrew; Karabulut, Erdem; Wågberg, Lars; Cui, Yi; Hamedi, Mahiar M.

    2015-01-01

    Traditional thin-film energy-storage devices consist of stacked layers of active films on two-dimensional substrates and do not exploit the third dimension. Fully three-dimensional thin-film devices would allow energy storage in bulk materials with arbitrary form factors and with mechanical properties unique to bulk materials such as compressibility. Here we show three-dimensional energy-storage devices based on layer-by-layer self-assembly of interdigitated thin films on the surface of an open-cell aerogel substrate. We demonstrate a reversibly compressible three-dimensional supercapacitor with carbon nanotube electrodes and a three-dimensional hybrid battery with a copper hexacyanoferrate ion intercalating cathode and a carbon nanotube anode. The three-dimensional supercapacitor shows stable operation over 400 cycles with a capacitance of 25 F g−1 and is fully functional even at compressions up to 75%. Our results demonstrate that layer-by-layer self-assembly inside aerogels is a rapid, precise and scalable route for building high-surface-area 3D thin-film devices. PMID:26021485

  8. The Effect of Thermal Annealing on the Optical Properties of a-SiC:H Films Produced by DC Sputtering Methods: I

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-04-01

    Full Text Available Silicon Target Case. The effects of thermal annealing treatment on the optical properties of amorphous silicon carbon films deposited by silicon target in an argon, methane and hydrogen gas mixture have been studied using ultra violet-visible (uv-vis spectroscopy. Both n and α, and consequently the real and imaginary parts of the dielectric constant, show a considerable variation with subsequent annealing up to annealing temperature 500 °C, with the most rapid changes occurring for temperature 300 °C. The films tend denser as the annealing temperature increased up to 500°C. The optical gap improved slightly upon annealing, where as the disorder of the amorphous network reduced. The annealing treatment produces reorganization of the amorphous network since thermal annealing results in dissociation of hydrogenated bonds (Si-H and C-H.

  9. Stabilized copper plating method by programmed electroplated current: Accumulation of densely packed copper grains in the interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Kao, Li-Chi; Hsu, Li-Hsuan; Brahma, Sanjaya; Huang, Bo-Chia; Liu, Chun-Chu; Lo, Kuang-Yao, E-mail: kuanglo@mail.ncku.edu.tw

    2016-12-01

    Highlights: • Actual Cu interconnect experiences many times of annealing and then cause the stress. • Stack Cu grains with varying grain size successively to enhance packed density. • XRD and PBR analyze the residual stress of local and average area of plated Cu film. • High packed Cu grain with stable stress proved by texture of Cu(1 1 1) and Cu(2 0 0). - Abstract: In this work, we programmed the plating current to stack the different size of copper (Cu) grain and analyzed the relation between the sequence of different Cu grain size and the stability of the residual stress. The residual stress was measured with varying times of annealing process in order to reach the purpose of simulating the actual Cu interconnect process. We found that varied plating strategy will make different stabilization condition of residual stress through the proof of X-ray diffraction (XRD) and optical parallel beams reflection (PBR) method. The accumulation of Cu grains, formed by Cu grain with successive variation in grain size, would enhance the packing density better than only single grain size in the finite space. The high density of the grain boundary in the electroplated Cu film will be eliminated through annealing process and it will help to suppress the void formation in further interconnect process. The electroplated Cu film with the plating current of saw tooth wave can soon reach a stable tensile stress through annealing since the Cu grains with high packing density will be quickly eliminated to approach the minimum of the strain energy which reflects to variation in the texture of Cu (2 0 0). The result of this work illustrates the importance of how to stack different size of Cu grain, for achieving a densely packed Cu film which close to the Cu bulk.

  10. Growth and surface modification of LaFeO3 thin films induced by reductive annealing

    International Nuclear Information System (INIS)

    Flynn, Brendan T.; Zhang, Kelvin H.L.; Shutthanandan, Vaithiyalingam; Varga, Tamas; Colby, Robert J.; Oleksak, Richard P.; Manandhar, Sandeep; Engelhard, Mark H.; Chambers, Scott A.; Henderson, Michael A.; Herman, Gregory S.; Thevuthasan, Suntharampillai

    2015-01-01

    Highlights: • LaFeO 3 was grown by molecular beam epitaxy on ZrO 2 :Y 2 O 3 . • The film was highly oriented but not single crystalline. • Angle resolved XPS revealed differences between surface and bulk oxygen. • Annealing the film in vacuum resulted in the sequential reduction of Fe cations. • A greater degree of Fe reduction was found at the surface. - Abstract: The mixed electronic and ionic conductivity of perovskite oxides has enabled their use in diverse applications such as automotive exhaust catalysts, solid oxide fuel cell cathodes, and visible light photocatalysts. The redox chemistry at the surface of perovskite oxides is largely dependent on the oxidation state of the metal cations as well as the oxide surface stoichiometry. In this study, LaFeO 3 (LFO) thin films grown on yttria-stabilized zirconia (YSZ) was characterized using both bulk and surface sensitive techniques. A combination of in situ reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), and Rutherford backscattering spectrometry (RBS) demonstrated that the film is primarily textured in the [1 0 0] direction and is stoichiometric. High-resolution transmission electron microscopy measurements show regions that are dominated by [1 0 0] oriented LFO grains that are oriented with respect to the substrates lattice. However, selected regions of the film show multiple domains of grains that are not [1 0 0] oriented. The film was annealed in an ultra-high vacuum chamber to simulate reducing conditions and studied by angle-resolved X-ray photoelectron spectroscopy (XPS). Iron was found to exist as Fe(0), Fe(II), and Fe(III) depending on the annealing conditions and the depth within the film. A decrease in the concentration of surface oxygen species was correlated with iron reduction. These results should help guide and enhance the design of LFO materials for catalytic applications

  11. Microstructure, Residual Stress, Corrosion and Wear Resistance of Vacuum Annealed TiCN/TiN/Ti Films Deposited on AZ31

    Directory of Open Access Journals (Sweden)

    Haitao Li

    2016-12-01

    Full Text Available Composite titanium carbonitride (TiCN thin films deposited on AZ31 by DC/RF magnetron sputtering were vacuum annealed at different temperatures. Vacuum annealing yields the following on the structure and properties of the films: the grain grows and the roughness increases with an increase of annealing temperature, the structure changes from polycrystalline to single crystal, and the distribution of each element becomes more uniform. The residual stress effectively decreases compared to the as-deposited film, and their corrosion resistance is much improved owing to the change of structure and fusion of surface defects, whereas the wear-resistance is degraded due to the grain growth and the increase of surface roughness under a certain temperature.

  12. Annealing temperature effect on the properties of mercury-doped TiO{sub 2} films prepared by sol-gel dip-coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Mechiakh, R., E-mail: raouf_mechiakh@yahoo.fr [Departement de Medecine, Faculte de Medecine, Universite Hadj Lakhdar Batna, Batna (Algeria); Laboratoire de Photovoltaique de Semi-conducteurs et de Nanostructures, Centre de Recherche et des Technologies de l' Energie (CRTEn), BP. 95, Hammam-Lif 2050 (Tunisia); Ben Sedrine, N. [Laboratoire de Photovoltaique de Semi-conducteurs et de Nanostructures, Centre de Recherche et des Technologies de l' Energie (CRTEn), BP. 95, Hammam-Lif 2050 (Tunisia); Karyaoui, M. [Departement de Medecine, Faculte de Medecine, Universite Hadj Lakhdar Batna, Batna (Algeria); Laboratoire de Photovoltaique de Semi-conducteurs et de Nanostructures, Centre de Recherche et des Technologies de l' Energie (CRTEn), BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R. [Laboratoire de Photovoltaique de Semi-conducteurs et de Nanostructures, Centre de Recherche et des Technologies de l' Energie (CRTEn), BP. 95, Hammam-Lif 2050 (Tunisia)

    2011-04-15

    This work presents the annealing temperature effect on the properties of mercury (Hg)-doped titanium dioxide (TiO{sub 2}). Thin films and polycrystalline powders have been prepared by sol-gel process. The structure, surface morphology and optical properties, as a function of the annealing temperature, have been studied by atomic force microscopy (AFM), Raman, reflectance and ellipsometric spectroscopies. In order to determine the transformation points, we have analyzed the xerogel-obtained powder by differential scanning calorimetry (DSC). Raman spectroscopy shows the crystalline anatase and rutile phases for the films annealed at 400 deg. C and 1000 deg. C respectively. The AFM surface morphology results indicate that the particle size increases from 14 to 57 nm by increasing the annealing temperature. The complex index and the optical band gap (E{sub g}) of the films were determined by the spectroscopic ellipsometry analysis. We have found that the optical band gap decreases by increasing the annealing temperature.

  13. Self-assembled monolayer of ammonium pyrrolidine dithiocarbamate on copper detected using electrochemical methods, surface enhanced Raman scattering and quantum chemistry calculations

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Q.-Q., E-mail: liaoqq1971@yahoo.com.cn [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Yue, Z.-W.; Yang, D. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Wang, Z.-H. [Department of Chemistry, Tongji University, Shanghai 200092 (China); Li, Z.-H. [Department of Chemistry, Fudan University, Shanghai 200433 (China); Ge, H.-H. [Key Lab of Shanghai Colleges and Universities for Electric Power Corrosion Control and Applied Electrochemistry, Shanghai Engineering Research Center of Energy-Saving in Heat Exchange Systems, Shanghai University of Electric Power, Shanghai 200090 (China); Li, Y.-J. [Department of Chemistry, Tongji University, Shanghai 200092 (China)

    2011-07-29

    Ammonium pyrrolidine dithiocarbamate (APDTC) monolayer was self-assembled on fresh copper surface obtained after oxidation-reduction cycle treatment in 0.1 mol L{sup -1} potassium chloride solution at ambient temperature. The APDTC self-assembled monolayer (SAM) on copper surface was investigated by surface enhanced Raman scattering spectroscopy and the results show that APDTC SAM is chemisorbed on copper surface by its sulfur atoms with perpendicular orientation. The optimum immersing period for SAM formation is 4 h at 0.01 mol L{sup -1} concentration of APDTC. The impedance results indicate that APDTC SAM has good corrosion inhibition effects for copper in 0.5 mol L{sup -1} hydrochloric acid solution and its maximum inhibition efficiency could reach 95%. Quantum chemical calculations show that APDTC has relatively small {Delta}E between the highest occupied molecular orbital and the lowest unoccupied molecular orbital and large negative charge in its two sulfur atoms, which facilitate formation of an insulating Cu/APDTC film on copper surface.

  14. Cu2ZnSnS4 thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    International Nuclear Information System (INIS)

    Caballero, R.; Izquierdo-Roca, V.; Merino, J.M.; Friedrich, E.J.; Climent-Font, A.; Saucedo, E.; 2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" >Pérez-Rodríguez, A.; León, M.

    2013-01-01

    A study of Cu 2 ZnSnS 4 thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu 2 ZnSnS 4 bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu 2 ZnSnS 4 deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu 2 ZnSnS 4 (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells

  15. Effect of post-annealing on the magnetic properties of sputtered Mn56Al44 thin films

    Science.gov (United States)

    Gupta, Nanhe Kumar; Husain, Sajid; Barwal, Vineet; Behera, Nilamani; Chaudhary, Sujeet

    2018-05-01

    Mn56Al44 (MnAl) thin films of constant thickness (˜30nm) were grown on naturally oxidized Si substrates using DC-magnetron sputtering. Effect of deposition parameters such as sputtering power, substrate temperature (Ts), and post-annealing temperature have been systematically invstigated. X-ray diffraction patterns revealed the presence of mixed phases, namely the τ- and β-MnAl. The highest saturation magnetization (MS) was found to be 65emu/cc using PPMS-VSM in film grown at Ts=500°C. The magnetic ordering was found to get significantly improved by performing post-annealing of these as-grwon at 400°C for 1 hr in the presence of out-of-plane magnetic field of ˜1500Oe in vacuum. In particular, at room temperature (RT), the MS got enhanced after magnetic annealing from 65emu/cc to 500 emu/cc in MnAl films grown at Ts=500°C. This sample exhibited a magneto-resistance of ˜1.5% at RT. The tuning of the structural and magnetic properties of MnAl binary alloy thin films as established here by varying the growth parameters is critical with regards to the prospective applications of MnAl, a metastable ferromagnetic system which possesses the highest perpendicular magnetic anisotropy at RT till date.

  16. Composition and growth procedure-dependent properties of electrodeposited CuInSe 2 thin films

    Science.gov (United States)

    Babu, S. Moorthy; Ennaoui, A.; Lux-Steiner, M. Ch.

    2005-02-01

    CuInSe 2 thin films were deposited on molybdenum-coated glass substrates by electrodeposition. Deposition was carried out with a variety of electrochemical bath compositions. The quality of the deposits depends very much on the source materials as well as the concentration of the same in the electrolyte. The deposition potential was varied from -0.4 to -0.75 V vs. SCE. The pH of the solution was adjusted to 1.5-2 using diluted sulphuric acid. Chloride salts containing bath yield good surface morphology, but there is always excess of the metallic content in the deposited films. Different growth procedures, like initial metallic layers of copper or indium, layers of copper selenide or indium selenide before the actual deposition of ternary chalcopyrite layers were attempted. Fabrication pathway, morphological and compositional changes due to the different precursor route has been analysed. The quality of the deposits prepared by one-step electrodeposition is better than the deposits with a two-stage process. The deposited films were characterized with XRD, SEM-EDAX, UV-visible spectroscopy and I- V characteristics. The deposited films were annealed in air as well as in nitrogen atmosphere. The influence of annealing temperature, environment and annealing time on the properties of the films are evaluated. Attempts were made to fabricate solar cell structure from the deposited absorber films. The structure of Mo/CuInSe 2/CdS/ZnO/Ni was characterized with surface, optical and electrical studies.

  17. Effects of annealing temperature on the physicochemical, optical and photoelectrochemical properties of nanostructured hematite thin films prepared via electrodeposition method

    International Nuclear Information System (INIS)

    Phuan, Yi Wen; Chong, Meng Nan; Zhu, Tao; Yong, Siek-Ting; Chan, Eng Seng

    2015-01-01

    Highlights: • Nanostructured hematite thin films were synthesized via electrodeposition method. • Effects of annealing on size, grain boundary and PEC properties were examined. • Photocurrents generation was enhanced when the thin films were annealed at 600 °C. • The highest photocurrent density of 1.6 mA/cm 2 at 0.6 V vs Ag/AgCl was achieved. - Abstract: Hematite (α-Fe 2 O 3 ) is a promising photoanode material for hydrogen production from photoelectrochemical (PEC) water splitting due to its wide abundance, narrow band-gap energy, efficient light absorption and high chemical stability under aqueous environment. The key challenge to the wider utilisation of nanostructured hematite-based photoanode in PEC water splitting, however, is limited by its low photo-assisted water oxidation caused by large overpotential in the nominal range of 0.5–0.6 V. The main aim of this study was to enhance the performance of hematite for photo-assisted water oxidation by optimising the annealing temperature used during the synthesis of nanostructured hematite thin films on fluorine-doped tin oxide (FTO)-based photoanodes prepared via the cathodic electrodeposition method. The resultant nanostructured hematite thin films were characterised using field emission-scanning electron microscopy (FE-SEM) coupled with energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), UV-visible spectroscopy and Fourier transform infrared spectroscopy (FTIR) for their elemental composition, average nanocrystallites size and morphology; phase and crystallinity; UV-absorptivity and band gap energy; and the functional groups, respectively. Results showed that the nanostructured hematite thin films possess good ordered nanocrystallites array and high crystallinity after annealing treatment at 400–600 °C. FE-SEM images illustrated an increase in the average hematite nanocrystallites size from 65 nm to 95 nm when the annealing temperature was varied from 400 °C to 600 °C. As the

  18. Effects of annealing temperature on the physicochemical, optical and photoelectrochemical properties of nanostructured hematite thin films prepared via electrodeposition method

    Energy Technology Data Exchange (ETDEWEB)

    Phuan, Yi Wen [School of Engineering, Chemical Engineering Discipline, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia); Chong, Meng Nan, E-mail: Chong.Meng.Nan@monash.edu [School of Engineering, Chemical Engineering Discipline, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia); Sustainable Water Alliance, Advanced Engineering Platform, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia); Zhu, Tao; Yong, Siek-Ting [School of Engineering, Chemical Engineering Discipline, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia); Chan, Eng Seng [School of Engineering, Chemical Engineering Discipline, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia); Sustainable Water Alliance, Advanced Engineering Platform, Monash University Malaysia, Jalan Lagoon Selatan, Bandar Sunway 46150 Selangor DE (Malaysia)

    2015-09-15

    Highlights: • Nanostructured hematite thin films were synthesized via electrodeposition method. • Effects of annealing on size, grain boundary and PEC properties were examined. • Photocurrents generation was enhanced when the thin films were annealed at 600 °C. • The highest photocurrent density of 1.6 mA/cm{sup 2} at 0.6 V vs Ag/AgCl was achieved. - Abstract: Hematite (α-Fe{sub 2}O{sub 3}) is a promising photoanode material for hydrogen production from photoelectrochemical (PEC) water splitting due to its wide abundance, narrow band-gap energy, efficient light absorption and high chemical stability under aqueous environment. The key challenge to the wider utilisation of nanostructured hematite-based photoanode in PEC water splitting, however, is limited by its low photo-assisted water oxidation caused by large overpotential in the nominal range of 0.5–0.6 V. The main aim of this study was to enhance the performance of hematite for photo-assisted water oxidation by optimising the annealing temperature used during the synthesis of nanostructured hematite thin films on fluorine-doped tin oxide (FTO)-based photoanodes prepared via the cathodic electrodeposition method. The resultant nanostructured hematite thin films were characterised using field emission-scanning electron microscopy (FE-SEM) coupled with energy dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), UV-visible spectroscopy and Fourier transform infrared spectroscopy (FTIR) for their elemental composition, average nanocrystallites size and morphology; phase and crystallinity; UV-absorptivity and band gap energy; and the functional groups, respectively. Results showed that the nanostructured hematite thin films possess good ordered nanocrystallites array and high crystallinity after annealing treatment at 400–600 °C. FE-SEM images illustrated an increase in the average hematite nanocrystallites size from 65 nm to 95 nm when the annealing temperature was varied from 400 °C to 600

  19. Angular dependence of preferential sputtering and composition in aluminum--copper thin films

    International Nuclear Information System (INIS)

    Rudeck, P.J.; Harper, J.M.E.; Fryer, P.M.

    1989-01-01

    The copper concentration in aluminum--copper alloys can be altered by ion bombardment during film deposition. We have measured the sputtering yields of aluminum and copper in Al--Cu alloys as a function of the Cu concentration (5--13 at. %) and the angle of ion incidence (0--40 0 from normal). During deposition, the films were partially resputtered by 500-eV Ar + ion bombardment from a Kaufman ion source. We found that the Cu sputtering yield decreases by up to a factor of 10 in the alloy, relative to elemental Cu. The Al sputtering yield remains close to the elemental value. The net effect is a strong preferential sputtering of Al relative to Cu, which enhances the Cu concentration in an ion bombarded film. The Al/Cu sputtering yield ratio for normal incidence ion bombardment ranges from 3 to 5 as a function of Cu concentration. This ratio decreases with increasing angle of incidence to as low as 2 for 40 0 incident ions. However, since a higher fraction of the film is resputtered from a sloping surface, a higher Cu concentration is found on a sloping surface relative to a flat surface. These results show that in multicomponent film deposition under ion bombardment, the film composition will vary as a function of the surface topography. We will also show how the level of argon left trapped in the films varies inversely with respect to the ion flux

  20. Effects of vacuum processing erbium dideuteride/ditritide films deposited on chromium underlays on copper substrates

    International Nuclear Information System (INIS)

    Provo, J.L.

    1978-01-01

    Thin films of erbium dideuteride/ditritide were experimentally produced on chromium underlays deposited on copper substrates. The chromium underlay is required to prevent erbium occluder/copper substrate alloying which inhibits hydriding. Data taken has shown that vacuum processing affects the erbium/chromium/copper interaction. With an in situ process in which underlay/occluder films are vacuum deposited onto copper substrates and hydrided with no air exposure between these steps, data indicates a minimum of 1500A of chromium is required for optimum hydriding. If films are vacuum deposited as above and air-exposed before hydriding, a minimum of 3000A of chromium was shown to be required for equivalent hydriding. Data suggests that the activation step (600 0 C for 1 hour) required for hydriding the film of the second type is responsible for the difference observed. Such underlay thickness parameters are important, with regard to heat transfer considerations in thin hydride targets used for neutron generation

  1. Layer-by-layer assembled highly absorbing hundred-layer films containing a phthalocyanine dye: Fabrication and photosensibilization by thermal treatment

    International Nuclear Information System (INIS)

    Sergeeva, Alena S.; Volkova, Elena K.; Bratashov, Daniil N.; Shishkin, Mikhail I.; Atkin, Vsevolod S.; Markin, Aleksey V.; Skaptsov, Aleksandr A.; Volodkin, Dmitry V.; Gorin, Dmitry A.

    2015-01-01

    Highly absorbing hundred-layer films based on poly(diallyldimethylammonium chloride) (PDADMAC) of various molecular weights and on sulfonated copper phthalocyanine (CuPcTs) were prepared using layer-by-layer assembly. The multilayer films grew linearly up to 54 bilayers, indicating that the same amount of CuPcTs was adsorbed at each deposition step. This amount, however, was dependent on the molecular weight of PDADMAC in the range 100-500 kDa: the higher the molecular weight, the more CuPcTs molecules were adsorbed. This can be explained by the larger surface charge number density specific to longer polymer chains. Domains of pure PDADMAC and of the PDADMAC/CuPcTs complex were formed in the films during the assembly. Uniform distribution of CuPcTs over the films could be achieved by thermal treatment, leading to an α → β phase transition in phthalocyanine at 300 °C. Annealing caused changes in the film absorbance spectra, resulting in a 30-nm red shift of the peak maxima and in a strong (up to 62%) decrease in optical density. Thermogravimetric analysis revealed thermodegradation of PDADMAC during annealing above 270 °C, giving rise to micrometer-sized cracks within the films, as evidenced by scanning electron microscopy. - Highlights: • The films exhibit the linear dependence of the adsorption on the bilayer number varied from 2 until 54. • Polyelectrolyte of the highest MW shows the maximal adsorption of copper phthalocyanine molecules. • Annealing of the films causes a red-shift of the maxima in the absorbance spectra. • Cracks and micropores emerged in the multilayer films during the annealing

  2. Effects of thickness and annealing condition on magnetic properties and thermal stabilities of Ta/Nd/NdFeB/Nd/Ta sandwiched films

    Science.gov (United States)

    Liu, Wen-Feng; Zhang, Min-Gang; Zhang, Ke-Wei; Zhang, Hai-Jie; Xu, Xiao-Hong; Chai, Yue-Sheng

    2016-11-01

    Ta/Nd/NdFeB/Nd/Ta sandwiched films are deposited by magnetron sputtering on Si (100) substrates, and subsequently annealed in vacuum at different temperatures for different time. It is found that both the thickness of NdFeB and Nd layer and the annealing condition can affect the magnetic properties of Ta/Nd/NdFeB/Nd/Ta films. Interestingly, the thickness and annealing temperature show the relevant behaviors that can affect the magnetic properties of the film. The high coercivity of 24.1 kOe (1 Oe = 79.5775 A/m) and remanence ratio (remanent magnetization/saturation magnetization) of 0.94 can be obtained in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed for 3 min at 1023 K. In addition, the thermal stability of the film is also linked to the thickness of NdFeB and Nd layer and the annealing temperature as well. The excellent thermal stability can be achieved in a Ta/Nd(250 nm)/NdFeB(600 nm)/Nd(250 nm)/Ta film annealed at 1023 K. Program supported by the National Natural Science Foundation of China (Grant No. 51305290), the Higher Education Technical Innovation Project of Shanxi Province, China (Grant No. 2013133), the Fund Program for the Scientific Activities of Selected Returned Overseas Professionals of Shanxi Province, China (Grant No. 2015003), and the Program for the Key Team of Scientific and Technological Innovation of Shanxi Province, China (Grant No. 2013131009).

  3. Effect of vacuum annealing and substrate temperature on structural and optical properties of ZnIn2Se4 thin films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Salem, G. F.; Ali, H. A. M.; Ismail, M. I.

    2013-09-01

    Zinc indium selenide (ZnIn2Se4) thin films were prepared by the thermal evaporation technique with high deposition rate. The effect of thermal annealing in vacuum on the crystallinity of the as-deposited films was studied at different temperatures (523, 573 and 623 K). The effect of substrate temperature (623 K) for different thickness values (173, 250, 335 and 346 nm) on the optical parameters of ZnIn2Se4 was also studied. The structural studies showed nanocrystalline nature of the room temperature (300 K) deposited films with crystallite size of about a few nanometers. The crystallite size increased up to 31 nm with increasing the annealing temperature in vacuum. From the reflection and transmission data, the refractive index n and the extinction coefficient k were estimated for ZnIn2Se4 thin films and they were found to be independent of film thickness. Analysis of the absorption coefficient data of the as-deposited films revealed the existence of allowed direct and indirect transitions with optical energy gaps of 2.21 eV and 1.71 eV, respectively. These values decreased with increasing annealing temperature. At substrate temperature of 623 K, the direct band gap increased to 2.41 eV whereas the value of indirect band gap remained nearly unchanged. The dispersion analysis showed that the values of the oscillator energy Eo, dispersion energy Ed, dielectric constant at infinite frequency ε∞, and lattice dielectric constant εL were changed appreciably under the effect of annealing and substrate temperature. The covalent nature of structure was studied as a function of the annealing and substrate temperature using an empirical relation for the dispersion energy Ed. Generalized Miller's rule and linear refractive index were used to estimate the nonlinear susceptibility and nonlinear refractive index of the thin films.

  4. Development of highly faceted reduced graphene oxide-coated copper oxide and copper nanoparticles on a copper foil surface

    Directory of Open Access Journals (Sweden)

    Rebeca Ortega-Amaya

    2016-07-01

    Full Text Available This work describes the formation of reduced graphene oxide-coated copper oxide and copper nanoparticles (rGO-Cu2ONPs, rGO-CuNPs on the surface of a copper foil supporting graphene oxide (GO at annealing temperatures of 200–1000 °C, under an Ar atmosphere. These hybrid nanostructures were developed from bare copper oxide nanoparticles which grew at an annealing temperature of 80 °C under nitrogen flux. The predominant phase as well as the particle size and shape strongly depend on the process temperature. Characterization with transmission electron microscopy and scanning electron microscopy indicates that Cu or Cu2O nanoparticles take rGO sheets from the rGO network to form core–shell Cu–rGO or Cu2O–rGO nanostructures. It is noted that such ones increase in size from 5 to 800 nm as the annealing temperature increases in the 200–1000 °C range. At 1000 °C, Cu nanoparticles develop a highly faceted morphology, displaying arm-like carbon nanorods that originate from different facets of the copper crystal structure.

  5. Growth and size distribution of Au nanoparticles in annealed Au/TiO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Reymond-Laruinaz, S.; Saviot, L.; Potin, V. [Laboratoire Interdisciplinaire Carnot de Bourgogne (ICB), UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France); Lopes, C.; Vaz, F. [Centro de Física, Universidade do Minho, 4710-057 Braga (Portugal); Marco de Lucas, M.C., E-mail: delucas@u-bourgogne.fr [Laboratoire Interdisciplinaire Carnot de Bourgogne (ICB), UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47 870, F-21078 Dijon Cedex (France)

    2014-02-28

    Nanocomposites consisting of noble metal nanoparticles (NPs) embedded in TiO{sub 2} thin films are of great interest for applications in optoelectronics, photocatalysis and solar-cells for which the plasmonic properties of the metal NPs play a major role. This work investigates the first stages of the formation of gold NPs by thermal annealing of Au-doped TiO{sub 2} thin films grown by magnetron sputtering. A low concentration of gold in the films is considered (5 at.%) in order to study the first stages of the formation of the NPs. Raman spectroscopy is used to follow the crystallization of TiO{sub 2} when increasing the annealing temperature. In addition, low-frequency Raman scattering (LFRS) is used to investigate the formation of gold NPs and to determine their size. Resonant LFRS measurements obtained by using a laser wavelength matching the surface plasmon resonance of the metallic NPs significantly enhances the Raman peak intensity enabling to focus on the first stages of the NPs formation. A double size distribution is observed at T{sub a} = 800 °C calling for additional investigations by transmission electron microscopy (TEM). TEM observations reveal an inhomogeneous in-depth size distribution of gold NPs. The annealed films are structured in two sublayers with bigger NPs at the bottom and smaller NPs at the top. At T{sub a} = 800 °C, a double size distribution is confirmed near the surface. A mechanism is proposed to explain the formation of the sublayers. The modification of the diffusion of gold atoms by stresses in the film near the substrate is assumed to be responsible for the observed two layers structure. - Highlights: • Gold-doped TiO{sub 2} thin films were grown by magnetron sputtering. • The first stages of the formation of Au nanoparticles after annealing are studied. • Au nanoparticles and crystallized TiO{sub 2} are observed above 400 °C. • The size distribution of the gold nanoparticles is complex and depth-dependent.

  6. The effect of thermal annealing on the optical band gap of cadmium sulphide thin films, prepared by the chemical bath deposition technique

    International Nuclear Information System (INIS)

    Ampong, F. K.; Boakye, F.; Asare Donkor, N. K.

    2010-01-01

    Cadmium sulphide thin films have been prepared by the chemical bath deposition technique (ph 11, 70 degree centigrade). Two different sets of films were prepared under varied conditions and concentrations of their ions sources (Cd 2+ from cadmium nitrate, S 2- from thiourea) and Na 2 EDTA as a complexing agent. A UV mini-Schimazu UV-VIS Spectrophotometer was used to determine the optical absorbance of the films as a function of wavelength at room temperature over the wavelength range 200 - 600 nm. The samples were then thermally annealed for thirty minutes, at temperatures of 100 degree centigrade, and 200 degree centigrade, after which the absorbance of the films were again recorded. The band gap values obtained for the sample with 0.5 M CdS as deposited, annealed at 100 degree centigrade and 200 degree centigrade were 2.1 eV, 2.2 eV and 2.3 eV respectively. Whilst the values obtained for the sample 0.15 CdS as deposited, annealed at 100 degree centigrade and annealed at 200 degree centigrade were 2.0 eV, 2.01 eV and 2.02 eV respectively. The increase in band gap with annealing temperature might be attributed to the improvement in crystallinity in the films. (au)

  7. Effect of acetic acid on wet patterning of copper/molybdenum thin films in phosphoric acid solution

    International Nuclear Information System (INIS)

    Seo, Bo.-Hyun; Lee, Sang-Hyuk; Park, In-Sun; Seo, Jong Hyun; Choe, HeeHwan; Jeon, Jae-Hong; Hong, Munpyo; Lee, Yong Uk; Winkler, Joerg

    2011-01-01

    Copper metallization is a key issue for high performance thin film transistor (TFT) technology. A phosphoric acid based copper etchant is a potentially attractive alternative to the conventional hydrogen peroxide based etchant due to its longer-life expectancy time and higher stability in use. In this paper, it is shown that amount of the acetic acid in the phosphoric based copper etchant plays an important role in controlling the galvanic reaction between the copper and the molybdenum. As the concentration of acetic acid in the phosphoric mixture solution increased from 0 M to 0.4 M, the measured galvanic current density dropped from 32 mA/cm 2 to 26 mA/cm 2 , indicating that the acetic acid induces the lower galvanic reaction between the copper and the molybdenum in the solution. From the XPS analysis, with the addition of the acetic acid, the thickness of the protective MoO 2 passive film covering the molybdenum surface grew and the dissolution rate of the molybdenum thin film decreased. However, the dissolution rate of the copper thin film increased as the concentration of acetic acid in the mixture solution increased.

  8. Effect of acetic acid on wet patterning of copper/molybdenum thin films in phosphoric acid solution

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Bo.-Hyun; Lee, Sang-Hyuk; Park, In-Sun [Department of Materials Engineering, Korea Aerospace University, Hwajeon, Goyang, Gyonggi-do 412-791 (Korea, Republic of); Seo, Jong Hyun, E-mail: jhseo@kau.ac.kr [Department of Materials Engineering, Korea Aerospace University, Hwajeon, Goyang, Gyonggi-do 412-791 (Korea, Republic of); Choe, HeeHwan; Jeon, Jae-Hong [School of Electronics, Telecommunications and Computer Engineering, Korea Aerospace University, Hwajeon, Goyang, Gyonggi-do 412-791 (Korea, Republic of); Hong, Munpyo [Display and Semiconductor Physics, Korea University (Korea, Republic of); Lee, Yong Uk [PETEC (The Printable Electronics Technology Centre) (United Kingdom); Winkler, Joerg [PLANSEE Metal GmbH, Metallwerk-Plansee-Str. 71A-6600, Reutte (Austria)

    2011-08-01

    Copper metallization is a key issue for high performance thin film transistor (TFT) technology. A phosphoric acid based copper etchant is a potentially attractive alternative to the conventional hydrogen peroxide based etchant due to its longer-life expectancy time and higher stability in use. In this paper, it is shown that amount of the acetic acid in the phosphoric based copper etchant plays an important role in controlling the galvanic reaction between the copper and the molybdenum. As the concentration of acetic acid in the phosphoric mixture solution increased from 0 M to 0.4 M, the measured galvanic current density dropped from 32 mA/cm{sup 2} to 26 mA/cm{sup 2}, indicating that the acetic acid induces the lower galvanic reaction between the copper and the molybdenum in the solution. From the XPS analysis, with the addition of the acetic acid, the thickness of the protective MoO{sub 2} passive film covering the molybdenum surface grew and the dissolution rate of the molybdenum thin film decreased. However, the dissolution rate of the copper thin film increased as the concentration of acetic acid in the mixture solution increased.

  9. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  10. Copper and CuNi alloys substrates for HTS coated conductor applications protected from oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Segarra, M; Diaz, J; Xuriguera, H; Chimenos, J M; Espiell, F [Dept. of Chemical Engineering and Metallurgy, Univ. of Barcelona, Barcelona (Spain); Miralles, L [Lab. d' Investigacio en Formacions Geologiques. Dept. of Petrology, Geochemistry and Geological Prospecting, Univ. of Barcelona, Barcelona (Spain); Pinol, S [Inst. de Ciencia de Materials de Barcelona, Bellaterra (Spain)

    2003-07-01

    Copper is an interesting substrate for HTS coated conductors for its low cost compared to other metallic substrates, and for its low resistivity. Nevertheless, mechanical properties and resistance to oxidation should be improved in order to use it as substrate for YBCO deposition by non-vacuum techniques. Therefore, different cube textured CuNi tapes were prepared by RABIT as possible substrates for deposition of high critical current density YBCO films. Under the optimised conditions of deformation and annealing, all the studied CuNi alloys (2%, 5%, and 10% Ni) presented (100) left angle 001 right angle cube texture which is compatible for YBCO deposition. Textured CuNi alloys present higher tensile strength than pure copper. Oxidation resistance of CuNi tapes under different oxygen atmospheres was also studied by thermogravimetric analysis and compared to pure copper tapes. Although the presence of nickel improves mechanical properties of annealed copper, it does not improve its oxidation resistance. However, when a chromium buffer layer is electrodeposited on the tape, oxygen diffusion is slowed down. Chromium is, therefore, useful for protecting copper and CuNi alloys from oxidation although its recrystallisation texture, (110), is not suitable for coated conductors. (orig.)

  11. Effects of thermal annealing on the electrical characteristics of In-Ga-Zn-O thin-film transistors with Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Zhang, Wen-Peng; Chen, Sun; Qian, Shi-Bing; Ding, Shi-Jin

    2015-01-01

    We studied how the performance of In–Ga–Zn–O (IGZO) thin film transistors (TFTs) with Al 2 O 3 gate insulator was affected by post-fabrication annealing temperature and annealing time. At a fixed annealing time of 2 min, the IGZO TFT exhibited the best transfer and output characteristics in the case of 300 °C in N 2 atmosphere, which is attributed to the achievement of appropriate carrier concentration and Hall mobility in the IGZO film. Further, it was found that both of the carrier concentration and Hall mobility in the IGZO film increased with the increment of annealing temperature. For the annealing temperature of 300 °C, the performance of the IGZO TFT was further improved by extending annealing time to 5 min, i.e., the field effect mobility, sub-threshold swing and on/off current ratio were 11.6 cm 2 /(V · s), 0.42 V dec −1 and 10 6 , respectively. The underlying mechanism was discussed. (paper)

  12. Effects of casting and post casting annealing on xylene isomer transport properties of Torlon® 4000T films

    KAUST Repository

    Chafin, Raymond; Lee, Jong Suk; Koros, William J.

    2010-01-01

    Procedures for Torlon® 4000T membrane formation were developed to provide attractive and repeatable xylene separation properties. Torlon® 4000T membrane films cast by our method were investigated in terms of thermally induced imidization, molecular weight enhancement, and solvent removal. After development of the Torlon® 4000T casting procedure, pervaporation of a xylene mixture (i.e. 30% para-xylene, 30% meta-xylene, 30% ortho-xylene, and 10% ethylbenzene) was performed in both Torlon® 4000T and post casting annealed Torlon® 4000T films. The xylene pervaporation in annealed Torlon® 4000T film at 200°C gave a permeability of 0.25 Barrer and a selectivity of 3.1 (para/ortho) and 2.1 (para/meta) respectively. A so-called " permeability collapse" reflecting an accelerated reduction in the free volume is consistent with significant temperature-induced changes in the films observed after thermal annealing at 300°C. This conditioning effect is induced by a combination of heat treatment and the presence of the interacting aromatic penetrants. Optical methods were used to verify that the density of annealed samples exposed to xylene for 5 days eventually increased, suggesting that the membrane is originally swollen upon initial xylene exposure, and then relaxes to a more densified, and more discriminating state. © 2010 Elsevier Ltd.

  13. Effects of casting and post casting annealing on xylene isomer transport properties of Torlon® 4000T films

    KAUST Repository

    Chafin, Raymond

    2010-07-01

    Procedures for Torlon® 4000T membrane formation were developed to provide attractive and repeatable xylene separation properties. Torlon® 4000T membrane films cast by our method were investigated in terms of thermally induced imidization, molecular weight enhancement, and solvent removal. After development of the Torlon® 4000T casting procedure, pervaporation of a xylene mixture (i.e. 30% para-xylene, 30% meta-xylene, 30% ortho-xylene, and 10% ethylbenzene) was performed in both Torlon® 4000T and post casting annealed Torlon® 4000T films. The xylene pervaporation in annealed Torlon® 4000T film at 200°C gave a permeability of 0.25 Barrer and a selectivity of 3.1 (para/ortho) and 2.1 (para/meta) respectively. A so-called " permeability collapse" reflecting an accelerated reduction in the free volume is consistent with significant temperature-induced changes in the films observed after thermal annealing at 300°C. This conditioning effect is induced by a combination of heat treatment and the presence of the interacting aromatic penetrants. Optical methods were used to verify that the density of annealed samples exposed to xylene for 5 days eventually increased, suggesting that the membrane is originally swollen upon initial xylene exposure, and then relaxes to a more densified, and more discriminating state. © 2010 Elsevier Ltd.

  14. Hot plate annealing at a low temperature of a thin ferroelectric P(VDF-TrFE) film with an improved crystalline structure for sensors and actuators.

    Science.gov (United States)

    Mahdi, Rahman Ismael; Gan, W C; Abd Majid, W H

    2014-10-14

    Ferroelectric poly(vinylidene fluoride-trifluoroethylene) (P(VDF-TrFE)) copolymer 70/30 thin films are prepared by spin coating. The crystalline structure of these films is investigated by varying the annealing temperature from the ferroelectric phase to the paraelectric phase. A hot plate was used to produce a direct and an efficient annealing effect on the thin film. The dielectric, ferroelectric and pyroelectric properties of the P(VDF-TrFE) thin films are measured as a function of different annealing temperatures (80 to 140 °C). It was found that an annealing temperature of 100 °C (slightly above the Curie temperature, Tc) has induced a highly crystalline β phase with a rod-like crystal structure, as examined by X-ray. Such a crystal structure yields a high remanent polarization, Pr = 94 mC/m2, and pyroelectric constant, p = 24 μC/m2K. A higher annealing temperature exhibits an elongated needle-like crystal domain, resulting in a decrease in the crystalline structure and the functional electrical properties. This study revealed that highly crystalline P(VDF-TrFE) thin films could be induced at 100 °C by annealing the thin film with a simple and cheap method.

  15. The influence of annealing on yttrium oxide thin film deposited by reactive magnetron sputtering: Process and microstructure

    Directory of Open Access Journals (Sweden)

    Y. Mao

    2017-01-01

    Full Text Available Yttrium oxide thin films were prepared by reactive magnetron sputtering in different deposition condition with various oxygen flow rates. The annealing influence on the yttrium oxide film microstructure is investigated. The oxygen flow shows a hysteresis behavior on the deposition rate. With a low oxygen flow rate, the so called metallic mode process with a high deposition rate (up to 1.4µm/h was achieved, while with a high oxygen flow rate, the process was considered to be in the poisoned mode with an extremely low deposition rate (around 20nm/h. X-ray diffraction (XRD results show that the yttrium oxide films that were produced in the metallic mode represent a mixture of different crystal structures including the metastable monoclinic phase and the stable cubic phase, while the poisoned mode products show a dominating monoclinic phase. The thin films prepared in metallic mode have relatively dense structures with less porosity. Annealing at 600 °C for 15h, as a structure stabilizing process, caused a phase transformation that changes the metastable monoclinic phase to stable cubic phase for both poisoned mode and metallic mode. The composition of yttrium oxide thin films changed from nonstoichiometric to stoichiometric together with a lattice parameter variation during annealing process. For the metallic mode deposition however, cracks were formed due to the thermal expansion coefficient difference between thin film and the substrate material which was not seen in poisoned mode deposition. The yttrium oxide thin films that deposited in different modes give various application options as a nuclear material.

  16. Effect of Annealing on the Structural and Optical Properties of Nano Fiber ZnO Films Deposited by Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    M. R. Islam

    2011-11-01

    Full Text Available Nano fiber ZnO films have been deposited on to glass substrate at 200 °C by a simple spray pyrolysis technique under atmospheric pressure. The effect of annealing temperature on the structural and optical properties of the as grown films has been studied by Scanning Electron Microscopy (SEM attached with an EDX, powder X-ray diffraction and UV visible spectroscopy. The atomic weight % of Zinc and Oxygen were found to be 49.22 % and 49.62 % respectively. The SEM micrographs show nano fiber structure and uniform deposition on the substrate. Average grain size of ZnO thin film was found in the range of 21 to 27 nm. The lattice constant a and c of ZnO thin film are determined at different annealing temperatures and values are found slightly larger than those of JCPDS data and lower for the sample annealed at 600 °C. The lattice parameters a and c decrease with increasing temperature. It reveals that the samples are poly-crystalline and having with low densities. Band gap energy of ZnO was found in the range of 3.33 to 3.17 eV and decreases with the increase of the annealing temperature.

  17. Effects of annealing temperature on the structural, mechanical and electrical properties of flexible bismuth telluride thin films prepared by high-pressure RF magnetron sputtering

    Science.gov (United States)

    Singkaselit, Kamolmad; Sakulkalavek, Aparporn; Sakdanuphab, Rachsak

    2017-09-01

    In this work Bi x Te y thin films were deposited on polyimide substrate by a high-pressure RF magnetron sputtering technique. The deposited condition was maintained using a high pressure of 1.3  ×  10-2 mbar. The as-deposited films show Bi2Te3 structure with Te excess phase (Te-rich Bi2Te3). After that, as-deposited films were annealed in the vacuum chamber under the N2 flow at temperatures from 250 to 400 °C for one hour. The microstructure, cross-section, [Bi]:[Te] content, and the mechanical, electrical and thermoelectric properties of as-deposited and different annealed films were investigated. It was found that the annealing temperature enhanced the crystallinity and film density for the temperature range 250-300 °C. However, the crystal structure of Bi2Te3 almost changed to the BiTe structure after annealing the films above 350 °C, due to the re-evaporation of Te. Nano-indentation results and cross-section images indicated that the hardness of the films related to the film density. The maximum hardness of 2.30 GPa was observed by annealing the films at 300 °C. As a result of an improvement in crystallinity and phase changes, the highest power factor of 11.45  ×  10-4 W m-1K-2 at 300 °C with the carrier concentration and mobility of 6.15  ×  1020 cm-3 and 34.03 cm2 V-1 s-1, respectively, was achieved for the films annealed at 400 °C. Contribution at the 4th Southeast Asia Conference on Thermoelectrics 2016 (SACT 2016), 15-18 December 2016, Da Nang City, Vietnam.

  18. Study of Nd:YAG laser annealing of electroless Ni-P film on spiegel-iron plate by Taguchi method and grey system theory

    Energy Technology Data Exchange (ETDEWEB)

    Liu, W.L. [Department of Materials Science and Engineering, National Formosa University, 64, Wunhua Road, Huwei, Yunlin 632, Taiwan (China); Chien, W.T.; Jiang, M.H. [Department of Mechanical Engineering, National Pingtung University of Science and Technology, 1, Shuehfu Road, Neipu, Pingtung 912, Taiwan (China); Chen, W.J., E-mail: chenwjau@yuntech.edu.t [Graduate School of Materials Science, National Yunlin University of Science and Technology, 123 University Road, Section 3, Douliou, Yunlin 64002, Taiwan (China)

    2010-04-09

    An electroless Ni-P film was first deposited on a spiegel-iron plate and then annealed by an Nd:YAG pulsed wave laser. In order to obtain the optimal laser annealing parameters for maximizing the hardness and minimizing the surface roughness of electroless Ni-P films, the Taguchi method and grey system theory were used to analyze the experimental data. The electroless Ni-P film was also characterized by scanning electron microscopy for the morphology, and transmission electron microscopy for the microstructure and crystal structure. The results showed that the hardness and the surface roughness of electroless Ni-P films can be, at the same time, improved to 50.8% and 68%, respectively, by the laser annealing with the optimal parameters.

  19. Study of Nd:YAG laser annealing of electroless Ni-P film on spiegel-iron plate by Taguchi method and grey system theory

    International Nuclear Information System (INIS)

    Liu, W.L.; Chien, W.T.; Jiang, M.H.; Chen, W.J.

    2010-01-01

    An electroless Ni-P film was first deposited on a spiegel-iron plate and then annealed by an Nd:YAG pulsed wave laser. In order to obtain the optimal laser annealing parameters for maximizing the hardness and minimizing the surface roughness of electroless Ni-P films, the Taguchi method and grey system theory were used to analyze the experimental data. The electroless Ni-P film was also characterized by scanning electron microscopy for the morphology, and transmission electron microscopy for the microstructure and crystal structure. The results showed that the hardness and the surface roughness of electroless Ni-P films can be, at the same time, improved to 50.8% and 68%, respectively, by the laser annealing with the optimal parameters.

  20. Electric and electrochemical properties of surface films formed on copper in the presence of bicarbonate anions

    International Nuclear Information System (INIS)

    Sirkiae, P.; Saario, T.; Maekelae, K.; Laitinen, T.; Bojinov, M.

    1999-01-01

    Copper is used as an outer shield of cast iron canisters planned for storage of spent nuclear fuel. The copper shield is responsible for the corrosion protection of the canister. The aim of the present work was to study the influence of bicarbonate (HCO 3 - ) anions on the stability of the copper oxide film. The work consists of a brief literature survey and an experimental part, in which voltammetry, electrochemical impedance spectroscopy and dc resistance measurements via the Contact Electric Resistance (CER) technique were used. The studies reported in the literature indicated that HCO 3 - ions increase the solubility of copper in the stability region of Cu(II). Thus they render the oxide film formed on copper susceptible to local damage and to localised corrosion at high potentials. Unfortunately, despite the great importance of bicarbonates in copper corrosion, most of the environments used in the electrochemical and corrosion studies are not comparable with repository conditions. In the existing studies either the bicarbonate concentrations or pH of the solutions were too high. In addition, no such studies were available, in which not only the effect of carbonate ions, but also possible synergetic effects of them with other aggressive ions would have been clarified. The voltammetric results of the experimental part of this work point to a bilayer structure of the anodic film on copper in neutral solutions containing HCO 3 - ions. The transport of ionic defects through a thin continuous p-type semiconductor layer was concluded to be the rate limiting step of the anodic oxidation of copper in the stability region of monovalent copper and in the mixed oxide (Cu(I)/Cu(II) oxide) region. Films formed in the divalent copper region did not show well-pronounced semiconductor behaviour. Substantial evidence was found in the voltammetric, CER and impedance results for the increased defectiveness of the anodic film in the Cu(II) region. The oxidation rate of copper in

  1. Electric and electrochemical properties of surface films formed on copper in the presence of bicarbonate anions

    Energy Technology Data Exchange (ETDEWEB)

    Sirkiae, P.; Saario, T.; Maekelae, K.; Laitinen, T.; Bojinov, M. [VTT Manufacturing Technology, Espoo (Finland)

    1999-11-01

    Copper is used as an outer shield of cast iron canisters planned for storage of spent nuclear fuel. The copper shield is responsible for the corrosion protection of the canister. The aim of the present work was to study the influence of bicarbonate (HCO{sub 3}{sup -}) anions on the stability of the copper oxide film. The work consists of a brief literature survey and an experimental part, in which voltammetry, electrochemical impedance spectroscopy and dc resistance measurements via the Contact Electric Resistance (CER) technique were used. The studies reported in the literature indicated that HCO{sub 3}{sup -} ions increase the solubility of copper in the stability region of Cu(II). Thus they render the oxide film formed on copper susceptible to local damage and to localised corrosion at high potentials. Unfortunately, despite the great importance of bicarbonates in copper corrosion, most of the environments used in the electrochemical and corrosion studies are not comparable with repository conditions. In the existing studies either the bicarbonate concentrations or pH of the solutions were too high. In addition, no such studies were available, in which not only the effect of carbonate ions, but also possible synergetic effects of them with other aggressive ions would have been clarified. The voltammetric results of the experimental part of this work point to a bilayer structure of the anodic film on copper in neutral solutions containing HCO{sub 3}{sup -}ions. The transport of ionic defects through a thin continuous p-type semiconductor layer was concluded to be the rate limiting step of the anodic oxidation of copper in the stability region of monovalent copper and in the mixed oxide (Cu(I)/Cu(II) oxide) region. Films formed in the divalent copper region did not show well-pronounced semiconductor behaviour. Substantial evidence was found in the voltammetric, CER and impedance results for the increased defectiveness of the anodic film in the Cu(II) region. The

  2. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  3. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    Science.gov (United States)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  4. Effects of Annealing Time on the Performance of OTFT on Glass with ZrO2 as Gate Dielectric

    Directory of Open Access Journals (Sweden)

    W. M. Tang

    2012-01-01

    Full Text Available Copper phthalocyanine-based organic thin-film transistors (OTFTs with zirconium oxide (ZrO2 as gate dielectric have been fabricated on glass substrates. The gate dielectric is annealed in N2 at different durations (5, 15, 40, and 60 min to investigate the effects of annealing time on the electrical properties of the OTFTs. Experimental results show that the longer the annealing time for the OTFT, the better the performance. Among the devices studied, OTFTs with gate dielectric annealed at 350°C in N2 for 60 min exhibit the best device performance. They have a small threshold voltage of −0.58 V, a low subthreshold slope of 0.8 V/decade, and a low off-state current of 0.73 nA. These characteristics demonstrate that the fabricated device is suitable for low-voltage and low-power operations. When compared with the TFT samples annealed for 5 min, the ones annealed for 60 min have 20% higher mobility and nearly two times smaller the subthreshold slope and off-state current. The extended annealing can effectively reduce the defects in the high-k film and produces a better insulator/organic interface. This results in lower amount of carrier scattering and larger CuPc grains for carrier transport.

  5. Tension Tests of Copper Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Park, Kyung Jo; Kim, Chung Youb [Chonnam Nat’l Univ., Gwangju (Korea, Republic of)

    2017-08-15

    Tension tests for copper thin films with thickness of 12 μm were performed by using a digital image correlation method based on consecutive digital images. When calculating deformation using digital image correlation, a large deformation causes errors in the calculated result. In this study, the calculation procedure was improved to reduce the error, so that the full field deformation and the strain of the specimen could be accurately and directly measured on its surface. From the calculated result, it can be seen that the strain distribution is not uniform and its variation is severe, unlike the distribution in a common bulk specimen. This might result from the surface roughness introduced in the films during the fabrication process by electro-deposition.

  6. Annealing cycles and the self-organization of functionalized colloids

    Science.gov (United States)

    Dias, Cristóvão S.; Araújo, Nuno A. M.; Telo da Gama, Margarida M.

    2018-01-01

    The self-assembly of functionalized (patchy) particles with directional interactions into target structures is still a challenge, despite the significant experimental advances in their synthesis. Self-assembly pathways are typically characterized by high energy barriers that hinder access to stable (equilibrium) structures. A possible strategy to tackle this challenge is to perform annealing cycles. By periodically switching on and off the inter-particle bonds, one expects to smooth-out the kinetic pathways and favor the assembly of targeted structures. Preliminary results have shown that the efficiency of annealing cycles depends strongly on their frequency. Here, we study numerically how this frequency-dependence scales with the strength of the directional interactions (size of the patch σ). We use analytical arguments to show that the scaling results from the statistics of a random walk in configurational space.

  7. Fabrication of graphene/polydopamine/copper foam composite material and its application as supercapacitor electrode

    Science.gov (United States)

    Zheng, Y.; Lu, S. X.; Xu, W. G.; He, G.; Cheng, Y. Y.; Xiao, F. Y.; Zhang, Y.

    2018-01-01

    In this work, a composite electrode was fabricated by chemical deposition of polydopamine (PDA) and graphene oxide (GO) on the copper foam (CF) surface, followed by annealing treatment. Owing to the cohesive effect of the PDA middle film, GO was coated on CF surface successfully, and then reduced simultaneously while annealing. The resulted rGO/PDA/CF composite electrode was directly used as a supercapacitor electrode and exhibited excellent electrochemical performance, with a high specific capacitance of 1250 F g-1 at 2 A g-1 and favorable cycle stability.

  8. Effects of annealing schedule on orientation of Bi3.2Nd0.8Ti3O12 ferroelectric film prepared by chemical solution deposition process

    International Nuclear Information System (INIS)

    He, H.Y.; Huang, J.F.; Cao, L.Y.; Wang, L.S.

    2006-01-01

    Fatigue-free Bi 3.2 Nd 0.8 Ti 3 O 12 ferroelectric thin films were successfully prepared on p-Si(1 1 1) substrate using chemical solution deposition process. The orientation and formation of thin film under different annealing schedules were studied. XRD analysis indicated that (2 0 0)-oriented films with degree of orientation of I (200) /I (117) = 2.097 and 0.466 were obtained by preannealing for 10 min at 400 deg. C followed by rapid thermal annealing for 3, 10 and 20 min at 700 deg. C, respectively (0 0 8)-oriented films with degree of orientation of I (008) /I (117) = 1.706 were obtained by rapid thermal annealing for 3 min at 700 deg. C without preannealing, and (0 0 8)-oriented films with degree of orientation of I (008) /I (117) = 0.719 were obtained by preheating the film from room temperature at 20 deg. C/min followed by annealing for 10 min at 700 deg. C. The a-axis and c-axis orientation decreased as increase of annealing time due to effects of (1 1 1)-oriented substrate. AFM analysis further indicated that preannealing at 400 deg. C for 10 min followed by rapid thermal annealing for 3 min at 700 deg. C resulted in formation of platelike crystallite parallel to substrate surface, however rapid thermal annealing for 3 min at 700 deg. C without preannealing resulted in columnar crystallite perpendicular to substrate surface

  9. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  10. Electroless plating of low-resistivity Cu–Mn alloy thin films with self-forming capacity and enhanced thermal stability

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Sung-Te, E-mail: stchen@mail.hust.edu.tw [Department of Electronic Engineering, Hsiuping University of Science and Technology, Dali 412, Taichung, Taiwan (China); Chen, Giin-Shan [Department of Materials Science and Engineering, Feng Chia University, Seatwen 407, Taichung, Taiwan (China)

    2015-11-05

    Previous studies have typically used sputter deposition to fabricate Cu–Mn alloy thin films with concentrated solute additions which have exceeded several atomic percentages, and the electrical resistivity values of the resultant films from previous studies are relatively high, ranging from 2.5 to 3.5 μΩ-cm. Herein, we proposed a different approach by using electroless process to plate dilute Cu–Mn (0.1 at.%) alloy thin films on dielectric layers (SiO{sub 2}). Upon forming-gas annealing, the Mn incorporated into Cu–Mn films was segregated toward the SiO{sub 2} side, eventually converting itself into a few atomic layer thickness at the Cu/SiO{sub 2} interface, and forming films with a low level of resistivity the same as that of pure Cu films (2.0 μΩ-cm). The interfacial layer served as not only a diffusion barrier, but also an adhesion promoter that prevented the film’s agglomeration during annealing at elevated temperatures. The mechanism for the dual-function performance by the Mn addition was elucidated by interfacial bonding analysis, as well as dynamic (adhesive strength) and thermodynamic (surface-tension) measurements. - Highlights: • Electroless plating is proposed to grow dilute (0.1%) Cu–Mn films on SiO{sub 2} layers. • Adequate annealing results in a self-forming of MnO{sub x} at the Cu/SiO{sub 2} interface. • The role of interfacial MnO{sub x} as a barrier and adhesion promoter is demonstrated. • The treated dilute film has a low ρ level of pure Cu, in contrast to concentrated films. • Its potential as a single entity replacement of Cu interconnect is presented.

  11. Preparation of Copper (Cu)-Nickel (Ni) Alloy Thin Films for Bilayer Graphene Growth

    Science.gov (United States)

    2016-02-01

    of each sample after annealing . Transene brand APS-100 etchant is used to completely wet etch away the unmasked portion of the Cu-Ni alloy, and...morphological changes in the metal surfaces such as roughness, grain size, and crystal orientation due to the effects of annealing temperature, hydrogen...post- annealed at 1000 °C for 30 min, 40% H2, 15 Torr.............5 Fig. 6 AFM imaging of Cu:Ni alloyed films with ratios of a) 6:1 , b) 4:1, and c) 3

  12. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  13. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  14. Annealing effect on the performance of sputtering deposited Metglas thin films

    KAUST Repository

    Cai, Liang

    2010-12-01

    Magnetostrictive sensors based on ferromagnetic materials have been widely used in detecting chemicals and biological species. The Metglas™ 2826MB is one of the bulk strip materials that is employed as the sensor platform. However, the sensitivity is limited by the large size of the sensors itself. In order to improve the sensitivity, we have developed a process to fabricate microscale sensors of 500 × 100 μm in size using conventional MEMS technology. As-deposited, the sensors suffered from internal stress, which was released by a annealing the sensors at 215 °C for two hours under vacuum condition. The annealing process improved the magnetic properties of the thin films and increased the resonant frequency of the sensor by 214 kHz.

  15. Annealing effect on the performance of sputtering deposited Metglas thin films

    KAUST Repository

    Cai, Liang; Hu, Jing; Prorok, Barton C.; Gooneratne, Chinthaka Pasan; Kosel, Jü rgen

    2010-01-01

    Magnetostrictive sensors based on ferromagnetic materials have been widely used in detecting chemicals and biological species. The Metglas™ 2826MB is one of the bulk strip materials that is employed as the sensor platform. However, the sensitivity is limited by the large size of the sensors itself. In order to improve the sensitivity, we have developed a process to fabricate microscale sensors of 500 × 100 μm in size using conventional MEMS technology. As-deposited, the sensors suffered from internal stress, which was released by a annealing the sensors at 215 °C for two hours under vacuum condition. The annealing process improved the magnetic properties of the thin films and increased the resonant frequency of the sensor by 214 kHz.

  16. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Effects of sputtering power on properties of copper oxides thin films deposited on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ooi, P. K.; Ng, S. S.; Abdullah, M. J. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia)

    2015-04-24

    Copper oxides are deposited by radio frequency sputtering using copper target in the mixture of argon and oxygen gasses. The structural and optical properties of the copper oxides deposited at different sputtering powers have been investigated. All the films are single phase polycrystalline. At low RF power (100 W), the film is monoclinic structure of cupric oxide (CuO). Meanwhile, the films are cubic structure of cuprous oxide (Cu2O) at higher RF power. Field emission scanning electron microscopy images show the films have different morphologies with small grain size and consist of a lot of voids. The analysis of energy dispersive X-ray spectroscopy shows that the ratio of Cu to O is increased as the RF power increased. From the ultraviolet–visible spectroscopy, the films have a broad absorption edge in the range of 300–500 nm. The band gap of the films grown at RF power of 100 W, and 120 W and above, were 1.18 eV and 2.16 eV, respectively.

  19. Effect of annealing temperature on structural, morphological and electrical properties of nanoparticles TiO{sub 2} thin films by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Muaz, A. K. M.; Hashim, U., E-mail: uda@unimap.edu.my; Arshad, M. K. Md.; Ruslinda, A. R.; Ayub, R. M.; Gopinath, Subash C. B.; Voon, C. H.; Liu, Wei-Wen; Foo, K. L. [Institute of Nano Electronic Engineering, Univerisiti Malaysia Perlis, 01000 Kangar, Perlis (Malaysia)

    2016-07-06

    In this paper, the sol-gel method is used to prepare nanoparticles titanium dioxide (TiO{sub 2}) thin films at different annealing temperature. The prepared sol was deposited on the p-SiO{sub 2} substrates by spin coating technique under room temperature. The nanoparticles TiO{sub 2} solution was synthesized using Ti{OCH(CH_3)_2}{sub 4} as a precursor with an methanol solution at a molar ratio 1:10. The prepared TiO{sub 2} sols will further validate through structural, morphological and electrical properties. From the X-ray diffraction (XRD) analysis, as-deposited films was found to be amorphous in nature and tend to transform into tetragonal anatase and rutile phase as the films annealed at 573 and 773 K, respectively. The diversification of the surface roughness was characterized by atomic force microscopy (AFM) indicated the roughness and thickness very dependent on the annealing temperature. The two-point probe electrical resistance and conductance of nanoparticles TiO{sub 2} thin films were determined by the DC current-voltage (IV) analysis. From the I-V measurement, the electrical conductance increased as the films annealed at higher temperature.

  20. Annealing effects on the ferromagnetic resonance linewidths of sputter-deposited Fe{sub 100−x}Co{sub x}(001) thin films (x < 11)

    Energy Technology Data Exchange (ETDEWEB)

    Kusaoka, A.; Kimura, J.; Takahashi, Y., E-mail: takahasy@yz.yamagata-u.ac.jp; Inaba, N. [Graduate School of Science and Engineering, Yamagata University, Yonezawa, Yamagata 992-8510 (Japan); Kirino, F. [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, Tokyo 110-8714 (Japan); Ohtake, M.; Futamoto, M. [Faculty of Science and Engineering, Chuo University, Tokyo 112-8551 (Japan)

    2015-05-07

    Effects of post-growth annealing on the magnetic damping of 3d transition alloy thin films were investigated. Fe{sub 100−x}Co{sub x} (x < 11 at. %) thin films were epitaxially deposited on GaAs(001) substrates by rf magnetron sputtering, and some of them were annealed without exposing to atmosphere. Electrical measurement showed that in-plane resistivity was smaller in the annealed films than in the as-deposited ones, indicating that the annealing mitigates crystalline imperfections and leads to reduced electron scattering rates. Magnetic damping was evaluated by the peak widths of ferromagnetic resonance (FMR) spectra obtained by a conventional Q-band spectrometer. Comparison of as-deposited and annealed specimens showed that the damping was decreased by annealing. Combined with the electrical and FMR measurements, these observations are consistent with the theoretical predictions that crystalline imperfections strongly influence the magnetic damping, both in intrinsic and extrinsic origins.

  1. The Effect of Annealing on the Structural and Optical Properties of Titanium Dioxide Films Deposited by Electron Beam Assisted PVD

    Directory of Open Access Journals (Sweden)

    Yaser M. Abdulraheem

    2013-01-01

    Full Text Available Titanium dioxide thin films were deposited on crystalline silicon substrates by electron beam physical vapor deposition. The deposition was performed under vacuum ranging from 10−5 to 10−6 Torr without process gases, resulting in homogeneous TiO2-x layers with a thickness of around 100 nm. Samples were then annealed at high temperatures ranging from 500°C to 800°C for 4 hours under nitrogen, and their structural and optical properties along with their chemical structure were characterized before and after annealing. The chemical and structural characterization revealed a substoichiometric TiO2-x film with oxygen vacancies, voids, and an interface oxide layer. It was found from X-ray diffraction that the deposited films were amorphous and crystallization to anatase phase occurred for annealed samples and was more pronounced for annealing temperatures above 700°C. The refractive index obtained through spectroscopic ellipsometry ranged between 2.09 and 2.37 in the wavelength range, 900 nm to 400 nm for the as-deposited sample, and jumped to the range between 2.23 and 2.65 for samples annealed at 800°C. The minimum surface reflectance changed from around 0.6% for the as-deposited samples to 2.5% for the samples annealed at 800°C.

  2. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    Science.gov (United States)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  3. Copper zinc tin sulfide-based thin film solar cells

    CERN Document Server

    Ito, Kentaro

    2014-01-01

    Beginning with an overview and historical background of Copper Zinc Tin Sulphide (CZTS) technology, subsequent chapters cover properties of CZTS thin films, different preparation methods of CZTS thin films, a comparative study of CZTS and CIGS solar cell, computational approach, and future applications of CZTS thin film solar modules to both ground-mount and rooftop installation. The semiconducting compound (CZTS) is made up earth-abundant, low-cost and non-toxic elements, which make it an ideal candidate to replace Cu(In,Ga)Se2 (CIGS) and CdTe solar cells which face material scarcity and tox

  4. Post-deposition annealing effects in RF reactive magnetron sputtered indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, M A; Herrero, J; Gutierrez, M T [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1992-05-01

    Indium tin oxide films have been grown by RF reactive magnetron sputtering. The influence of the deposition parameters on the properties of the films has been investigated and optimized, obtaining a value for the figure of merit of 6700 ({Omega} cm){sup -1}. As-grown indium tin oxide films were annealed in vacuum and O{sub 2} atmosphere. After these heat treatments the electro-optical properties were improved, with values for the resistivity of 1.9x10{sup -4} {Omega} cm and the figure of merit of 26700 ({Omega} cm){sup -1}. (orig.).

  5. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  6. Influence of secondary phases during annealing on re-crystallization of CuInSe{sub 2} electrodeposited films

    Energy Technology Data Exchange (ETDEWEB)

    Gobeaut, A. [Laboratoire de Reactivite et Chimie des Solides, 33 rue St Leu, 80039 Amiens (France); Laffont, L., E-mail: lydia.laffont@u-picardie.f [Laboratoire de Reactivite et Chimie des Solides, 33 rue St Leu, 80039 Amiens (France); Tarascon, J.-M. [Laboratoire de Reactivite et Chimie des Solides, 33 rue St Leu, 80039 Amiens (France); Parissi, L.; Kerrec, O. [Institut de Recherche et de Developpement de l' Energie Photovoltaique, 6 quai Watier, 78401 Chatou cedex (France)

    2009-06-01

    Electrodeposited CuInSe{sub 2} thin films are of potential importance, as light absorber material, in the next generation of photovoltaic cells as long as we can optimize their annealing process to obtain dense and highly crystalline films. The intent of this study was to gain a basic understanding of the key experimental parameters governing the structural-textural-composition evolution of thin films as function of the annealing temperature via X-ray diffraction, scanning/transmission electron microscopy and thermal analysis measurements. The crystallization of the electrodeposited CuInSe{sub 2} films, with the presence of Se and orthorhombic Cu{sub 2} {sub -} {sub x}Se (o-Cu{sub 2} {sub -} {sub x}Se) phases, occurs over two distinct temperature ranges, between 220 {sup o}C and 250 {sup o}C and beyond 520 {sup o}C. Such domains of temperature are consistent with the melting of elemental Se and the binary CuSe phase, respectively. The CuSe phase forming during annealing results from the reaction between the two secondary species o-Cu{sub 2} {sub -} {sub x}Se and Se (o-Cu{sub 2} {sub -} {sub x}Se + Se {yields} 2 CuSe) but can be decomposed into the cubic {beta}-Cu{sub 2} {sub -} {sub x}Se phase by slowing down the heating rate. Formation of liquid CuSe beyond 520{sup o}C seems to govern both the grain size of the films and the porosity of the substrate-CuInSe{sub 2} film interface. A simple model explaining the competitive interplay between the film crystallinity and the interface porosity is proposed, aiming at an improved protocol based on temperature range, which will enable to enhance the film crystalline nature while limiting the interface porosity.

  7. Low thermal budget annealing technique for high performance amorphous In-Ga-ZnO thin film transistors

    Directory of Open Access Journals (Sweden)

    Joong-Won Shin

    2017-07-01

    Full Text Available In this paper, we investigate a low thermal budget post-deposition-annealing (PDA process for amorphous In-Ga-ZnO (a-IGZO oxide semiconductor thin-film-transistors (TFTs. To evaluate the electrical characteristics and reliability of the TFTs after the PDA process, microwave annealing (MWA and rapid thermal annealing (RTA methods were applied, and the results were compared with those of the conventional annealing (CTA method. The a-IGZO TFTs fabricated with as-deposited films exhibited poor electrical characteristics; however, their characteristics were improved by the proposed PDA process. The CTA-treated TFTs had excellent electrical properties and stability, but the CTA method required high temperatures and long processing times. In contrast, the fabricated RTA-treated TFTs benefited from the lower thermal budget due to the short process time; however, they exhibited poor stability. The MWA method uses a low temperature (100 °C and short annealing time (2 min because microwaves transfer energy directly to the substrate, and this method effectively removed the defects in the a-IGZO TFTs. Consequently, they had a higher mobility, higher on-off current ratio, lower hysteresis voltage, lower subthreshold swing, and higher interface trap density than TFTs treated with CTA or RTA, and exhibited excellent stability. Based on these results, low thermal budget MWA is a promising technology for use on various substrates in next generation displays.

  8. Low thermal budget annealing technique for high performance amorphous In-Ga-ZnO thin film transistors

    Science.gov (United States)

    Shin, Joong-Won; Cho, Won-Ju

    2017-07-01

    In this paper, we investigate a low thermal budget post-deposition-annealing (PDA) process for amorphous In-Ga-ZnO (a-IGZO) oxide semiconductor thin-film-transistors (TFTs). To evaluate the electrical characteristics and reliability of the TFTs after the PDA process, microwave annealing (MWA) and rapid thermal annealing (RTA) methods were applied, and the results were compared with those of the conventional annealing (CTA) method. The a-IGZO TFTs fabricated with as-deposited films exhibited poor electrical characteristics; however, their characteristics were improved by the proposed PDA process. The CTA-treated TFTs had excellent electrical properties and stability, but the CTA method required high temperatures and long processing times. In contrast, the fabricated RTA-treated TFTs benefited from the lower thermal budget due to the short process time; however, they exhibited poor stability. The MWA method uses a low temperature (100 °C) and short annealing time (2 min) because microwaves transfer energy directly to the substrate, and this method effectively removed the defects in the a-IGZO TFTs. Consequently, they had a higher mobility, higher on-off current ratio, lower hysteresis voltage, lower subthreshold swing, and higher interface trap density than TFTs treated with CTA or RTA, and exhibited excellent stability. Based on these results, low thermal budget MWA is a promising technology for use on various substrates in next generation displays.

  9. An in situ grazing incidence x-ray scattering study of block copolymer thin films during solvent vapor annealing

    Science.gov (United States)

    Gu, Xiaodan; Gunkel, Ilja; Hexemer, Alexander; Russell, Thomas

    2014-03-01

    Although solvent vapor annealing (SVA) has been widely applied to block copolymer (BCP) thin films to obtain well-ordered microdomains, the mechanism of enhancing lateral order is not well understood. Here, we used real time in situ grazing-incidence small-angle x-ray scattering (in situGISAXS) to study the self-assembly of PS-b-P2VP BCP BCPs with different molecular weights thin films in THF vapor, a near neutral solvent for both blocks. Both swelling and deswelling behavior of BCP thin films were examined. The extent of swellingand the solvent removal rate not only affect the domain spacing of BCPs but also dictate the extent of lateral ordering of the BCP microdomains. Larger grains were observed at higher values of the swelling ratio (close to disordering). To preserve the maximal lateral ordering of the microdomains in the swollen state, the fastest solvent removal rate is required to freeze in the ordered microdomain structure of the swollen BCP film. We thanks support from U.S. Department of Energy BES under contract BES-DE-FG02-96ER45612 and ALS doctoral fellowship.

  10. High-Temperature Electrical Insulation Behavior of Alumina Films Prepared at Room Temperature by Aerosol Deposition and Influence of Annealing Process and Powder Impurities

    Science.gov (United States)

    Schubert, Michael; Leupold, Nico; Exner, Jörg; Kita, Jaroslaw; Moos, Ralf

    2018-04-01

    Alumina (Al2O3) is a widely used material for highly insulating films due to its very low electrical conductivity, even at high temperatures. Typically, alumina films have to be sintered far above 1200 °C, which precludes the coating of lower melting substrates. The aerosol deposition method (ADM), however, is a promising method to manufacture ceramic films at room temperature directly from the ceramic raw powder. In this work, alumina films were deposited by ADM on a three-electrode setup with guard ring and the electrical conductivity was measured between 400 and 900 °C by direct current measurements according to ASTM D257 or IEC 60093. The effects of film annealing and of zirconia impurities in the powder on the electrical conductivity were investigated. The conductivity values of the ADM films correlate well with literature data and can even be improved by annealing at 900 °C from 4.5 × 10-12 S/cm before annealing up to 5.6 × 10-13 S/cm after annealing (measured at 400 °C). The influence of zirconia impurities is very low as the conductivity is only slightly elevated. The ADM-processed films show a very good insulation behavior represented by an even lower electrical conductivity than conventional alumina substrates as they are commercially available for thick-film technology.

  11. Perpendicular Structure Formation of Block Copolymer Thin Films during Thermal Solvent Vapor Annealing : Solvent and Thickness Effects

    NARCIS (Netherlands)

    Yang, Qiuyan; Loos, Katja

    2017-01-01

    Solvent vapor annealing of block copolymer (BCP) thin films can produce a range of interesting morphologies, especially when the perpendicular orientation of micro-domains with respect to the substrate plays a role. This, for instance, allows BCP thin films to serve as useful templates for

  12. Low-cost optical fabrication of flexible copper electrode via laser-induced reductive sintering and adhesive transfer

    Science.gov (United States)

    Back, Seunghyun; Kang, Bongchul

    2018-02-01

    Fabricating copper electrodes on heat-sensitive polymer films in air is highly challenging owing to the need of expensive copper nanoparticles, rapid oxidation of precursor during sintering, and limitation of sintering temperature to prevent the thermal damage of the polymer film. A laser-induced hybrid process of reductive sintering and adhesive transfer is demonstrated to cost-effectively fabricate copper electrode on a polyethylene film with a thermal resistance below 100 °C. A laser-induced reductive sintering process directly fabricates a high-conductive copper electrode onto a glass donor from copper oxide nanoparticle solution via photo-thermochemical reduction and agglomeration of copper oxide nanoparticles. The sintered copper patterns were transferred in parallel to a heat-sensitive polyethylene film through self-selective surface adhesion of the film, which was generated by the selective laser absorption of the copper pattern. The method reported here could become one of the most important manufacturing technologies for fabricating low-cost wearable and disposable electronics.

  13. Mechanical Properties of Oxide Films on Electrolytic In-process Dressing (ELID) Copper-based Grinding Wheel

    Science.gov (United States)

    Kuai, J. C.; Wang, J. W.; Jiang, C. R.; Zhang, H. L.; Yang, Z. B.

    2018-05-01

    The mechanical properties of oxide films on copper based grinding wheel were studied by nanoindentation technique. The analysis of load displacement shows that the creep phenomenon occurs during the loading stage. Results show that the oxide film and the matrix have different characteristics, and the rigidity of the copper based grinding wheel is 0.6-1.3mN/nm, which is weaker than that of the matrix; the hardness of the oxide film is 2000-2300MPa, which is higher than the matrix; and the elastic modulus of the oxide film is 100-120GPa, also higher than the matrix.

  14. Evolution of the surface plasmon resonance of Au:TiO{sub 2} nanocomposite thin films with annealing temperature

    Energy Technology Data Exchange (ETDEWEB)

    Borges, J., E-mail: joelborges@fisica.uminho.pt [Universidade do Minho, Centro/Departamento de Física (Portugal); Buljan, M.; Sancho-Parramon, J.; Bogdanovic-Radovic, I.; Siketic, Z. [Rudjer Boskovic Institute (Croatia); Scherer, T.; Kübel, C. [Karlsruhe Institute of Technology (KIT), Institute of Nanotechnology (INT) and Karlsruhe Nano Micro Facility - KNMF (Germany); Bernstorff, S. [Elettra-Sincrotrone Trieste (Italy); Cavaleiro, A. [University of Coimbra, SEG-CEMUC, Mechanical Engineering Department (Portugal); Vaz, F.; Rolo, A. G. [Universidade do Minho, Centro/Departamento de Física (Portugal)

    2014-12-15

    This paper reports on the changes in the structural and morphological features occurring in a particular type of nanocomposite thin-film system, composed of Au nanoparticles (NPs) dispersed in a host TiO{sub 2} dielectric matrix. The structural and morphological changes, promoted by in-vacuum annealing experiments of the as-deposited thin films at different temperatures (ranging from 200 to 800 °C), resulted in a well-known localized surface plasmon resonance (LSPR) phenomenon, which gave rise to a set of different optical responses that can be tailored for a wide number of applications, including those for optical-based sensors. The results show that the annealing experiments enabled a gradual increase of the mean grain size of the Au NPs (from 2 to 23 nm), and changes in their distributions and separations within the dielectric matrix. For higher annealing temperatures of the as-deposited films, a broad size distribution of Au NPs was found (sizes up to 100 nm). The structural conditions necessary to produce LSPR activity were found to occur for annealing experiments above 300 °C, which corresponded to the crystallization of the gold NPs, with an average size strongly dependent on the annealing temperature itself. The main factor for the promotion of LSPR was the growth of gold NPs and their redistribution throughout the host matrix. On the other hand, the host matrix started to crystallize at an annealing temperature of about 500 °C, which is an important parameter to explain the shift of the LSPR peak position to longer wavelengths, i.e. a red-shift.

  15. Effects of substrate heating and vacuum annealing on optical and electrical properties of alumina-doped ZnO films deposited by DC magnetron sputtering

    Science.gov (United States)

    Tang, Chien-Jen; Wang, Chun-Yuan; Jaing, Cheng-Chung

    2011-10-01

    Alumina-doped zinc oxide (AZO) films have wide range of applications in optical and optoelectronic devices. AZO films have advantage in high transparency, high stability to hydrogen plasma and low cost to alternative ITO film. AZO film was prepared by direct-current (DC) magnetron sputtering from ceramic ZnO:Al2O3 target. The AZO films were compared in two different conditions. The first is substrate heating process, in which AZO film was deposited by different substrate temperature, room temperature, 150 °C and 250 °C. The second is vacuum annealing process, in which AZO film with deposited at room temperature have been annealed at 250 °C and 450 °C in vacuum. The optical properties, electrical properties, grain size and surface structure properties of the films were studied by UV-VIS-NIR spectrophotometer, Hall effect measurement equipment, x-ray diffraction, and scanning electron microscopy. The resistivity, carrier mobility, carrier concentration, and grain size of AZO films were 1.92×10-3 Ω-cm, 6.38 cm2/Vs, 5.08×1020 #/cm3, and 31.48 nm respectively, in vacuum annealing of 450 °C. The resistivity, carrier mobility, carrier concentration, and grain size of AZO films were 8.72×10-4 Ω-cm, 6.32 cm2/Vs, 1.13×1021 #/cm3, and 31.56 nm, respectively, when substrate temperature was at 250 °C. Substrate heating process is better than vacuum annealed process for AZO film deposited by DC Magnetron Sputtering.

  16. Dependency of the band gap of electrodeposited Copper oxide thin films on the concentration of copper sulfate (CuSO4.5H2O) and pH in bath solution for photovoltaic applications

    KAUST Repository

    Islam, Md. Anisul

    2016-03-10

    In this study, Copper oxide thin films were deposited on copper plate by electrodeposition process in an electrolytic bath containing CuSO4.5H2O, 3M lactic acid and NaOH. Copper oxide films were electrodeposited at different pH and different concentration of CuSO4.5H2O and the optical band gap was determined from their absorption spectrum which was obtained from UV-Vis absorption spectroscopy. It was found that copper oxide films which were deposited at low concentration of CuSO4.5H2O have higher band gap than those deposited at higher bath concentration. The band gap of copper oxide films also significantly changes with pH of the bath solution. It was also observed that with the increase of the pH of bath solution band gap of copper oxide film decreased. © 2015 IEEE.

  17. Defect characterization and magnetic properties in un-doped ZnO thin film annealed in a strong magnetic field

    Science.gov (United States)

    Ning, Shuai; Zhan, Peng; Wang, Wei-Peng; Li, Zheng-Cao; Zhang, Zheng-Jun

    2014-12-01

    Highly c-axis oriented un-doped zinc oxide (ZnO) thin films, each with a thickness of ~ 100 nm, are deposited on Si (001) substrates by pulsed electron beam deposition at a temperature of ~ 320 °C, followed by annealing at 650 °C in argon in a strong magnetic field. X-ray photoelectron spectroscopy (XPS), positron annihilation analysis (PAS), and electron paramagnetic resonance (EPR) characterizations suggest that the major defects generated in these ZnO films are oxygen vacancies. Photoluminescence (PL) and magnetic property measurements indicate that the room-temperature ferromagnetism in the un-doped ZnO film originates from the singly ionized oxygen vacancies whose number depends on the strength of the magnetic field applied in the thermal annealing process. The effects of the magnetic field on the defect generation in the ZnO films are also discussed.

  18. Improvement of copper plating adhesion on silane modified PET film by ultrasonic-assisted electroless deposition

    International Nuclear Information System (INIS)

    Lu Yinxiang

    2010-01-01

    Copper thin film on silane modified poly(ethylene terephthalate) (PET) substrate was fabricated by ultrasonic-assisted electroless deposition. The composition and topography of copper plating PET films were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM), respectively. Peel adhesion strength, as high as 16.7 N/cm, was achieved for the planting copper layer to the modified PET substrate with ultrasonic-assisted deposition; however, a relative low value as 11.9 N/cm was obtained for the sample without ultrasonic vibration by the same measurement. The electrical conductivity of Cu film was changed from 7.9 x 10 4 to 2.1 x 10 5 S/cm by using ultrasonic technique. Ultrasonic operation has the significant merits of fast deposition and formation of good membranes for electroless deposition of Cu on PET film.

  19. Interaction of copper metallization with rare-earth metals and silicides

    International Nuclear Information System (INIS)

    Molnar, G. L.; Peto, G.; Zsoldos, E.; Horvath, Z. E.

    2001-01-01

    Solid-phase reactions of copper films with underlying gadolinium, erbium, and erbium - silicide layers on Si(100) substrates were investigated. For the phase analysis, x-ray diffraction and cross-sectional transmission electron microscopy were used. In the case of Cu/Gd/Si(100), an orthorhombic GdSi 2 formed, and, at higher temperatures, copper aggregated into islands. Annealed Cu/Er/Si(100) samples resulted in a hexagonal Er 5 Si 3 phase. In the Cu/ErSi 2-x /Si system, the copper catalyzes the transformation of the highly oriented hexagonal ErSi 2-x phase into hexagonal Er 5 Si 3 . Diverse phase developments of the samples with Gd and Er are based on reactivity differences of the two rare-earth metals. [copyright] 2001 American Institute of Physics

  20. Effect of annealing temperature on the electrical transport properties of CaRuO3-δ thin films directly deposited on the Si substrate

    International Nuclear Information System (INIS)

    Paik, Hanjong; Kim, Youngha; No, Kwangsoo; Cann, David P.; Yoon, DongJoo; Kim, ByungIl; Kim, Yangsoo

    2007-01-01

    We investigate the effect of annealing temperature on the preferentially (110)-oriented CaRuO 3-δ (CRO) thin films directly prepared on Si(100) substrate by rf magnetron sputtering. Crystalline quality and electrical transport properties of the CRO thin films were modified by post-annealing treatment. It was obvious that 700 C post-annealing brought about excellent metallic characteristics with the elevation of carrier concentration and mobility. From this result, we suggested that enhanced (110) orientation, and the ratio of chemical composition Ru 4+ /Ca 2+ ion were responsible for the transport properties of CRO thin film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Effects of Annealing Temperature on Structure and Magnetic Properties of TbxY3−xFe5O12 (x=0.2 and 0.4 Thin Films

    Directory of Open Access Journals (Sweden)

    N. B. Ibrahim

    2012-01-01

    Full Text Available Terbium-substituted yttrium iron garnet (TbxY3−xFe5O12 (x=0.2 and 0.4 thin films have been successfully prepared by a sol-gel method followed by spin-coating process. The annealing of the films was performed at different temperatures like 700, 800, and 900°C and found that the films annealed at 900°C turned out to be crystallized into a pure garnet phase. All of the films were bearing grains of nanometer in size. Increasing the annealing temperature gave extra energy to the grains causing to be agglomerates. The lattice contraction occurred as the grain’s sizes were decreased due to the decrease of Fe2+ formation. The magnetic measurements show that all of the films are soft magnetic materials with low saturation magnetization values. The hysteresis loops of the films which were annealed at 900°C were found angular in shape similar to the single crystal-like YIG film.

  2. Decomposition of poly(amide-imide) film enameled on solid copper wire using atmospheric pressure non-equilibrium plasma.

    Science.gov (United States)

    Sugiyama, Kazuo; Suzuki, Katsunori; Kuwasima, Shusuke; Aoki, Yosuke; Yajima, Tatsuhiko

    2009-01-01

    The decomposition of a poly(amide-imide) thin film coated on a solid copper wire was attempted using atmospheric pressure non-equilibrium plasma. The plasma was produced by applying microwave power to an electrically conductive material in a gas mixture of argon, oxygen, and hydrogen. The poly(amide-imide) thin film was easily decomposed by argon-oxygen mixed gas plasma and an oxidized copper surface was obtained. The reduction of the oxidized surface with argon-hydrogen mixed gas plasma rapidly yielded a metallic copper surface. A continuous plasma heat-treatment process using a combination of both the argon-oxygen plasma and argon-hydrogen plasma was found to be suitable for the decomposition of the poly(amide-imide) thin film coated on the solid copper wire.

  3. Sensing of volatile organic compounds by copper phthalocyanine thin films

    Science.gov (United States)

    Ridhi, R.; Saini, G. S. S.; Tripathi, S. K.

    2017-02-01

    Thin films of copper phthalocyanine have been deposited by thermal evaporation technique. We have subsequently exposed these films to the vapours of methanol, ethanol and propanol. Optical absorption, infrared spectra and electrical conductivities of these films before and after exposure to chemical vapours have been recorded in order to study their sensing mechanisms towards organic vapours. These films exhibit maximum sensing response to methanol while low sensitivities of the films towards ethanol and propanol have been observed. The changes in sensitivities have been correlated with presence of carbon groups in the chemical vapours. The effect of different types of electrodes on response-recovery times of the thin film with organic vapours has been studied and compared. The electrodes gap distance affects the sensitivity as well as response-recovery time values of the thin films.

  4. The effect of post-annealing on surface acoustic wave devices based on ZnO thin films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Phan, Duy-Thach; Chung, Gwiy-Sang

    2011-01-01

    Zinc oxide (ZnO) thin films were deposited on unheated silicon substrates via radio frequency (RF) magnetron sputtering, and the post-deposition annealing of the ZnO thin films was performed at 400 deg. C, 600 deg. C, 800 deg. C, and 1000 deg. C. The characteristics of the thin films were investigated by X-ray diffractometry (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The films were then used to fabricate surface acoustic wave (SAW) resonators. The effects of post-annealing on the SAW devices are discussed in this work. Resulting in the 600 deg. C is determined as optimal annealing temperature for SAW devices. At 400 deg. C, the microvoids exit between the grains yield large root mean square (RMS) surface roughness and higher insertion losses in SAW devices. The highest RMS surface roughness, crack and residual stress cause a reduction of surface velocity (about 40 m/s) and increase dramatically insertion loss at 1000 deg. C. The SAW devices response becomes very weak at this temperature, the electromechanical coupling coefficient (k 2 ) of ZnO film decrease from 3.8% at 600 deg. C to 1.49% at 1000 deg. C.

  5. Effect of Annealing on the Properties of Antimony Telluride Thin Films and Their Applications in CdTe Solar Cells

    Directory of Open Access Journals (Sweden)

    Zhouling Wang

    2014-01-01

    Full Text Available Antimony telluride alloy thin films were deposited at room temperature by using the vacuum coevaporation method. The films were annealed at different temperatures in N2 ambient, and then the compositional, structural, and electrical properties of antimony telluride thin films were characterized by X-ray fluorescence, X-ray diffraction, differential thermal analysis, and Hall measurements. The results indicate that single phase antimony telluride existed when the annealing temperature was higher than 488 K. All thin films exhibited p-type conductivity with high carrier concentrations. Cell performance was greatly improved when the antimony telluride thin films were used as the back contact layer for CdTe thin film solar cells. The dark current voltage and capacitance voltage measurements were performed to investigate the formation of the back contacts for the cells with or without Sb2Te3 buffer layers. CdTe solar cells with the buffer layers can reduce the series resistance and eliminate the reverse junction between CdTe and metal electrodes.

  6. Effect of the pos-deposition annealing with CdCl2 on the optical, structural and morphological properties of CdTe-films grown by CSS

    International Nuclear Information System (INIS)

    Ricárdez-Jiménez, C; Pérez-Hernández, G; Angulo-Córdova, Q; Díaz-Flores, L L; Pantoja-Enríquez, J; Escobar-Morales, B; Hernández-Torres, M E; Gracia-Jiménez, J M; Silva-González, N R; Mathew, X

    2013-01-01

    A set of CdTe films grown by the CSS method were annealed in aCdCl 2 atmosphere of during 5 min at 345, 365, 385, 405, 425, 435, 445 and 455 °C. The films were characterized before and after annealing by the SEM, EDS, X-ray, optical absorption and photoluminescence (PL) techniques. As the annealing temperature is increased a tendency to improve the Cd/Te ratio is observed. After the thermal treatment at 430 °C the films reach the stoichiometry. A deviation from stoichiometry appears again for annealing temperatures higher than 430 °C. The PL spectra of the films at 6.5 K exhibit two emission bands, one corresponds to the defects energy levels band (1.4–1.5 eV) and the other is located in the interval from 1.564 to 1.584 eV (pA). For annealing temperatures higher than 430 °C an increment in the intensity and energy position of pA is observed, while for annealing temperatures less than 430 °C the behavior is irregular. In the emission mechanisms of pA the expected impurities and/or native defects in the material such as the V Te , V Cd Cl T e, Cd Te and Cl Te are involved.

  7. Improved electrical properties after post annealing of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films for MIM capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A.; Kahouli, A. [University of Grenoble (UJF), Grenoble Electrical Engineering Laboratory (G2ELab), CNRS, 25 Rue de Martyrs, BP166, Grenoble Cedex 9 (France); Campus Universities, Laboratory of Materials, Organization and Properties (LMOP), Tunis (Tunisia); Sylvestre, A. [University of Grenoble (UJF), Grenoble Electrical Engineering Laboratory (G2ELab), CNRS, 25 Rue de Martyrs, BP166, Grenoble Cedex 9 (France); Jomni, F.; Yangui, B. [Campus Universities, Laboratory of Materials, Organization and Properties (LMOP), Tunis (Tunisia); Defay, E. [CEA-LETI, Minatec Campus, Grenoble Cedex 7 (France)

    2012-11-15

    Dielectric measurements have been performed on ion beam sputtering (IBS) barium strontium titanate Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films at annealing temperatures 470 and 700 C using impedance spectroscopy. The effect of the annealing temperature upon the electrical properties of the films is also investigated using capacitance-voltage techniques. Increasing annealing temperature suggested the increases of density and grain size, whereas the density of the trapped oxygen vacancy may be decreasing with increasing annealing temperature. The barrier height (E{sub a}) of the oxygen vacancy decreases with increasing annealing temperature. The C-V characteristics were investigated in relation to the annealing temperature to identify the anomalous capacitance in the MIM configuration films. Among all measurement temperatures, it was observed that the data fit well by the ''LGD'' model. The interfacial effect and its dependence of morphology structure have been studied, and the results are discussed. (orig.)

  8. Engineering Graphene Films from Coal

    Science.gov (United States)

    Vijapur, Santosh H.

    temperature operation. Annealing of copper support was required to remove the oxide layer present on its surface and low pressure operation was demonstrated to be suitable for crystalline graphene film formation. The CVD system and the synthesis procedure were modified to address these issues. This was done by increasing the synthesis temperature, incorporating a vacuum pump for low pressure operation, and implementing two step procedure of annealing the copper substrate followed by subsequent coal pyrolysis for the synthesis of crystalline graphene films. The synthesized few layer graphene films were uniform and continuous with thickness in the range of 3-7 nm. The optical transmittance and electrical conductivity measurements demonstrated that the graphene films have >95 % transparency and sheet resistivity of 5.0 kO sq-1, respectively. An investigation of growth mechanism of coal derived graphene films synthesized via CVD was conducted utilizing spectroscopy, microscopy, and chromatography techniques. Gas collection was performed at the graphene synthesis conditions utilizing the CVD reactor without vacuum in operation. Various gases released as products of coal pyrolysis in the CVD reactor were collected and analyzed using gas chromatography. The analysis showed the presence of methane, ethane, ethene, propane, propene, carbon monoxide, and carbon dioxide as coal pyrolysis products. The hydrocarbon gases act as precursors for graphene growth. Raman spectroscopy, selected area electron diffraction (SAED), and X-ray photoelectron spectroscopy (XPS) confirmed the formation of crystalline graphene films at 1055 °C and 18-30 min synthesis. The growth mechanism involves copper catalyzed reaction to produce amorphous carbon film within the first few minutes of synthesis. Raman spectroscopy and SAED validated that lower synthesis times (6-12 min) produced hybrid amorphous carbon films. This is followed by hydrogen catalyzed graphitization of the underlying carbon film to form

  9. Effect of Annealing Temperature on CuInSe2/ZnS Thin-Film Solar Cells Fabricated by Using Electron Beam Evaporation

    Directory of Open Access Journals (Sweden)

    H. Abdullah

    2013-01-01

    Full Text Available CuInSe2 (CIS thin films are successfully prepared by electron beam evaporation. Pure Cu, In, and Se powders were mixed and ground in a grinder and made into a pellet. The pallets were deposited via electron beam evaporation on FTO substrates and were varied by varying the annealing temperatures, at room temperature, 250°C, 300°C, and 350°C. Samples were analysed by X-ray diffractometry (XRD for crystallinity and field-emission scanning electron microscopy (FESEM for grain size and thickness. I-V measurements were used to measure the efficiency of the CuInSe2/ZnS solar cells. XRD results show that the crystallinity of the films improved as the temperature was increased. The temperature dependence of crystallinity indicates polycrystalline behaviour in the CuInSe2 films with (1 1 1, (2 2 0/(2 0 4, and (3 1 2/(1 1 6 planes at 27°, 45°, and 53°, respectively. FESEM images show the homogeneity of the CuInSe2 formed. I-V measurements indicated that higher annealing temperatures increase the efficiency of CuInSe2 solar cells from approximately 0.99% for the as-deposited films to 1.12% for the annealed films. Hence, we can conclude that the overall cell performance is strongly dependent on the annealing temperature.

  10. Optimization of annealing parameters for the growth of epitaxial Ba2YCu3O7-x films on LaAlO3(100)

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (100) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by co-deposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Annealing parameters in an ex situ furnace, including the ambient, annealing temperature, oxidation temperature, and duration of anneals are systematically studied. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). For example, beyond simply dissociating BaF 2 , the use of wet O 2 appears to prevent the agglomeration of oxides during the initial heating process, and then act to thermodynamically stabilize the basic BYCO film structure at high temperatures after being formed. Comparisons are made with the best single-crystal BYCO structural and electrical data available. The optimized films have relatively smooth morphology with χ min c >90 K, ΔT c >10 6 A/cm 2 in essentially zero magnetic field at 77 K

  11. Compositional changes in the channel layer of an amorphous In–Ga–Zn-O thin film transistor after thermal annealing

    International Nuclear Information System (INIS)

    Kang, Jiyeon; Lee, Su Jeong; Myoung, Jae-Min; Kim, Chul-Hong; Chae, Gee Sung; Jun, Myungchul; Hwang, Yong Kee; Lee, Woong

    2012-01-01

    In order to investigate the possible reason for the improved device performances of amorphous In–Ga–Zn-O (a-IGZO) thin film transistors after thermal annealing, changes in the elemental concentrations in the a-IGZO channel regions and related device performances due to thermal annealing were observed. It was found that thermal annealing introduces a substantial level of oxygen deficiencies in the channel layer accompanying significantly enhanced device performances. The improved device performances are attributed to the oxygen deficiency which is believed to be averaged over the entire structure to function as shallow donors increasing the carrier concentrations. Such a deduction was supported by the changes in the absorption spectra of the a-IGZO films with various thermal histories. (paper)

  12. Improvement in the electronic quality of pulsed laser deposited CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films via post-deposition elemental sulfur annealing process

    Energy Technology Data Exchange (ETDEWEB)

    Beres, M., E-mail: matthewcberes@gmail.com [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Yu, K.M., E-mail: kinmanyu@cityu.edu.hk [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); City University of Hong Kong, Department of Physics and Materials Science, 83 Tat Chee Avenue, Kowloon, Hong Kong Special Administrative Region (Hong Kong); Syzdek, J., E-mail: jego.mejl@gmail.com [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Bio-Logic USA, 9050 Executive Park Dr NW, Knoxville, TN 37923 (United States); Mao, S.S., E-mail: ssmao@me.berkeley.edu [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States)

    2016-06-01

    We synthesized CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films on soda lime glass substrates using pulsed laser deposition and post-annealing under different conditions. Increasing substrate temperature during deposition and vacuum annealing after deposition both increased grain size but had negligible effect on the electronic properties of the films. As-deposited films demonstrated P-type conductivities with high carrier concentrations and low Hall mobilities, but annealing in elemental sulfur environment significantly improved the electronic properties of the films. We found that the incorporation of even small quantities of sulfur into the films reduced carrier concentrations by over three orders of magnitude and increased Hall mobilities by an order of magnitude. This resulted in films with resistivity ~ 5 Ω·cm suitable for photovoltaic applications. - Highlights: • CIGSe thin films were deposited by pulsed laser deposition. • Laser deposition parameters and annealing parameters were investigated. • As-deposited films demonstrated high hole concentrations and low Hall mobilities. • Elemental sulfur annealing significantly enhanced the electronic quality of films.

  13. Investigation of the evolution of nitrogen defects in flash-lamp-annealed InGaZnO films and their effects on transistor characteristics

    Science.gov (United States)

    Eom, Tae-Yil; Ahn, Chee-Hong; Kang, Jun-Gu; Saad Salman, Muhammad; Lee, Sun-Young; Kim, Yong-Hoon; Lee, Hoo-Jeong; Kang, Chan-Mo; Kang, Chiwon

    2018-06-01

    In this study, we show the evolution of nitrogen defects during a sol–gel reaction in flash-lamp-annealed InGaZnO (IGZO) films and their effects on the device characteristics of their thin-film transistors (TFTs). The flash lamp annealing (FLA) of the IGZO TFT for 16 s helps achieve a mobility of approximately 7 cm2 V‑1 s‑1. However, further extension of the annealing time results only in drastic increases in carrier concentration and off-current. The X-ray photoelectron spectroscopy (XPS) analysis of the N 1s peak unravels the presence of oxygen-vacancy-associated nitrogen defects and their evolution with annealing time, which is possibly responsible for the increase in carrier concentration.

  14. Superconducting oxide thin films by ion beam sputtering

    International Nuclear Information System (INIS)

    Kobrin, P.H.; DeNatale, J.F.; Housley, R.M.; Flintoff, J.F.; Harker, A.B.

    1987-01-01

    Superconducting thin films of ternary copper oxides from the Y-Ba-Cu-O and La-Sr-Cu-O systems have been deposited by ion beam sputtering of ceramic targets. Crystallographic orientation of the polycrystalline films has been shown to vary with substrate identity, deposition temperature and annealing temperature. The onset of the superconductive transition occurs near 90K in the Y-Ba-Cu-O system. Fe impurities of < 0.2% have been found to inhibit the superconducting transition, probably by migrating to the grain boundaries

  15. Defect characterization and magnetic properties in un-doped ZnO thin film annealed in a strong magnetic field

    International Nuclear Information System (INIS)

    Ning Shuai; Zhan Peng; Wang Wei-Peng; Li Zheng-Cao; Zhang Zheng-Jun

    2014-01-01

    Highly c-axis oriented un-doped zinc oxide (ZnO) thin films, each with a thickness of ∼ 100 nm, are deposited on Si (001) substrates by pulsed electron beam deposition at a temperature of ∼ 320 °C, followed by annealing at 650 °C in argon in a strong magnetic field. X-ray photoelectron spectroscopy (XPS), positron annihilation analysis (PAS), and electron paramagnetic resonance (EPR) characterizations suggest that the major defects generated in these ZnO films are oxygen vacancies. Photoluminescence (PL) and magnetic property measurements indicate that the room-temperature ferromagnetism in the un-doped ZnO film originates from the singly ionized oxygen vacancies whose number depends on the strength of the magnetic field applied in the thermal annealing process. The effects of the magnetic field on the defect generation in the ZnO films are also discussed. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. Dependence of annealing time on structural and morphological properties of Ca(Zr0.05Ti0.95)O3 thin films

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Orlandi, M.O.; Santos, M.R.M.C.; Varela, J.A.; Longo, E.

    2008-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were prepared by the polymeric precursor method by spin-coating process. The films were deposited on Pt(1 1 1)/Ti/SiO 2 /Si(1 0 0) substrates and annealed at 650 deg. C for 2, 4, and 6 h in oxygen atmosphere. Structure and morphology of the CZT thin films were characterized by the X-ray diffraction (XRD), Fourier-transform infrared spectroscopy (FT-IR), atomic force microscopy (AFM) and field-emission scanning electron microscopy (FEG-SEM). XRD revealed that the film is free of secondary phases and crystallizes in the orthorhombic structure. The annealing time influences the grain size, lattices parameter and in the film thickness

  17. Physical characterization of Cu{sub 2}ZnGeSe{sub 4} thin films from annealing of Cu-Zn-Ge precursor layers

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: buffiere@imec.be [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium); ElAnzeery, H. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Microelectronics System Design department, Nile University, Cairo (Egypt); Oueslati, S.; Ben Messaoud, K. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Department of Physics, Faculty of Sciences of Tunis, El Manar (Tunisia); Brammertz, G.; Meuris, M. [Imec Division IMOMEC — Partner in Solliance, Diepenbeek (Belgium); Institute for Material Research (IMO) Hasselt University, Diepenbeek (Belgium); Poortmans, J. [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium)

    2015-05-01

    Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) can be considered as a potential alternative for wide band gap thin film devices. In this work, CZGeSe thin films were deposited on Mo-coated soda lime glass substrates by sequential deposition of sputtered Cu, Zn and e-beam evaporated Ge layers from elemental targets followed by annealing at high temperature using H{sub 2}Se gas. We report on the effect of the precursor stack order and composition and the impact of the annealing temperature on the physical properties of CZGeSe thin films. The optimal layer morphology was obtained when using a Mo/Cu/Zn/Ge precursor stack annealed at 460 °C. We have observed that the formation of secondary phases such as ZnSe can be prevented by tuning the initial composition of the stack, the stack order and the annealing conditions. This synthesis process allows synthesizing CZGeSe absorber with an optical band gap of 1.5 eV. - Highlights: • Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) thin films were deposited using a two-step process. • CZGeSe dense layers were obtained using a Mo/Cu/Zn/Ge precursor annealed at 460 °C. • Formation of ZnSe can be avoided by tuning the composition and order of the initial stack. • P-type CZGeSe absorber with an optical band gap of 1.5 eV was obtained.

  18. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  19. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    Science.gov (United States)

    Dorofeeva, Tatiana

    Nanostructured materials have had a major impact on various fields, including medicine, catalysis, and energy storage, for the major part due to unique phenomena that arise at nanoscale. For this reason, there is a sustained need for new nanostructured materials, techniques to pattern them, and methods to precisely control their nanostructure. To that end, the primary focus of this dissertation is to demonstrate novel techniques to fabricate and tailor the morphology of a class of nanoporous metals, obtained by a process known as dealloying. In this process, while the less noble constituent of an alloy is chemically dissolved, surface-diffusion of the more noble constituent leads to self-assembly of a bicontinuous ligament network with characteristic porosity of ˜70% and ligament diameter of 10s of nanometers. As a model material produced by dealloying, this work employ nanoporous gold (np-Au), which has attracted significant attention of desirable features, such as high effective surface area, electrical conductivity, well-defined thiol-based surface modification strategies, microfabrication-compatibility, and biocompatibility. The most commonly method used to modify the morphology of np-Au is thermal treatment, where the enhanced diffusivity of the surface atoms leads to ligament (and consequently pore) coarsening. This method, however, is not conducive to modifying the morphology of thin films at specific locations on the film, which is necessary for creating devices that may need to contain different morphologies on a single device. In addition, coarsening attained by thermal treatment also leads to an undesirable reduction in effective surface area. In response to these challenges, this work demonstrates two different techniques that enables in situ modification of np-Au thin film electrodes obtained by sputter-deposition of a precursors silver-rich gold-silver alloy. The first method, referred to as electro-annealing, is achieved by injecting electrical

  20. The annealing effects on the micro-structure and properties of RuMoC films as seedless barrier for advanced Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Jianxiong; Liu, Bo, E-mail: liubo2009@scu.edu.cn, E-mail: gh.jiao@siat.ac.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Institute of Nuclear Science and Technology, Sichuan University, Chengdu 610064 (China); Jiao, Guohua, E-mail: liubo2009@scu.edu.cn, E-mail: gh.jiao@siat.ac.cn; Lu, Yuanfu; Dong, Yuming [Shenzhen Institutes of Advanced Technology Chinese Academy of Sciences, Shenzhen 518055 (China); The Chinese University of Hong Kong, Hong Kong (China); Li, Qiran [Institut d' Electronique Fondamentale, CNRS-Université Paris Sud UMR 8622, 91405 Orsay (France)

    2016-09-07

    100 nm thick RuMoC films and 5 nm thick RuMoC films with Cu capping have been deposited on Si(111) by magnetron co-sputtering with Ru and MoC confocal targets. The samples were subsequently annealed at temperatures ranging from 450 to 650 °C in vacuum at a pressure of 3 × 10{sup −4} Pa to study the annealing effects on the microstructures and properties of RuMoC films for advanced seedless Cu metallization applications. The sheet resistances, residual oxygen contents, and microstructures of the RuMoC films have close correlation with the doping contents of Mo and C, which can be easily controlled by the deposition power ratio of MoC versus Ru targets (DPR). When DPR was 0.5, amorphous RuMoC film (marked as RuMoC II) with low sheet resistances and residual oxygen contents was obtained. The fundamental relationship between the annealing temperatures with the microstructures and properties of the RuMoC films was investigated, and a critical temperature point was revealed at about 550 °C where the components and microstructures of the RuMoC II films changed obviously. Results indicated that below 550 °C, the RuMoC II films remained amorphous due to the well-preserved C-Ru and C-Mo bonds. However, above 550 °C, the microstructures of RuMoC II films transformed from amorphous to nano-composite structure due to the breakage of Ru-C bonds, while the supersaturated solid solution MoC segregated out along the grain boundaries of Ru, thus hindering the diffusion of Cu and O atoms. This is the main mechanism of the excellent thermal stability of the RuMoC films after annealing at high temperatures. The results indicated great prospects of amorphous RuMoC films in advanced seedless Cu metallization applications.