WorldWideScience

Sample records for self-aligning chip mask

  1. Wafer Scale Integration of CMOS Chips for Biomedical Applications via Self-Aligned Masking.

    Science.gov (United States)

    Uddin, Ashfaque; Milaninia, Kaveh; Chen, Chin-Hsuan; Theogarajan, Luke

    2011-12-01

    This paper presents a novel technique for the integration of small CMOS chips into a large area substrate. A key component of the technique is the CMOS chip based self-aligned masking. This allows for the fabrication of sockets in wafers that are at most 5 µm larger than the chip on each side. The chip and the large area substrate are bonded onto a carrier such that the top surfaces of the two components are flush. The unique features of this technique enable the integration of macroscale components, such as leads and microfluidics. Furthermore, the integration process allows for MEMS micromachining after CMOS die-wafer integration. To demonstrate the capabilities of the proposed technology, a low-power integrated potentiostat chip for biosensing implemented in the AMI 0.5 µm CMOS technology is integrated in a silicon substrate. The horizontal gap and the vertical displacement between the chip and the large area substrate measured after the integration were 4 µm and 0.5 µm, respectively. A number of 104 interconnects are patterned with high-precision alignment. Electrical measurements have shown that the functionality of the chip is not affected by the integration process.

  2. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  3. Mask alignment system for semiconductor processing

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Weaver, William T.; Grant, Christopher N.

    2017-02-14

    A mask alignment system for providing precise and repeatable alignment between ion implantation masks and workpieces. The system includes a mask frame having a plurality of ion implantation masks loosely connected thereto. The mask frame is provided with a plurality of frame alignment cavities, and each mask is provided with a plurality of mask alignment cavities. The system further includes a platen for holding workpieces. The platen may be provided with a plurality of mask alignment pins and frame alignment pins configured to engage the mask alignment cavities and frame alignment cavities, respectively. The mask frame can be lowered onto the platen, with the frame alignment cavities moving into registration with the frame alignment pins to provide rough alignment between the masks and workpieces. The mask alignment cavities are then moved into registration with the mask alignment pins, thereby shifting each individual mask into precise alignment with a respective workpiece.

  4. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  5. Ultrasonic welding for fast bonding of self-aligned structures in lab-on-a-chip systems

    DEFF Research Database (Denmark)

    Kistrup, Kasper; Poulsen, Carl Esben; Hansen, Mikkel Fougt

    2015-01-01

    Ultrasonic welding is a rapid, promising bonding method for the bonding of polymer chips; yet its use is still limited. We present two lab-on-a-chip applications where ultrasonic welding can be preferably applied: (1) Self-aligned gapless bonding of a two-part chip with a tolerance of 50 um; (2...... solutions offered here can significantly help bridge the gap between academia and industry, where the differences in production methods and materials pose a challenge when transferring technology....

  6. Mechanical alignment of substrates to a mask

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.; Honan, Michael; Amato, Luigi G.; Grant, Christopher Neil; Strassner, James D.

    2016-11-08

    A plurality of masks is attached to the underside of a mask frame. This attachment is made such that each mask can independently move relative to the mask frame in three directions. This relative movement allows each mask to adjust its position to align with respective alignment pins disposed on a working surface. In one embodiment, each mask is attached to the mask frame using fasteners, where the fasteners have a shaft with a diameter smaller than the diameter of the mounting hole disposed on the mask. A bias element may be used to allow relative movement between the mask and the mask frame in the vertical direction. Each mask may also have kinematic features to mate with the respective alignment pins on the working surface.

  7. Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration

    Directory of Open Access Journals (Sweden)

    Mitsumasa Koyanagi

    2011-02-01

    Full Text Available New surface mounting and packaging technologies, using self-assembly with chips having cavity structures, were investigated for three-dimensional (3D and hetero integration of complementary metal-oxide semiconductors (CMOS and microelectromechanical systems (MEMS. By the surface tension of small droplets of 0.5 wt% hydrogen fluoride (HF aqueous solution, the cavity chips, with a side length of 3 mm, were precisely aligned to hydrophilic bonding regions on the surface of plateaus formed on Si substrates. The plateaus have micro-channels to readily evaporate and fully remove the liquid from the cavities. The average alignment accuracy of the chips with a 1 mm square cavity was found to be 0.4 mm. The alignment accuracy depends, not only on the area of the bonding regions on the substrates and the length of chip periphery without the widths of channels in the plateaus, but also the area wetted by the liquid on the bonding regions. The precisely aligned chips were then directly bonded to the substrates at room temperature without thermal compression, resulting in a high shear bonding strength of more than 10 MPa.

  8. Alignment and Use of Self-Assembled Peptide Nanotubes as Dry-Etching Mask

    DEFF Research Database (Denmark)

    Andersen, Karsten Brandt; Castillo, Jaime; Bakmand, Tanya

    2012-01-01

    candidate for controlled nanofabrication without organic solvents. The present work demonstrates how this unique structure can be aligned, manipulated and used as both an etching mask in a dry etching procedure and as a lift-off material. As a further demonstration of the potential of this technique...

  9. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2014-08-01

    Full Text Available Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV exposure and backside-lift-off (BLO schemes can not only prevent the damage when etching the source/drain (S/D electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs exhibit comparable field-effect mobility (9.5 cm2/V·s, threshold voltage (3.39 V, and subthreshold swing (0.3 V/decade. The delay time of an inverter fabricated using the proposed process was considerably decreased.

  10. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  11. Self-Rescue Mask Training

    CERN Multimedia

    2013-01-01

    Nine new self-rescue mask instructors have been trained since early 2013, which provides CERN with a total of 26 self-rescue mask instructors to date. This will allow us to meet the increasing training needs caused by the Long Shut Down LS1.   The self-rescue mask instructors have trained 1650 persons in 2012 and about 500 persons since the beginning of the year on how to wear the masks properly. We thank all the instructors and all the persons that made this training possible. Please remember that the self-rescue masks training sessions are scheduled as follows: Basic course: Tuesday and Thursday mornings (2 sessions – 8.30 AM and 10.30 AM), duration:  1.30 hour, in French and English – registration via CERN online training catalogue – Course code 077Y00. Refresher training : Monday mornings (2 sessions – 8.30 AM and 10.30 AM), duration: 1.30 hour , in French and English – registration via CERN online training catalogue &...

  12. Design of an Image-Servo Mask Alignment System Using Dual CCDs with an XXY Stage

    Directory of Open Access Journals (Sweden)

    Chih-Jer Lin

    2016-02-01

    Full Text Available Mask alignment of photolithography technology is used in many applications, such as micro electro mechanical systems’ semiconductor process, printed circuits board, and flat panel display. As the dimensions of the product are getting smaller and smaller, the automatic mask alignment of photolithography is becoming more and more important. The traditional stacked XY-Θz stage is heavy and it has cumulative flatness errors due to its stacked assembly mechanism. The XXY stage has smaller cumulative error due to its coplanar design and it can move faster than the traditional XY-Θz stage. However, the relationship between the XXY stage’s movement and the commands of the three motors is difficult to compute, because the movements of the three motors on the same plane are coupling. Therefore, an artificial neural network is studied to establish a nonlinear mapping from the desired position and orientation of the stage to three motors’ commands. Further, this paper proposes an image-servo automatic mask alignment system, which consists of a coplanar XXY stage, dual GIGA-E CCDs with lens and a programmable automatic controller (PAC. Before preforming the compensation, a self-developed visual-servo provides the positioning information which is obtained from the image processing and pattern recognition according to the specified fiducial marks. To obtain better precision, two methods including the center of gravity method and the generalize Hough Transformation are studied to correct the shift positioning error.

  13. CATCHprofiles: Clustering and Alignment Tool for ChIP Profiles

    DEFF Research Database (Denmark)

    G. G. Nielsen, Fiona; Galschiøt Markus, Kasper; Møllegaard Friborg, Rune

    2012-01-01

    IP-profiling data and detect potentially meaningful patterns, the areas of enrichment must be aligned and clustered, which is an algorithmically and computationally challenging task. We have developed CATCHprofiles, a novel tool for exhaustive pattern detection in ChIP profiling data. CATCHprofiles is built upon...... a computationally efficient implementation for the exhaustive alignment and hierarchical clustering of ChIP profiling data. The tool features a graphical interface for examination and browsing of the clustering results. CATCHprofiles requires no prior knowledge about functional sites, detects known binding patterns...... it an invaluable tool for explorative research based on ChIP profiling data. CATCHprofiles and the CATCH algorithm run on all platforms and is available for free through the CATCH website: http://catch.cmbi.ru.nl/. User support is available by subscribing to the mailing list catch-users@bioinformatics.org....

  14. Planar self-aligned ion implanted InP MISFETS for fast logic applications

    International Nuclear Information System (INIS)

    Cameron, D.C.; Irving, L.D.; Whitehouse, C.R.; Woodward, J.; Lee, D.

    1983-01-01

    The first successful use of ion implantation to fabricate truly self-aligned planar n-channel enhancement-mode indium phosphide MISFITS is reported. The transistors have been fabricated on iron-doped semi-insulating material using PECVD-deposited SiO 2 as the gate dielectric and molybdenum gate electrodes. The self-aligned source and drain contact regions were produced by Si 29 ion implantation using each gate stripe as an implant mask. The devices fabricated to date have exhibited channel mobilities up to value of 2400 cm 2 v -1 s -1 , with excellent uniformity and stability of the device characteristics also being observed. (author)

  15. Parametric and non-parametric masking of randomness in sequence alignments can be improved and leads to better resolved trees

    Directory of Open Access Journals (Sweden)

    von Reumont Björn M

    2010-03-01

    Full Text Available Abstract Background Methods of alignment masking, which refers to the technique of excluding alignment blocks prior to tree reconstructions, have been successful in improving the signal-to-noise ratio in sequence alignments. However, the lack of formally well defined methods to identify randomness in sequence alignments has prevented a routine application of alignment masking. In this study, we compared the effects on tree reconstructions of the most commonly used profiling method (GBLOCKS which uses a predefined set of rules in combination with alignment masking, with a new profiling approach (ALISCORE based on Monte Carlo resampling within a sliding window, using different data sets and alignment methods. While the GBLOCKS approach excludes variable sections above a certain threshold which choice is left arbitrary, the ALISCORE algorithm is free of a priori rating of parameter space and therefore more objective. Results ALISCORE was successfully extended to amino acids using a proportional model and empirical substitution matrices to score randomness in multiple sequence alignments. A complex bootstrap resampling leads to an even distribution of scores of randomly similar sequences to assess randomness of the observed sequence similarity. Testing performance on real data, both masking methods, GBLOCKS and ALISCORE, helped to improve tree resolution. The sliding window approach was less sensitive to different alignments of identical data sets and performed equally well on all data sets. Concurrently, ALISCORE is capable of dealing with different substitution patterns and heterogeneous base composition. ALISCORE and the most relaxed GBLOCKS gap parameter setting performed best on all data sets. Correspondingly, Neighbor-Net analyses showed the most decrease in conflict. Conclusions Alignment masking improves signal-to-noise ratio in multiple sequence alignments prior to phylogenetic reconstruction. Given the robust performance of alignment

  16. Transcript profiling of common bean (Phaseolus vulgaris L. using the GeneChip® Soybean Genome Array: optimizing analysis by masking biased probes

    Directory of Open Access Journals (Sweden)

    Gronwald John W

    2010-05-01

    Full Text Available Abstract Background Common bean (Phaseolus vulgaris L. and soybean (Glycine max both belong to the Phaseoleae tribe and share significant coding sequence homology. This suggests that the GeneChip® Soybean Genome Array (soybean GeneChip may be used for gene expression studies using common bean. Results To evaluate the utility of the soybean GeneChip for transcript profiling of common bean, we hybridized cRNAs purified from nodule, leaf, and root of common bean and soybean in triplicate to the soybean GeneChip. Initial data analysis showed a decreased sensitivity and accuracy of measuring differential gene expression in common bean cross-species hybridization (CSH GeneChip data compared to that of soybean. We employed a method that masked putative probes targeting inter-species variable (ISV regions between common bean and soybean. A masking signal intensity threshold was selected that optimized both sensitivity and accuracy of measuring differential gene expression. After masking for ISV regions, the number of differentially-expressed genes identified in common bean was increased by 2.8-fold reflecting increased sensitivity. Quantitative RT-PCR (qRT-PCR analysis of 20 randomly selected genes and purine-ureide pathway genes demonstrated an increased accuracy of measuring differential gene expression after masking for ISV regions. We also evaluated masked probe frequency per probe set to gain insight into the sequence divergence pattern between common bean and soybean. The sequence divergence pattern analysis suggested that the genes for basic cellular functions and metabolism were highly conserved between soybean and common bean. Additionally, our results show that some classes of genes, particularly those associated with environmental adaptation, are highly divergent. Conclusions The soybean GeneChip is a suitable cross-species platform for transcript profiling in common bean when used in combination with the masking protocol described. In

  17. Self-masking subtraction tomosynthesis

    International Nuclear Information System (INIS)

    Chakraborty, D.P.; Yester, M.V.; Barnes, G.T.; Lakshminarayanan, A.V.

    1984-01-01

    The authors tested the image quality and dose savings of self-masking subtraction tomosynthesis (SST), which combines digital tomosynthesis with subtraction of a blurred self-mask. High-quality images of the inner ear of a head phantom were obtained at moderate dose savings. Although they were taken with linear motion, they did not exhibit the streaking due to off-fulcrum objects that is characteristic of conventional linear tomography. SST could reduce patient dose by a factor of at least 12 in examinations of the inner ear, and the mechanical aspects can be implemented with moderate modifications of existing instrumentation

  18. Wide-field optical detection of nanoparticles using on-chip microscopy and self-assembled nanolenses

    Science.gov (United States)

    Mudanyali, Onur; McLeod, Euan; Luo, Wei; Greenbaum, Alon; Coskun, Ahmet F.; Hennequin, Yves; Allier, Cédric P.; Ozcan, Aydogan

    2013-03-01

    The direct observation of nanoscale objects is a challenging task for optical microscopy because the scattering from an individual nanoparticle is typically weak at optical wavelengths. Electron microscopy therefore remains one of the gold standard visualization methods for nanoparticles, despite its high cost, limited throughput and restricted field-of-view. Here, we describe a high-throughput, on-chip detection scheme that uses biocompatible wetting films to self-assemble aspheric liquid nanolenses around individual nanoparticles to enhance the contrast between the scattered and background light. We model the effect of the nanolens as a spatial phase mask centred on the particle and show that the holographic diffraction pattern of this effective phase mask allows detection of sub-100 nm particles across a large field-of-view of >20 mm2. As a proof-of-concept demonstration, we report on-chip detection of individual polystyrene nanoparticles, adenoviruses and influenza A (H1N1) viral particles.

  19. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  20. Understanding the critical challenges of self-aligned octuple patterning

    Science.gov (United States)

    Yu, Ji; Xiao, Wei; Kang, Weiling; Chen, Yijian

    2014-03-01

    In this paper, we present a thorough investigation of self-aligned octuple patterning (SAOP) process characteristics, cost structure, integration challenges, and layout decomposition. The statistical characteristics of SAOP CD variations such as multi-modality are analyzed and contributions from various features to CDU and MTT (mean-to-target) budgets are estimated. The gap space is found to have the worst CDU+MTT performance and is used to determine the required overlay accuracy to ensure a satisfactory edge-placement yield of a cut process. Moreover, we propose a 5-mask positive-tone SAOP (pSAOP) process for memory FEOL patterning and a 3-mask negative-tone SAOP (nSAOP) process for logic BEOL patterning. The potential challenges of 2-D SAOP layout decomposition for BEOL applications are identified. Possible decomposition approaches are explored and the functionality of several developed algorithm is verified using 2-D layout examples from Open Cell Library.

  1. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  2. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  3. Rapid mask prototyping for microfluidics.

    Science.gov (United States)

    Maisonneuve, B G C; Honegger, T; Cordeiro, J; Lecarme, O; Thiry, T; Fuard, D; Berton, K; Picard, E; Zelsmann, M; Peyrade, D

    2016-03-01

    With the rise of microfluidics for the past decade, there has come an ever more pressing need for a low-cost and rapid prototyping technology, especially for research and education purposes. In this article, we report a rapid prototyping process of chromed masks for various microfluidic applications. The process takes place out of a clean room, uses a commercially available video-projector, and can be completed in less than half an hour. We quantify the ranges of fields of view and of resolutions accessible through this video-projection system and report the fabrication of critical microfluidic components (junctions, straight channels, and curved channels). To exemplify the process, three common devices are produced using this method: a droplet generation device, a gradient generation device, and a neuro-engineering oriented device. The neuro-engineering oriented device is a compartmentalized microfluidic chip, and therefore, required the production and the precise alignment of two different masks.

  4. Self-aligned photolithography for the fabrication of fully transparent high-voltage devices

    Science.gov (United States)

    Zhang, Yonghui; Mei, Zengxia; Huo, Wenxing; Wang, Tao; Liang, Huili; Du, Xiaolong

    2018-05-01

    High-voltage devices, working in the range of hundreds of volts, are indispensable elements in the driving or readout circuits for various kinds of displays, integrated microelectromechanical systems and x-ray imaging sensors. However, the device performances are found hardly uniform or repeatable due to the misalignment issue, which are extremely common for offset drain high-voltage devices. To resolve this issue, this article reports a set of self-aligned photolithography technology for the fabrication of high-voltage devices. High-performance fully-transparent high-voltage thin film transistors, diodes and logic inverters are successfully fabricated with this technology. Unlike other self-aligned routes, opaque masks are introduced on the backside of the transparent substrate to facilitate proximity exposure method. The photolithography process is simulated and analyzed with technology computer aided design simulation to explain the working principle of the proximity exposure method. The substrate thickness is found to be vital for the implementation of this technology based on both simulation and experimental results. The electrical performance of high-voltage devices is dependent on the offset length, which can be delicately modulated by changing the exposure dose. The presented self-aligned photolithography technology is proved to be feasible in high-voltage circuits, demonstrating its huge potential in practical industrial applications.

  5. New refresher training in the use of self-rescue masks

    CERN Multimedia

    HSE Unit

    2012-01-01

    Photos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the coursePhotos of the training session for self-rescue mask instructors held on 21 March 2012 – 10 new instructors followed the course   A refresher course in the use of self-rescue masks has been added to the CERN training catalogue. Sign up! More than 3500 people have followed the course since it was introduced in 2009. Taking account of the forthcoming long shutdown, requests for follow-up training from course participants and recent changes in the course content, the HSE Unit has decided to place a three-year limit on the validity of the initial training and to introduce a refresher course. The new refresher course is open to all personnel having completed the initial course at least 2 years ago. The course, "Recyclage Formation masque auto-sauveteur » / "Refresher course Self-Rescue Mask Training", (code No. 077...

  6. A flip chip process based on electroplated solder bumps

    Science.gov (United States)

    Salonen, J.; Salmi, J.

    1994-01-01

    Compared to wire bonding and TAB, flip chip technology using solder joints offers the highest pin count and packaging density and superior electrical performance. The chips are mounted upside down on the substrate, which can be made of silicon, ceramic, glass or - in some cases - even PCB. The extra processing steps required for chips are the deposition of a suitable thin film metal layer(s) on the standard Al pad and the formation of bumps. Also, the development of new fine line substrate technologies is required to utilize the full potential of the technology. In our bumping process, bump deposition is done by electroplating, which was chosen for its simplicity and economy. Sputter deposited molybdenum and copper are used as thin film layers between the aluminum pads and the solder bumps. A reason for this choice is that the metals can be selectively etched after bumping using the bumps as a mask, thus circumventing the need for a separate mask for etching the thin film metals. The bumps are electroplated from a binary Pb-Sn bath using a thick liquid photoresist. An extensively modified commercial flip chip bonder is used for alignment and bonding. Heat assisted tack bonding is used to attach the chips to the substrate, and final reflow joining is done without flux in a vacuum furnace.

  7. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  8. Self-masking: Listening during vocalization. Normal hearing.

    Science.gov (United States)

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  9. A masked negative self-esteem? : Implicit and explicit self-esteem in patients with Narcissistic Personality Disorder

    NARCIS (Netherlands)

    Marissen, Marlies A E; Brouwer, Marlies; Hiemstra, Annemarie M F; Deen, Mathijs L; Franken, Ingmar H A

    2016-01-01

    The mask model of narcissism states that the narcissistic traits of patients with NPD are the result of a compensatory reaction to underlying ego fragility. This model assumes that high explicit self-esteem masks low implicit self-esteem. However, research on narcissism has predominantly focused on

  10. A masked negative self-esteem? Implicit and explicit self-esteem in patients with Narcissistic Personality Disorder.

    Science.gov (United States)

    Marissen, Marlies A E; Brouwer, Marlies E; Hiemstra, Annemarie M F; Deen, Mathijs L; Franken, Ingmar H A

    2016-08-30

    The mask model of narcissism states that the narcissistic traits of patients with NPD are the result of a compensatory reaction to underlying ego fragility. This model assumes that high explicit self-esteem masks low implicit self-esteem. However, research on narcissism has predominantly focused on non-clinical participants and data derived from patients diagnosed with Narcissistic Personality Disorder (NPD) remain scarce. Therefore, the goal of the present study was to test the mask model hypothesis of narcissism among patients with NPD. Male patients with NPD were compared to patients with other PD's and healthy participants on implicit and explicit self-esteem. NPD patients did not differ in levels of explicit and implicit self-esteem compared to both the psychiatric and the healthy control group. Overall, the current study found no evidence in support of the mask model of narcissism among a clinical group. This implicates that it might not be relevant for clinicians to focus treatment of NPD on an underlying negative self-esteem. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  11. Patterning of self-assembled monolayers by phase-shifting mask and its applications in large-scale assembly of nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Fan; Zhang, Dakuan; Wang, Jianyu; Sheng, Yun; Wang, Xinran; Chen, Kunji; Zhou, Minmin [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Yan, Shancheng [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); School of Geography and Biological Information, Nanjing University of Posts and Telecommunications, Nanjing 210046 (China); Shen, Jiancang; Pan, Lijia; Shi, Yi, E-mail: yshi@nju.edu.cn [Key Laboratory of Advanced Photonic and Electronic Materials and School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Collaborative Innovation Center of Advanced Micro-structures, Nanjing University, Nanjing 210093 (China)

    2015-01-26

    A nonselective micropatterning method of self-assembled monolayers (SAMs) based on laser and phase-shifting mask (PSM) is demonstrated. Laser beam is spatially modulated by a PSM, and periodic SAM patterns are generated sequentially through thermal desorption. Patterned wettability is achieved with alternating hydrophilic/hydrophobic stripes on octadecyltrichlorosilane monolayers. The substrate is then used to assemble CdS semiconductor nanowires (NWs) from a solution, obtaining well-aligned NWs in one step. Our results show valuably the application potential of this technique in engineering SAMs for integration of functional devices.

  12. Design and fabrication of a self-aligned parallel-plate-type silicon micromirror minimizing the effect of misalignment

    International Nuclear Information System (INIS)

    Yoo, Byung-Wook; Jin, Joo-Young; Jang, Yun-Ho; Kim, Yong-Kweon; Park, Jae-Hyoung

    2009-01-01

    This paper describes a self-alignment method whereby a mirror actuation voltage, corresponding to a specific tilting angle, is unvarying in terms of misalignment during fabrication. A deep silicon etching process is proposed to penetrate the top silicon layer (the micromirror layer) and an amorphous silicon layer (the addressing electrode layer) together, through an aluminum mask pattern, in order to minimize the misalignment effect on the micromirror actuation. The size of a fabricated mirror plate is 250 × 250 × 4 µm 3 . A pair of amorphous silicon electrodes under the mirror plate is about half the size of the mirror plate individually. Numerical analysis associated with calculating the pull-in voltage and the bonding misalignment is performed to verify the self-alignment concepts focused upon in this paper. Curves of the applied voltage versus the tilt angle of the self-aligned micromirror are observed using a position sensing detector in order to compare the measurement results with MATLAB analysis of the expected static deflections. Although a 3.7 µm misalignment is found between the mirror plate and the electrodes, in the direction perpendicular to the shallow trench of the electrodes, before the self-alignment process, the measured pull-in voltage has been found to be 103.4 V on average; this differs from the pull-in voltage of a perfectly aligned micromirror by only 0.67%. Regardless of the unpredictable misalignments in repetitive photolithography and bonding, the tilting angles corresponding to the driving voltages are proved to be uniform along the single axis as well as conform to the results of analytical analysis

  13. Self-powered integrated systems-on-chip (energy chip)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2010-04-23

    In today\\'s world, consumer driven technology wants more portable electronic gadgets to be developed, and the next big thing in line is self-powered handheld devices. Therefore to reduce the power consumption as well as to supply sufficient power to run those devices, several critical technical challenges need to be overcome: a. Nanofabrication of macro/micro systems which incorporates the direct benefit of light weight (thus portability), low power consumption, faster response, higher sensitivity and batch production (low cost). b. Integration of advanced nano-materials to meet the performance/cost benefit trend. Nano-materials may offer new functionalities that were previously underutilized in the macro/micro dimension. c. Energy efficiency to reduce power consumption and to supply enough power to meet that low power demand. We present a pragmatic perspective on a self-powered integrated System on Chip (SoC). We envision the integrated device will have two objectives: low power consumption/dissipation and on-chip power generation for implementation into handheld or remote technologies for defense, space, harsh environments and medical applications. This paper provides insight on materials choices, intelligent circuit design, and CMOS compatible integration.

  14. Self-powered integrated systems-on-chip (energy chip)

    Science.gov (United States)

    Hussain, M. M.; Fahad, H.; Rojas, J.; Hasan, M.; Talukdar, A.; Oommen, J.; Mink, J.

    2010-04-01

    In today's world, consumer driven technology wants more portable electronic gadgets to be developed, and the next big thing in line is self-powered handheld devices. Therefore to reduce the power consumption as well as to supply sufficient power to run those devices, several critical technical challenges need to be overcome: a. Nanofabrication of macro/micro systems which incorporates the direct benefit of light weight (thus portability), low power consumption, faster response, higher sensitivity and batch production (low cost). b. Integration of advanced nano-materials to meet the performance/cost benefit trend. Nano-materials may offer new functionalities that were previously underutilized in the macro/micro dimension. c. Energy efficiency to reduce power consumption and to supply enough power to meet that low power demand. We present a pragmatic perspective on a self-powered integrated System on Chip (SoC). We envision the integrated device will have two objectives: low power consumption/dissipation and on-chip power generation for implementation into handheld or remote technologies for defense, space, harsh environments and medical applications. This paper provides insight on materials choices, intelligent circuit design, and CMOS compatible integration.

  15. Orthodontics Align Crooked Teeth and Boost Self-Esteem

    Science.gov (United States)

    ... desktop! more... Orthodontics Align Crooked Teeth and Boost Self- esteem Article Chapters Orthodontics Align Crooked Teeth and Boost Self- esteem print full article print this chapter email this ...

  16. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  17. Capillary Self-Alignment of Microchips on Soft Substrates

    Directory of Open Access Journals (Sweden)

    Bo Chang

    2016-03-01

    Full Text Available Soft micro devices and stretchable electronics have attracted great interest for their potential applications in sensory skins and wearable bio-integrated devices. One of the most important steps in building printed circuits is the alignment of assembled micro objects. Previously, the capillary self-alignment of microchips driven by surface tension effects has been shown to be able to achieve high-throughput and high-precision in the integration of micro parts on rigid hydrophilic/superhydrophobic patterned surfaces. In this paper, the self-alignment of microchips on a patterned soft and stretchable substrate, which consists of hydrophilic pads surrounded by a superhydrophobic polydimethylsiloxane (PDMS background, is demonstrated for the first time. A simple process has been developed for making superhydrophobic soft surface by replicating nanostructures of black silicon onto a PDMS surface. Different kinds of PDMS have been investigated, and the parameters for fabricating superhydrophobic PDMS have been optimized. A self-alignment strategy has been proposed that can result in reliable self-alignment on a soft PDMS substrate. Our results show that capillary self-alignment has great potential for building soft printed circuits.

  18. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  19. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  20. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  1. Surface Tension Directed Fluidic Self-Assembly of Semiconductor Chips across Length Scales and Material Boundaries

    Directory of Open Access Journals (Sweden)

    Shantonu Biswas

    2016-03-01

    Full Text Available This publication provides an overview and discusses some challenges of surface tension directed fluidic self-assembly of semiconductor chips which are transported in a liquid medium. The discussion is limited to surface tension directed self-assembly where the capture, alignment, and electrical connection process is driven by the surface free energy of molten solder bumps where the authors have made a contribution. The general context is to develop a massively parallel and scalable assembly process to overcome some of the limitations of current robotic pick and place and serial wire bonding concepts. The following parts will be discussed: (2 Single-step assembly of LED arrays containing a repetition of a single component type; (3 Multi-step assembly of more than one component type adding a sequence and geometrical shape confinement to the basic concept to build more complex structures; demonstrators contain (3.1 self-packaging surface mount devices, and (3.2 multi-chip assemblies with unique angular orientation. Subsequently, measures are discussed (4 to enable the assembly of microscopic chips (10 μm–1 mm; a different transport method is introduced; demonstrators include the assembly of photovoltaic modules containing microscopic silicon tiles. Finally, (5 the extension to enable large area assembly is presented; a first reel-to-reel assembly machine is realized; the machine is applied to the field of solid state lighting and the emerging field of stretchable electronics which requires the assembly and electrical connection of semiconductor devices over exceedingly large area substrates.

  2. The effects of reverberant self- and overlap-masking on speech recognition in cochlear implant listeners.

    Science.gov (United States)

    Desmond, Jill M; Collins, Leslie M; Throckmorton, Chandra S

    2014-06-01

    Many cochlear implant (CI) listeners experience decreased speech recognition in reverberant environments [Kokkinakis et al., J. Acoust. Soc. Am. 129(5), 3221-3232 (2011)], which may be caused by a combination of self- and overlap-masking [Bolt and MacDonald, J. Acoust. Soc. Am. 21(6), 577-580 (1949)]. Determining the extent to which these effects decrease speech recognition for CI listeners may influence reverberation mitigation algorithms. This study compared speech recognition with ideal self-masking mitigation, with ideal overlap-masking mitigation, and with no mitigation. Under these conditions, mitigating either self- or overlap-masking resulted in significant improvements in speech recognition for both normal hearing subjects utilizing an acoustic model and for CI listeners using their own devices.

  3. Ink-jet printing technology enables self-aligned mould patterning for electroplating in a single step

    International Nuclear Information System (INIS)

    Meissner, M V; Spengler, N; Mager, D; Wang, N; Kiss, S Z; Höfflin, J; While, P T; Korvink, J G

    2015-01-01

    We present a new self-aligned, mask-free micro-fabrication method with which to form thick-layered conductive metal micro-structures inside electroplating moulds. Seed layer patterning for electroplating was performed by ink-jet printing using a silver nano-particle ink deposited on SU-8 or Ordyl SY permanent resist. The silver ink contact angle on SU-8 was adjusted by oxygen plasma followed by a hard bake. Besides functioning as a seed layer, the printed structures further served as a shadow mask during patterning of electroplating moulds into negative photoresist. The printed silver tracks remained in strong adhesion to the substrate when exposed to the acidic chemistry of the electroplating bath. To demonstrate the process, we manufactured rectangular, low-resistivity planar micro-coils for use in magnetic resonance microscopy. MRI images of a spring onion with an in-plane resolution down to 10 µm × 10 µm were acquired using a micro-coil on an 11.7 T MRI scanner. (paper)

  4. Multi-part mask for implanting workpieces

    Science.gov (United States)

    Webb, Aaron P.; Carlson, Charles T.

    2016-05-10

    A multi-part mask has a pattern plate, which includes a planar portion that has the desired aperture pattern to be used during workpiece processing. The multi-part mask also has a mounting frame, which is used to hold the pattern plate. Prior to assembly, the pattern plate has an aligning portion, which has one or more holes through which reusable alignment pins are inserted. These alignment pins enter kinematic joints disposed on the mounting frame, which serve to precisely align the pattern plate to the mounting frame. After the pattern plate has been secured to the mounting frame, the aligning portion can be detached from the pattern plate. The alignment pins can be reused at a later time. In some embodiments, the pattern plate can later be removed from the mounting frame, so that the mounting frame may be reused.

  5. Enabling complex nanoscale pattern customization using directed self-assembly.

    Science.gov (United States)

    Doerk, Gregory S; Cheng, Joy Y; Singh, Gurpreet; Rettner, Charles T; Pitera, Jed W; Balakrishnan, Srinivasan; Arellano, Noel; Sanders, Daniel P

    2014-12-16

    Block copolymer directed self-assembly is an attractive method to fabricate highly uniform nanoscale features for various technological applications, but the dense periodicity of block copolymer features limits the complexity of the resulting patterns and their potential utility. Therefore, customizability of nanoscale patterns has been a long-standing goal for using directed self-assembly in device fabrication. Here we show that a hybrid organic/inorganic chemical pattern serves as a guiding pattern for self-assembly as well as a self-aligned mask for pattern customization through cotransfer of aligned block copolymer features and an inorganic prepattern. As informed by a phenomenological model, deliberate process engineering is implemented to maintain global alignment of block copolymer features over arbitrarily shaped, 'masking' features incorporated into the chemical patterns. These hybrid chemical patterns with embedded customization information enable deterministic, complex two-dimensional nanoscale pattern customization through directed self-assembly.

  6. Self-Aligned van der Waals Heterojunction Diodes and Transistors.

    Science.gov (United States)

    Sangwan, Vinod K; Beck, Megan E; Henning, Alex; Luo, Jiajia; Bergeron, Hadallia; Kang, Junmo; Balla, Itamar; Inbar, Hadass; Lauhon, Lincoln J; Hersam, Mark C

    2018-02-14

    A general self-aligned fabrication scheme is reported here for a diverse class of electronic devices based on van der Waals materials and heterojunctions. In particular, self-alignment enables the fabrication of source-gated transistors in monolayer MoS 2 with near-ideal current saturation characteristics and channel lengths down to 135 nm. Furthermore, self-alignment of van der Waals p-n heterojunction diodes achieves complete electrostatic control of both the p-type and n-type constituent semiconductors in a dual-gated geometry, resulting in gate-tunable mean and variance of antiambipolar Gaussian characteristics. Through finite-element device simulations, the operating principles of source-gated transistors and dual-gated antiambipolar devices are elucidated, thus providing design rules for additional devices that employ self-aligned geometries. For example, the versatility of this scheme is demonstrated via contact-doped MoS 2 homojunction diodes and mixed-dimensional heterojunctions based on organic semiconductors. The scalability of this approach is also shown by fabricating self-aligned short-channel transistors with subdiffraction channel lengths in the range of 150-800 nm using photolithography on large-area MoS 2 films grown by chemical vapor deposition. Overall, this self-aligned fabrication method represents an important step toward the scalable integration of van der Waals heterojunction devices into more sophisticated circuits and systems.

  7. Wave drag reduction due to a self-aligning aerodisk

    Science.gov (United States)

    Schnepf, Ch.; Wysocki, O.; Schülein, E.

    2015-06-01

    The effect of a self-aligning aerodisk on the wave drag of a blunt slender body in a pitching maneuver has been numerically investigated. The self-alignment was realized by a coupling of the flow solver and a flight mechanics tool. The slender body was pitched with high repetition rate between α = 0° and 20° at M = 1.41. Even at high α, the concept could align the aerodisk to the oncoming flow. In comparison to the reference body without a self-aligning aerodisk, a distinct drag reduction is achieved. A comparison with existing experimental data shows a qualitatively good agreement considering the shock and separation structure and the kinematics of the aerodisk.

  8. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  9. Cantilever arrays with self-aligned nanotips of uniform height

    International Nuclear Information System (INIS)

    Koelmans, W W; Peters, T; Berenschot, E; De Boer, M J; Siekman, M H; Abelmann, L

    2012-01-01

    Cantilever arrays are employed to increase the throughput of imaging and manipulation at the nanoscale. We present a fabrication process to construct cantilever arrays with nanotips that show a uniform tip–sample distance. Such uniformity is crucial, because in many applications the cantilevers do not feature individual tip–sample spacing control. Uniform cantilever arrays lead to very similar tip–sample interaction within an array, enable non-contact modes for arrays and give better control over the load force in contact modes. The developed process flow uses a single mask to define both tips and cantilevers. An additional mask is required for the back side etch. The tips are self-aligned in the convex corner at the free end of each cantilever. Although we use standard optical contact lithography, we show that the convex corner can be sharpened to a nanometre scale radius by an isotropic underetch step. The process is robust and wafer-scale. The resonance frequencies of the cantilevers within an array are shown to be highly uniform with a relative standard error of 0.26% or lower. The tip–sample distance within an array of up to ten cantilevers is measured to have a standard error around 10 nm. An imaging demonstration using the AFM shows that all cantilevers in the array have a sharp tip with a radius below 10 nm. The process flow for the cantilever arrays finds application in probe-based nanolithography, probe-based data storage, nanomanufacturing and parallel scanning probe microscopy. (paper)

  10. Design of a self-aligned, wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with 10 nm magnetic force microscope resolution

    Energy Technology Data Exchange (ETDEWEB)

    Karcı, Özgür [NanoMagnetics Instruments Ltd., Hacettepe - İvedik OSB Teknokent, 1368. Cad., No: 61/33, 06370, Yenimahalle, Ankara (Turkey); Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey); Dede, Münir [NanoMagnetics Instruments Ltd., Hacettepe - İvedik OSB Teknokent, 1368. Cad., No: 61/33, 06370, Yenimahalle, Ankara (Turkey); Oral, Ahmet, E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, 06800 Ankara (Turkey)

    2014-10-01

    We describe the design of a wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with a self-aligned fibre-cantilever mechanism. An alignment chip with alignment groves and a special mechanical design are used to eliminate tedious and time consuming fibre-cantilever alignment procedure for the entire temperature range. A low noise, Michelson fibre interferometer was integrated into the system for measuring deflection of the cantilever. The spectral noise density of the system was measured to be ~12 fm/√Hz at 4.2 K at 3 mW incident optical power. Abrikosov vortices in BSCCO(2212) single crystal sample and a high density hard disk sample were imaged at 10 nm resolution to demonstrate the performance of the system.

  11. Design of a self-aligned, wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with 10 nm magnetic force microscope resolution

    International Nuclear Information System (INIS)

    Karcı, Özgür; Dede, Münir; Oral, Ahmet

    2014-01-01

    We describe the design of a wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with a self-aligned fibre-cantilever mechanism. An alignment chip with alignment groves and a special mechanical design are used to eliminate tedious and time consuming fibre-cantilever alignment procedure for the entire temperature range. A low noise, Michelson fibre interferometer was integrated into the system for measuring deflection of the cantilever. The spectral noise density of the system was measured to be ∼12 fm/√Hz at 4.2 K at 3 mW incident optical power. Abrikosov vortices in BSCCO(2212) single crystal sample and a high density hard disk sample were imaged at 10 nm resolution to demonstrate the performance of the system

  12. Nanosphere Lithography of Chitin and Chitosan with Colloidal and Self-Masking Patterning

    Directory of Open Access Journals (Sweden)

    Rakkiyappan Chandran

    2018-02-01

    Full Text Available Complex surface topographies control, define, and determine the properties of insect cuticles. In some cases, these nanostructured materials are a direct extension of chitin-based cuticles. The cellular mechanisms that generate these elaborate chitin-based structures are unknown, and involve complicated cellular and biochemical “bottom-up” processes. We demonstrated that a synthetic “top-down” fabrication technique—nanosphere lithography—generates surfaces of chitin or chitosan that mimic the arrangement of nanostructures found on the surface of certain insect wings and eyes. Chitin and chitosan are flexible and biocompatible abundant natural polymers, and are a sustainable resource. The fabrication of nanostructured chitin and chitosan materials enables the development of new biopolymer materials. Finally, we demonstrated that another property of chitin and chitosan—the ability to self-assemble nanosilver particles—enables a novel and powerful new tool for the nanosphere lithographic method: the ability to generate a self-masking thin film. The scalability of the nanosphere lithographic technique is a major limitation; however, the silver nanoparticle self-masking enables a one-step thin-film cast or masking process, which can be used to generate nanostructured surfaces over a wide range of surfaces and areas.

  13. Self-alignment of RFID dies on four-pad patterns with water droplet for sparse self-assembly

    International Nuclear Information System (INIS)

    Chang, Bo; Routa, Iiris; Sariola, Veikko; Zhou, Quan

    2011-01-01

    This paper reports an in-depth study of a water-droplet-assisted self-alignment technique that self-aligns radio frequency identification (RFID) dies on four-pad patterns. The segmented structure of four hydrophilic pads on a hydrophobic substrate brings freedom to the design of the electrical functionality and the surface functionality. The paper investigates the influence of the key parameters that may affect the self-alignment in theory and experiment. The theoretical model justifies that RFID dies can be reliably aligned on the segmented four-pad pattern even when the initial placement error is as large as 50% of the size of the die and the gap between the four pads is about 10% of the size of the die. A method has been introduced to estimate the sufficient droplet volume for self-alignment. A series of experiments have been carried out to verify the results of the model. The experiments indicate that the self-alignment between the 730 × 730 µm RFID dies and the pattern occurs reliably when the releasing bias between the RFID die and antenna is less than 400 µm for patterns with 50 and 100 µm gaps, and successful self-alignment is possible even with greater bias of 500 µm

  14. A single mask process for the realization of fully-isolated, dual-height MEMS metallic structures separated by narrow gaps

    Science.gov (United States)

    Li, Yuan; Kim, Minsoo; Allen, Mark G.

    2018-02-01

    Multi-height metallic structures are of importance for various MEMS applications, including master molds for creating 3D structures by nanoimprint lithography, or realizing vertically displaced electrodes for out-of-plane electrostatic actuators. Normally these types of multi-height structures require a multi-mask process with increased fabrication complexity. In this work, a fabrication technology is presented in which fully-isolated, dual-height MEMS metallic structures separated by narrow gaps can be realized using a self-aligned, single-mask process. The main scheme of this proposed process is through-mold electrodeposition, where two photoresist mold fabrication steps and two electrodeposition steps are sequentially implemented to define the thinner and thicker structures in the dual-height configuration. The process relies on two self-aligned steps enabled by the electrodeposited thinner structures: a wet-etching of the seed layer utilizing the thinner structure as an etch-mask to electrically isolate the thinner and the thicker structures, and a backside UV lithography utilizing the thinner structure as a lithographic mask to create a high-aspect-ratio mold for the thicker structure through-mold electrodeposition. The latter step requires the metallic structures to be fabricated on a transparent substrate. Test structures with differences in aspect ratio are demonstrated to showcase the capability of the process.

  15. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  16. An analysis of the masking of speech by competing speech using self-report data.

    Science.gov (United States)

    Agus, Trevor R; Akeroyd, Michael A; Noble, William; Bhullar, Navjot

    2009-01-01

    Many of the items in the "Speech, Spatial, and Qualities of Hearing" scale questionnaire [S. Gatehouse and W. Noble, Int. J. Audiol. 43, 85-99 (2004)] are concerned with speech understanding in a variety of backgrounds, both speech and nonspeech. To study if this self-report data reflected informational masking, previously collected data on 414 people were analyzed. The lowest scores (greatest difficulties) were found for the two items in which there were two speech targets, with successively higher scores for competing speech (six items), energetic masking (one item), and no masking (three items). The results suggest significant masking by competing speech in everyday listening situations.

  17. Chromatic bifocus alignment system for SR stepper

    International Nuclear Information System (INIS)

    Miyatake, Tsutomu

    1991-01-01

    A new alignment system developed for synchrotron radiation (SR) X-ray stepper is described. The alignment system has three key elements as follows. The first is a chromatic bifocus optics which observe high contrast bright images of alignment marks printed on a mask and a wafer. The second is broad band light illumination to observe the wafer alignment mark images which is unaffected by resist film coated on a wafer. The third is a new correlation function which is used in measuring of displacement between a mask and a wafer. The alignment system has achieved alignment accuracy on the order of 0.01 μm. The experimental results of this alignment system are discussed in this paper. (author)

  18. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  19. AutoMOPS--B2B and B2C in mask making: mask manufacturing performance and customer satisfaction improvement through better information flow management

    Science.gov (United States)

    de Ridder, Luc; Filies, Olaf; Rodriguez, Ben; Kuijken, Aart

    2001-04-01

    Through application of modern supply chain concepts in combination with state-of-the-art information technology, mask manufacturing performance and customer satisfaction can be improved radically. The AutoMOPS solution emphasizes on the elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and prototype production stages.

  20. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    Science.gov (United States)

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, Pmask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  1. A Kalman Filter for SINS Self-Alignment Based on Vector Observation.

    Science.gov (United States)

    Xu, Xiang; Xu, Xiaosu; Zhang, Tao; Li, Yao; Tong, Jinwu

    2017-01-29

    In this paper, a self-alignment method for strapdown inertial navigation systems based on the q -method is studied. In addition, an improved method based on integrating gravitational apparent motion to form apparent velocity is designed, which can reduce the random noises of the observation vectors. For further analysis, a novel self-alignment method using a Kalman filter based on adaptive filter technology is proposed, which transforms the self-alignment procedure into an attitude estimation using the observation vectors. In the proposed method, a linear psuedo-measurement equation is adopted by employing the transfer method between the quaternion and the observation vectors. Analysis and simulation indicate that the accuracy of the self-alignment is improved. Meanwhile, to improve the convergence rate of the proposed method, a new method based on parameter recognition and a reconstruction algorithm for apparent gravitation is devised, which can reduce the influence of the random noises of the observation vectors. Simulations and turntable tests are carried out, and the results indicate that the proposed method can acquire sound alignment results with lower standard variances, and can obtain higher alignment accuracy and a faster convergence rate.

  2. SPAD array chips with full frame readout for crystal characterization

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, Peter; Blanco, Roberto; Sacco, Ilaria; Ritzert, Michael [Heidelberg University (Germany); Weyers, Sascha [Fraunhofer Institute for Microelectronic Circuits and Systems (Germany)

    2015-05-18

    We present single photon sensitive 2D camera chips containing 88x88 avalanche photo diodes which can be read out in full frame mode with up to 400.000 frames per second. The sensors have an imaging area of ~5mm x 5mm covered by square pixels of ~56µm x 56µm with a ~55% fill factor in the latest chip generation. The chips contain a self triggering logic with selectable (column) multiplicities of up to >=4 hits within an adjustable coincidence time window. The photon accumulation time window is programmable as well. First prototypes have demonstrated low dark count rates of <50kHz/mm2 (SPAD area) at 10 degree C for 10% masked pixels. One chip version contains an automated readout of the photon cluster position. The readout of the detailed photon distribution for single events allows the characterization of light sharing, optical crosstalk etc., in crystals or crystal arrays as they are used in PET instrumentation. This knowledge could lead to improvements in spatial or temporal resolution.

  3. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  4. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  5. Self-aligning and compressed autosophy video databases

    Science.gov (United States)

    Holtz, Klaus E.

    1993-04-01

    Autosophy, an emerging new science, explains `self-assembling structures,' such as crystals or living trees, in mathematical terms. This research provides a new mathematical theory of `learning' and a new `information theory' which permits the growing of self-assembling data network in a computer memory similar to the growing of `data crystals' or `data trees' without data processing or programming. Autosophy databases are educated very much like a human child to organize their own internal data storage. Input patterns, such as written questions or images, are converted to points in a mathematical omni dimensional hyperspace. The input patterns are then associated with output patterns, such as written answers or images. Omni dimensional information storage will result in enormous data compression because each pattern fragment is only stored once. Pattern recognition in the text or image files is greatly simplified by the peculiar omni dimensional storage method. Video databases will absorb input images from a TV camera and associate them with textual information. The `black box' operations are totally self-aligning where the input data will determine their own hyperspace storage locations. Self-aligning autosophy databases may lead to a new generation of brain-like devices.

  6. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    Science.gov (United States)

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  7. Method for making a single-step etch mask for 3D monolithic nanostructures

    International Nuclear Information System (INIS)

    Grishina, D A; Harteveld, C A M; Vos, W L; Woldering, L A

    2015-01-01

    Current nanostructure fabrication by etching is usually limited to planar structures as they are defined by a planar mask. The realization of three-dimensional (3D) nanostructures by etching requires technologies beyond planar masks. We present a method for fabricating a 3D mask that allows one to etch three-dimensional monolithic nanostructures using only CMOS-compatible processes. The mask is written in a hard-mask layer that is deposited on two adjacent inclined surfaces of a Si wafer. By projecting in a single step two different 2D patterns within one 3D mask on the two inclined surfaces, the mutual alignment between the patterns is ensured. Thereby after the mask pattern is defined, the etching of deep pores in two oblique directions yields a three-dimensional structure in Si. As a proof of concept we demonstrate 3D mask fabrication for three-dimensional diamond-like photonic band gap crystals in silicon. The fabricated crystals reveal a broad stop gap in optical reflectivity measurements. We propose how 3D nanostructures with five different Bravais lattices can be realized, namely cubic, tetragonal, orthorhombic, monoclinic and hexagonal, and demonstrate a mask for a 3D hexagonal crystal. We also demonstrate the mask for a diamond-structure crystal with a 3D array of cavities. In general, the 2D patterns on the different surfaces can be completely independently structured and still be in perfect mutual alignment. Indeed, we observe an alignment accuracy of better than 3.0 nm between the 2D mask patterns on the inclined surfaces, which permits one to etch well-defined monolithic 3D nanostructures. (paper)

  8. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  9. Integrated on-chip solid state capacitor based on vertically aligned carbon nanofibers, grown using a CMOS temperature compatible process

    Science.gov (United States)

    Saleem, Amin M.; Andersson, Rickard; Desmaris, Vincent; Enoksson, Peter

    2018-01-01

    Complete miniaturized on-chip integrated solid-state capacitors have been fabricated based on conformal coating of vertically aligned carbon nanofibers (VACNFs), using a CMOS temperature compatible microfabrication processes. The 5 μm long VACNFs, operating as electrode, are grown on a silicon substrate and conformally coated by aluminum oxide dielectric using atomic layer deposition (ALD) technique. The areal (footprint) capacitance density value of 11-15 nF/mm2 is realized with high reproducibility. The CMOS temperature compatible microfabrication, ultra-low profile (less than 7 μm thickness) and high capacitance density would enables direct integration of micro energy storage devices on the active CMOS chip, multi-chip package and passives on silicon or glass interposer. A model is developed to calculate the surface area of VACNFs and the effective capacitance from the devices. It is thereby shown that 71% of surface area of the VACNFs has contributed to the measured capacitance, and by using the entire area the capacitance can potentially be increased.

  10. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  11. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  12. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  13. Precision lens assembly with alignment turning system

    Science.gov (United States)

    Ho, Cheng-Fang; Huang, Chien-Yao; Lin, Yi-Hao; Kuo, Hui-Jean; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Chen, Fong-Zhi

    2017-10-01

    The poker chip assembly with high precision lens barrels is widely applied to ultra-high performance optical system. ITRC applies the poker chip assembly technology to the high numerical aperture objective lenses and lithography projection lenses because of its high efficiency assembly process. In order to achieve high precision lens cell for poker chip assembly, an alignment turning system (ATS) is developed. The ATS includes measurement, alignment and turning modules. The measurement module is equipped with a non-contact displacement sensor (NCDS) and an autocollimator (ACM). The NCDS and ACM are used to measure centration errors of the top and the bottom surface of a lens respectively; then the amount of adjustment of displacement and tilt with respect to the rotational axis of the turning machine for the alignment module can be determined. After measurement, alignment and turning processes on the ATS, the centration error of a lens cell with 200 mm in diameter can be controlled within 10 arcsec. Furthermore, a poker chip assembly lens cell with three sub-cells is demonstrated, each sub-cells are measured and accomplished with alignment and turning processes. The lens assembly test for five times by each three technicians; the average transmission centration error of assembly lens is 12.45 arcsec. The results show that ATS can achieve high assembly efficiency for precision optical systems.

  14. Self-Powered Functional Device Using On-Chip Power Generation

    KAUST Repository

    Hussain, Muhammad Mustafa

    2012-01-26

    An apparatus, system, and method for a self-powered device using on-chip power generation. In some embodiments, the apparatus includes a substrate, a power generation module on the substrate, and a power storage module on the substrate. The power generation module may include a thermoelectric generator made of bismuth telluride.

  15. Self-Powered Functional Device Using On-Chip Power Generation

    KAUST Repository

    Hussain, Muhammad Mustafa

    2012-01-01

    An apparatus, system, and method for a self-powered device using on-chip power generation. In some embodiments, the apparatus includes a substrate, a power generation module on the substrate, and a power storage module on the substrate. The power generation module may include a thermoelectric generator made of bismuth telluride.

  16. Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block-poly(d,l-lactide) Block Copolymer Thin Films for Directed Self-Assembly Application.

    Science.gov (United States)

    Cummins, Cian; Mokarian-Tabari, Parvaneh; Andreazza, Pascal; Sinturel, Christophe; Morris, Michael A

    2016-03-01

    Solvothermal vapor annealing (STVA) was employed to induce microphase separation in a lamellar forming block copolymer (BCP) thin film containing a readily degradable block. Directed self-assembly of poly(styrene)-block-poly(d,l-lactide) (PS-b-PLA) BCP films using topographically patterned silicon nitride was demonstrated with alignment over macroscopic areas. Interestingly, we observed lamellar patterns aligned parallel as well as perpendicular (perpendicular microdomains to substrate in both cases) to the topography of the graphoepitaxial guiding patterns. PS-b-PLA BCP microphase separated with a high degree of order in an atmosphere of tetrahydrofuran (THF) at an elevated vapor pressure (at approximately 40-60 °C). Grazing incidence small-angle X-ray scattering (GISAXS) measurements of PS-b-PLA films reveal the through-film uniformity of perpendicular microdomains after STVA. Perpendicular lamellar orientation was observed on both hydrophilic and relatively hydrophobic surfaces with a domain spacing (L0) of ∼32.5 nm. The rapid removal of the PLA microdomains is demonstrated using a mild basic solution for the development of a well-defined PS mask template. GISAXS data reveal the through-film uniformity is retained following wet etching. The experimental results in this article demonstrate highly oriented PS-b-PLA microdomains after a short annealing period and facile PLA removal to form porous on-chip etch masks for nanolithography application.

  17. Rapid, Brushless Self-assembly of a PS-b-PDMS Block Copolymer for Nanolithography

    DEFF Research Database (Denmark)

    Rasappa, Sozaraj; Schulte, Lars; Borah, Dipu

    2014-01-01

    and antidot patterns after short solvo-thermal annealing. Unlike previous reports on this system, low temperature and short annealing time provide self-assembly in homogeneous thin films covering large substrate areas. This on-chip mask was then used for pattern transfer to the underlying silicon substrate...

  18. Capillary self-alignment of mesoscopic foil components for sensor-systems-in-foil

    International Nuclear Information System (INIS)

    Arutinov, Gari; Smits, Edsger C P; Van Heck, Gert; Van den Brand, Jeroen; Schoo, Herman F M; Mastrangeli, Massimo; Dietzel, Andreas

    2012-01-01

    This paper reports on the effective use of capillary self-alignment for low-cost and time-efficient assembly of heterogeneous foil components into a smart electronic identification label. Particularly, we demonstrate the accurate (better than 50 µm) alignment of cm-sized functional foil dies. We investigated the role played by the assembly liquid, by the size and the weight of assembling dies and by their initial offsets in the self-alignment performance. It was shown that there is a definite range of initial offsets allowing dies to align with high accuracy and within approximately the same time window, irrespective of their initial offset. (paper)

  19. Multiproject wafers: not just for million-dollar mask sets

    Science.gov (United States)

    Morse, Richard D.

    2003-06-01

    With the advent of Reticle Enhancement Technologies (RET) such as Optical Proximity Correction (OPC) and Phase Shift Masks (PSM) required to manufacture semiconductors in the sub-wavelength era, the cost of photomask tooling has skyrocketed. On the leading edge of technology, mask set prices often exceed $1 million. This shifts an enormous burden back to designers and Electronic Design Automation (EDA) software vendors to create perfect designs at a time when the number of transistors per chip is measured in the hundreds of millions, and gigachips are on the drawing boards. Moore's Law has driven technology to incredible feats. The prime beneficiaries of the technology - memory and microprocessor (MPU) manufacturers - can continue to fit the model because wafer volumes (and chip prices in the MPU case) render tooling costs relatively insignificant. However, Application-Specific IC (ASIC) manufacturers and most foundry clients average very small wafer per reticle ratios causing a dramatic and potentially insupportable rise in the cost of manufacturing. Multi-Project wafers (MPWs) are a way to share the cost of tooling and silicon by putting more than one chip on each reticle. Lacking any unexpected breakthroughs in simulation, verification, or mask technology to reduce the cost of prototyping, more efficient use of reticle space becomes a viable and increasingly attractive choice. It is worthwhile therefore, to discuss the economics of prototyping in the sub-wavelength era and the increasing advantages of the MPW, shared-silicon approach. However, putting together a collection of different-sized chips during tapeout can be challenging and time consuming. Design compatibility, reticle field optimization, and frame generation have traditionally been the biggest worries but, with the advent of dummy-fill for planarization and RET for resolution, another layer of complexity has been added. MPW automation software is quite advanced today, but the size of the task

  20. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  1. Self-corrected chip-based dual-comb spectrometer.

    Science.gov (United States)

    Hébert, Nicolas Bourbeau; Genest, Jérôme; Deschênes, Jean-Daniel; Bergeron, Hugo; Chen, George Y; Khurmi, Champak; Lancaster, David G

    2017-04-03

    We present a dual-comb spectrometer based on two passively mode-locked waveguide lasers integrated in a single Er-doped ZBLAN chip. This original design yields two free-running frequency combs having a high level of mutual stability. We developed in parallel a self-correction algorithm that compensates residual relative fluctuations and yields mode-resolved spectra without the help of any reference laser or control system. Fluctuations are extracted directly from the interferograms using the concept of ambiguity function, which leads to a significant simplification of the instrument that will greatly ease its widespread adoption and commercial deployment. Comparison with a correction algorithm relying on a single-frequency laser indicates discrepancies of only 50 attoseconds on optical timings. The capacities of this instrument are finally demonstrated with the acquisition of a high-resolution molecular spectrum covering 20 nm. This new chip-based multi-laser platform is ideal for the development of high-repetition-rate, compact and fieldable comb spectrometers in the near- and mid-infrared.

  2. Track-etched nanopores in spin-coated polycarbonate films applied as sputtering mask

    International Nuclear Information System (INIS)

    Nix, A.-K.; Gehrke, H.-G.; Krauser, J.; Trautmann, C.; Weidinger, A.; Hofsaess, H.

    2009-01-01

    Thin polycarbonate films were spin-coated on silicon substrates and subsequently irradiated with 1-GeV U ions. The ion tracks in the polymer layer were chemically etched yielding nanopores of about 40 nm diameter. In a second process, the nanoporous polymer film acted as mask for structuring the Si substrate underneath. Sputtering with 5-keV Xe ions produced surface craters of depth ∼150 nm and diameter ∼80 nm. This arrangement can be used for the fabrication of track-based nanostructures with self-aligned apertures.

  3. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  4. Advanced Nanofabrication Process Development for Self-Powered System-on-Chip

    KAUST Repository

    Rojas, Jhonathan Prieto

    2010-01-01

    In summary, by using a novel sustainable energy component and scalable nano-patterning for logic and computing module, this work has successfully collected the essential base knowledge and joined two different elements that synergistically will contribute for the future implementation of a Self-Powered System-on-Chip.

  5. Masked-backlighter technique used to simultaneously image x-ray absorption and x-ray emission from an inertial confinement fusion plasma.

    Science.gov (United States)

    Marshall, F J; Radha, P B

    2014-11-01

    A method to simultaneously image both the absorption and the self-emission of an imploding inertial confinement fusion plasma has been demonstrated on the OMEGA Laser System. The technique involves the use of a high-Z backlighter, half of which is covered with a low-Z material, and a high-speed x-ray framing camera aligned to capture images backlit by this masked backlighter. Two strips of the four-strip framing camera record images backlit by the high-Z portion of the backlighter, while the other two strips record images aligned with the low-Z portion of the backlighter. The emission from the low-Z material is effectively eliminated by a high-Z filter positioned in front of the framing camera, limiting the detected backlighter emission to that of the principal emission line of the high-Z material. As a result, half of the images are of self-emission from the plasma and the other half are of self-emission plus the backlighter. The advantage of this technique is that the self-emission simultaneous with backlighter absorption is independently measured from a nearby direction. The absorption occurs only in the high-Z backlit frames and is either spatially separated from the emission or the self-emission is suppressed by filtering, or by using a backlighter much brighter than the self-emission, or by subtraction. The masked-backlighter technique has been used on the OMEGA Laser System to simultaneously measure the emission profiles and the absorption profiles of polar-driven implosions.

  6. Masked-backlighter technique used to simultaneously image x-ray absorption and x-ray emission from an inertial confinement fusion plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, F. J., E-mail: fredm@lle.rochester.edu; Radha, P. B. [Laboratory for Laser Energetics, University of Rochester, Rochester, New York 14623 (United States)

    2014-11-15

    A method to simultaneously image both the absorption and the self-emission of an imploding inertial confinement fusion plasma has been demonstrated on the OMEGA Laser System. The technique involves the use of a high-Z backlighter, half of which is covered with a low-Z material, and a high-speed x-ray framing camera aligned to capture images backlit by this masked backlighter. Two strips of the four-strip framing camera record images backlit by the high-Z portion of the backlighter, while the other two strips record images aligned with the low-Z portion of the backlighter. The emission from the low-Z material is effectively eliminated by a high-Z filter positioned in front of the framing camera, limiting the detected backlighter emission to that of the principal emission line of the high-Z material. As a result, half of the images are of self-emission from the plasma and the other half are of self-emission plus the backlighter. The advantage of this technique is that the self-emission simultaneous with backlighter absorption is independently measured from a nearby direction. The absorption occurs only in the high-Z backlit frames and is either spatially separated from the emission or the self-emission is suppressed by filtering, or by using a backlighter much brighter than the self-emission, or by subtraction. The masked-backlighter technique has been used on the OMEGA Laser System to simultaneously measure the emission profiles and the absorption profiles of polar-driven implosions.

  7. T-gate aligned nanotube radio frequency transistors and circuits with superior performance.

    Science.gov (United States)

    Che, Yuchi; Lin, Yung-Chen; Kim, Pyojae; Zhou, Chongwu

    2013-05-28

    In this paper, we applied self-aligned T-gate design to aligned carbon nanotube array transistors and achieved an extrinsic current-gain cutoff frequency (ft) of 25 GHz, which is the best on-chip performance for nanotube radio frequency (RF) transistors reported to date. Meanwhile, an intrinsic current-gain cutoff frequency up to 102 GHz is obtained, comparable to the best value reported for nanotube RF transistors. Armed with the excellent extrinsic RF performance, we performed both single-tone and two-tone measurements for aligned nanotube transistors at a frequency up to 8 GHz. Furthermore, we utilized T-gate aligned nanotube transistors to construct mixing and frequency doubling analog circuits operated in gigahertz frequency regime. Our results confirm the great potential of nanotube-based circuit applications and indicate that nanotube transistors are promising building blocks in high-frequency electronics.

  8. Characterizations of gas purge valves for liquid alignment and gas removal in a microfluidic chip

    International Nuclear Information System (INIS)

    Chuang, Han-Sheng; Thakur, Raviraj; Wereley, Steven T

    2012-01-01

    Two polydimethylsiloxane (PDMS) gas purge valves for excessive gas removal in general lab-on-a-chip applications are presented in this paper. Both valves are devised based on a three-layer configuration comprising a top layer for liquid channels, a membrane and a bottom layer for gas channels. The pneumatic valves work as a normal gateway for fluids when the membrane is bulged down (open state) by vacuum or pushed up (closed state) by pressure. In the closed state, the air in front of a liquid can be removed through a small notch or a permeable PDMS membrane by compressing the liquid. The purge valve with a small notch across its valve seat, termed surface-tension (ST) valve, can be operated with pressure under 11.5 kPa. The liquid is mainly retained by the surface tension resulting from the hydrophobic channel walls. In contrast, the purge valve with vacuum-filled grooves adjacent to a liquid channel, termed gas-permeation (GP) valve, can be operated at pressure above 5.5 kPa. Based on the principle of gas permeation, the excessive air can be slowly removed through the vent grooves. Detailed evaluations of both valves in a pneumatically driven microfluidic chip were conducted. Specifically, the purge valves enable users to remove gas and passively align liquids at desired locations without using sensing devices or feedback circuits. Finally, a rapid mixing reaction was successfully performed with the GP valves, showing their practicability as incorporated in a microfluidic chip. (paper)

  9. Plasma-Induced, Self-Masking, One-Step Approach to an Ultrabroadband Antireflective and Superhydrophilic Subwavelength Nanostructured Fused Silica Surface.

    Science.gov (United States)

    Ye, Xin; Shao, Ting; Sun, Laixi; Wu, Jingjun; Wang, Fengrui; He, Junhui; Jiang, Xiaodong; Wu, Wei-Dong; Zheng, Wanguo

    2018-04-25

    In this work, antireflective and superhydrophilic subwavelength nanostructured fused silica surfaces have been created by one-step, self-masking reactive ion etching (RIE). Bare fused silica substrates with no mask were placed in a RIE vacuum chamber, and then nanoscale fluorocarbon masks and subwavelength nanostructures (SWSs) automatically formed on these substrate after the appropriate RIE plasma process. The mechanism of plasma-induced self-masking SWS has been proposed in this paper. Plasma parameter effects on the morphology of SWS have been investigated to achieve perfect nanocone-like SWS for excellent antireflection, including process time, reactive gas, and pressure of the chamber. Optical properties, i.e., antireflection and optical scattering, were simulated by the finite difference time domain (FDTD) method. Calculated data agree well with the experiment results. The optimized SWS show ultrabroadband antireflective property (up to 99% from 500 to 1360 nm). An excellent improvement of transmission was achieved for the deep-ultraviolet (DUV) range. The proposed low-cost, highly efficient, and maskless method was applied to achieve ultrabroadband antireflective and superhydrophilic SWSs on a 100 mm optical window, which promises great potential for applications in the automotive industry, goggles, and optical devices.

  10. A self-adjusting delay circuit for pixel read-out chips

    International Nuclear Information System (INIS)

    Raith, B.

    1997-01-01

    A simple concept for automatic adjustment of important VLSI-circuit properties was proposed in (Fischer and Joens, Nucl. Instr. and. Meth.). As an application, a self-adjusting monoflop is reviewed, and detailed measurements are discussed regarding a possible implementation in the LHC 1 read-out chip for the ATLAS experiment (ATLAS Internal Note, 1995). (orig.)

  11. Complete separation of the tube from the mask of a reusable classic laryngeal mask airway: a case report

    Directory of Open Access Journals (Sweden)

    Ali Shahriari

    2007-06-01

    Full Text Available

    align="left">The laryngeal mask airway (LMA is an important addition to the anesthetist's equipments. However, its usage may involve some complications. We have encountered an unusual and potentially serious complication using this equipment. A 45-year old man underwent cataract surgery under general anesthesia. After the induction of anesthesia, a size 4 of the reusable classic LMA was inserted without any difficulties and the cuff was inflated. After a little manipulation, the proximal tube of the LMA was separated from the distal part, leaving the distal mask inside the pharynx. The exit of the remaining portion of the LMA was very difficult and made the ventilation of the patient impossible. The patient’s oxygen saturation decreased to 40%. The remaining portion of the LMA was removed by a great clamp and with an extreme effort. Then, an endotracheal tube was inserted and the patient was ventilated with 100% oxygen. After 6 hours, the patient was discharged with no apparent complications. The autoclave was used several times for the sterilization of the LMA.

    align="left">KEY WORDS: Laryngeal mask airway, autoclave.

  12. Intradomain phase transitions in flexible block copolymers with self-aligning segments

    Science.gov (United States)

    Burke, Christopher J.; Grason, Gregory M.

    2018-05-01

    We study a model of flexible block copolymers (BCPs) in which there is an enlthalpic preference for orientational order, or local alignment, among like-block segments. We describe a generalization of the self-consistent field theory of flexible BCPs to include inter-segment orientational interactions via a Landau-de Gennes free energy associated with a polar or nematic order parameter for segments of one component of a diblock copolymer. We study the equilibrium states of this model numerically, using a pseudo-spectral approach to solve for chain conformation statistics in the presence of a self-consistent torque generated by inter-segment alignment forces. Applying this theory to the structure of lamellar domains composed of symmetric diblocks possessing a single block of "self-aligning" polar segments, we show the emergence of spatially complex segment order parameters (segment director fields) within a given lamellar domain. Because BCP phase separation gives rise to spatially inhomogeneous orientation order of segments even in the absence of explicit intra-segment aligning forces, the director fields of BCPs, as well as thermodynamics of lamellar domain formation, exhibit a highly non-linear dependence on both the inter-block segregation (χN) and the enthalpy of alignment (ɛ). Specifically, we predict the stability of new phases of lamellar order in which distinct regions of alignment coexist within the single mesodomain and spontaneously break the symmetries of the lamella (or smectic) pattern of composition in the melt via in-plane tilt of the director in the centers of the like-composition domains. We further show that, in analogy to Freedericksz transition confined nematics, the elastic costs to reorient segments within the domain, as described by the Frank elasticity of the director, increase the threshold value ɛ needed to induce this intra-domain phase transition.

  13. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  14. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  15. Customization and design of directed self-assembly using hybrid prepatterns

    Science.gov (United States)

    Cheng, Joy; Doerk, Gregory S.; Rettner, Charles T.; Singh, Gurpreet; Tjio, Melia; Truong, Hoa; Arellano, Noel; Balakrishnan, Srinivasan; Brink, Markus; Tsai, Hsinyu; Liu, Chi-Chun; Guillorn, Michael; Sanders, Daniel P.

    2015-03-01

    Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for "CHemoepitaxy Etch Trim using a self-Aligned Hardmask" of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar "IBM" illustrate the versatility of this customization scheme using rCHEETAH.

  16. Laser micro-machining of hydrophobic-hydrophilic patterns for fluid driven self-alignment in micro-assembly

    NARCIS (Netherlands)

    Römer, Gerardus Richardus, Bernardus, Engelina; Jorritsma, Mark; Arnaldo del Cerro, D.; Chang, Bo; Liimatainen, Ville; Zhou, Quan; Huis in 't Veld, Bert

    2011-01-01

    Fluid driven self-alignment is a low cost alternative to fast but relatively inaccurate robotic pickand-place assembly of micro-fabricated components. This fluidic self-alignment technique relies on a hydrophobic-hydrophilic pattern on the surface of the receiving substrate, which confines a fluid

  17. In-Flight Self-Alignment Method Aided by Geomagnetism for Moving Basement of Guided Munitions

    Directory of Open Access Journals (Sweden)

    Shuang-biao Zhang

    2015-01-01

    Full Text Available Due to power-after-launch mode of guided munitions of high rolling speed, initial attitude of munitions cannot be determined accurately, and this makes it difficult for navigation and control system to work effectively and validly. An in-flight self-alignment method aided by geomagnetism that includes a fast in-flight coarse alignment method and an in-flight alignment model based on Kalman theory is proposed in this paper. Firstly a fast in-flight coarse alignment method is developed by using gyros, magnetic sensors, and trajectory angles. Then, an in-flight alignment model is derived by investigation of the measurement errors and attitude errors, which regards attitude errors as state variables and geomagnetic components in navigation frame as observed variables. Finally, fight data of a spinning projectile is used to verify the performance of the in-flight self-alignment method. The satisfying results show that (1 the precision of coarse alignment can attain below 5°; (2 the attitude errors by in-flight alignment model converge to 24′ at early of the latter half of the flight; (3 the in-flight alignment model based on Kalman theory has better adaptability, and show satisfying performance.

  18. Harshlight: a "corrective make-up" program for microarray chips

    Directory of Open Access Journals (Sweden)

    Wittkowski Knut M

    2005-12-01

    Full Text Available Abstract Background Microscopists are familiar with many blemishes that fluorescence images can have due to dust and debris, glass flaws, uneven distribution of fluids or surface coatings, etc. Microarray scans do show similar artifacts, which might affect subsequent analysis. Although all but the starkest blemishes are hard to find by the unaided eye, particularly in high-density oligonucleotide arrays (HDONAs, few tools are available to help with the detection of those defects. Results We develop a novel tool, Harshlight, for the automatic detection and masking of blemishes in HDONA microarray chips. Harshlight uses a combination of statistic and image processing methods to identify three different types of defects: localized blemishes affecting a few probes, diffuse defects affecting larger areas, and extended defects which may invalidate an entire chip. Conclusion We demonstrate the use of Harshlight can materially improve analysis of HDONA chips, especially for experiments with subtle changes between samples. For the widely used MAS5 algorithm, we show that compact blemishes cause an average of 8 gene expression values per chip to change by more than 50%, two of them by more than twofold; our masking algorithm restores about two thirds of this damage. Large-scale artifacts are successfully detected and eliminated.

  19. Self-aligning fixture used in lathe chuck jaw refacing

    Science.gov (United States)

    Linn, C. C.

    1965-01-01

    Self-aligning tool positions and rigidly holds lathe chuck jaws for refacing and truing of the clamping surface. The jaws clamp the fixture in the manner of clamping a workpiece. The fixture can be modified to accommodate four-jawed checks.

  20. Vertically Aligned Carbon Nanotube Array (VANTA Biosensor for MEMS Lab-on-a-Chip

    Directory of Open Access Journals (Sweden)

    Luke JOSEPH

    2009-10-01

    Full Text Available We describe the fabrication, functionalization and characterization of vertically aligned carbon nanotube arrays (VANTAs for biological sensor applications. This structure is created using a standard MEMS process and chemical vapor deposition (CVD multi-walled carbon nanotube (MWNT post-processing. The device is well suited for full integration into microfluidic lab-on-a-chip solutions. Included is a spectroscopic characterization of the galvanostatic impedance of the device, as well as scanning electron microscopy (SEM images of the pre- and post- functionalized device. Interferometric 3D profiling and X-ray spectroscopy were also used to check process assumptions. The work presented validates that this approach is an ideal candidate for low-cost, high-throughput manufacturing of biochemical sensors. Unlike previously published work [1, 2] using SWNT, the use of MWNT arrays allows functionalization over the entirety of the nanotubes. This approach maintains low baseline impedance and increases the surface area leveraging inherent benefits of the VANTA.

  1. NEPP Evaluation of Automotive Grade Tantalum Chip Capacitors

    Science.gov (United States)

    Sampson, Mike; Brusse, Jay

    2018-01-01

    Automotive grade tantalum (Ta) chip capacitors are available at lower cost with smaller physical size and higher volumetric efficiency compared to military/space grade capacitors. Designers of high reliability aerospace and military systems would like to take advantage of these attributes while maintaining the high standards for long-term reliable operation they are accustomed to when selecting military-qualified established reliability tantalum chip capacitors (e.g., MIL-PRF-55365). The objective for this evaluation was to assess the long-term performance of off-the-shelf automotive grade Ta chip capacitors (i.e., manufacturer self-qualified per AEC Q-200). Two (2) lots of case size D manganese dioxide (MnO2) cathode Ta chip capacitors from 1 manufacturer were evaluated. The evaluation consisted of construction analysis, basic electrical parameter characterization, extended long-term (2000 hours) life testing and some accelerated stress testing. Tests and acceptance criteria were based upon manufacturer datasheets and the Automotive Electronics Council's AEC Q-200 qualification specification for passive electronic components. As-received a few capacitors were marginally above the specified tolerance for capacitance and ESR. X-ray inspection found that the anodes for some devices may not be properly aligned within the molded encapsulation leaving less than 1 mil thickness of the encapsulation. This evaluation found that the long-term life performance of automotive grade Ta chip capacitors is generally within specification limits suggesting these capacitors may be suitable for some space applications.

  2. A simple method of fabricating mask-free microfluidic devices for biological analysis.

    KAUST Repository

    Yi, Xin; Kodzius, Rimantas; Gong, Xiuqing; Xiao, Kang; Wen, Weijia

    2010-01-01

    We report a simple, low-cost, rapid, and mask-free method to fabricate two-dimensional (2D) and three-dimensional (3D) microfluidic chip for biological analysis researches. In this fabrication process, a laser system is used to cut through paper

  3. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  4. Self-aligned inkjet printing of highly conducting gold electrodes with submicron resolution

    Science.gov (United States)

    Zhao, Ni; Chiesa, Marco; Sirringhaus, Henning; Li, Yuning; Wu, Yiliang; Ong, Beng

    2007-03-01

    Self-aligned printing is a recently developed bottom-up printing technique which utilizes the unique droplet motion on heterogeneous surfaces to define sub-100-nm critical features and surpasses the resolution which can commonly be achieved by direct printing by two orders of magnitude. Here we extend this method, which was originally implemented with conductive polymer inks, to fabrication of functional conductive nanostructures with gold nanoparticle ink. We also designed a configuration where the ink was printed between two lithographically defined patterns to facilitate the study of the channel formation. Channel lengths from 4μm down to 60nm were achieved by controlling the surface tension and drying time of the ink. A fluid dynamical model is presented to explain the mechanism by which the channel forms in the self-aligned printing technique. Field-effect transistors fabricated using gold self-aligned printed source-drain electrodes exhibit significantly improved output currents than those using conducting polymers. Unambiguous evidence for the submicrometer channel dimension is obtained by imaging the potential drop along the channel using scanning Kelvin probe microscopy.

  5. Passive photonic alignment with submicrometer repeatability and accuracy

    NARCIS (Netherlands)

    Gurp, J.F.C.; Tichem, M; Staufer, U.; Zhao, J.

    2013-01-01

    In this paper, we report on passive alignment with submicrometer accuracy of two photonic chips on a silicon optical bench. An effective design principle to minimize the tolerance chain is presented and applied to a case study. The chips have been successfully manufactured and an experimental setup

  6. A self-aligned gate definition process with submicron gaps

    NARCIS (Netherlands)

    Warmerdam, L.F.P.; Aarnink, Antonius A.I.; Holleman, J.; Wallinga, Hans

    1989-01-01

    A self-aligned gate definition process is proposed. Spacings between adjacent gates of 0.5 µm and smaller are fabricated. The spacing is realized by an edge-etch technique, combined with anisotropic plasma etching of the single poly-silicon layer. Straight gaps with minor width variation are

  7. Optical interconnection for a polymeric PLC device using simple positional alignment.

    Science.gov (United States)

    Ryu, Jin Hwa; Kim, Po Jin; Cho, Cheon Soo; Lee, El-Hang; Kim, Chang-Seok; Jeong, Myung Yung

    2011-04-25

    This study proposes a simple cost-effective method of optical interconnection between a planar lightwave circuit (PLC) device chip and an optical fiber. It was conducted to minimize and overcome the coupling loss caused by lateral offset which is due to the process tolerance and the dimensional limitation existing between PLC device chips and fiber array blocks with groove structures. A PLC device chip and a fiber array block were simultaneously fabricated in a series of polymer replication processes using the original master. The dimensions (i.e., width and thickness) of the under-clad of the PLC device chip were identical to those of the fiber array block. The PLC device chip and optical fiber were aligned by simple positional control for the vertical direction of the PLC device chip under a particular condition. The insertion loss of the proposed 1 x 2 multimode optical splitter device interconnection was 4.0 dB at 850 nm and the coupling loss was below 0.1 dB compared with single-fiber based active alignment.

  8. AutoMOPS- B2B and B2C in mask making: Mask manufacturing performance and customer satisfaction improvement through better information flow management using generic models and standardized languages

    Science.gov (United States)

    Filies, Olaf; de Ridder, Luc; Rodriguez, Ben; Kujiken, Aart

    2002-03-01

    Semiconductor manufacturing has become a global business, in which companies of different size unite in virtual enterprises to meet new opportunities. Therefore Mask manufacturing is a key business, but mask ordering is a complex process and is always critical regarding design to market time, even though mask complexity and customer base are increasing using a wide variety of different mask order forms which are frequently faulty and very seldom complete. This is effectively blocking agile manufacturing and can tie wafer fabs to a single mask The goal of the project is elimination of the order verification through paperless, electronically linked information sharing/exchange between chip design, mask production and production stages, which will allow automation of the mask preparation. To cover these new techniques and their specifications as well as the common ones with automated tools a special generic Meta-model will be generated, based on the current standards for mask specifications, including the requirements from the involved partners (Alcatel Microelectronics, Altis, Compugraphics, Infineon, Nimble, Sigma-C), the project works out a pre-normative standard. The paper presents the current status of work. This work is partly funded by the Commission of the European Union under the Fifth Framework project IST-1999-10332 AutoMOPS.

  9. The precise self-assembly of individual carbon nanotubes using magnetic capturing and fluidic alignment

    Energy Technology Data Exchange (ETDEWEB)

    Shim, Joon S; Rust, Michael J; Do, Jaephil; Ahn, Chong H [Department of Electrical and Computer Engineering, Microsystems and BioMEMS Laboratory, University of Cincinnati, Cincinnati, OH 45221 (United States); Yun, Yeo-Heung; Schulz, Mark J [Department of Mechanical Engineering, University of Cincinnati, 45221 (United States); Shanov, Vesselin, E-mail: chong.ahn@uc.ed [Department of Chemical and Materials Engineering, University of Cincinnati, 45221 (United States)

    2009-08-12

    A new method for the self-assembly of a carbon nanotube (CNT) using magnetic capturing and fluidic alignment has been developed and characterized in this work. In this new method, the residual iron (Fe) catalyst positioned at one end of the CNT was utilized as a self-assembly driver to attract and position the CNT, while the assembled CNT was aligned by the shear force induced from the fluid flow through the assembly channel. The self-assembly procedures were successfully developed and the electrical properties of the assembled multi-walled carbon nanotube (MWNT) and single-walled carbon nanotube (SWNT) were fully characterized. The new assembly method developed in this work shows its feasibility for the precise self-assembly of parallel CNTs for electronic devices and nanobiosensors.

  10. Binary phase masks on self-developing photopolymers: the technique for formation and testing in an optical correlator

    International Nuclear Information System (INIS)

    Yezhov, P V; Il'in, O A; Smirnova, T N; Tikhonov, E A

    2003-01-01

    Binary phase masks (PMs) of size 256x256 cells with a random distribution of elements, formed on the self-developing FPK-488 photopolymer, are studied. The masks were prepared by the projection method using amplitude transparencies. The phase shift between the mask elements corresponding to the regions of the amplitude transparency with the optical density D = 0 and 2 was (0.85±0.05)π at the wavelength of 0.633 μm. Holographic matched filters were recorded for PMs obtained. The diffraction efficiency of holographic matched PM filters was 40 %. The signal-to-noise ratio for recognition signals for PMs in the Vander Lugt correlator was 20 dB. The normalised power density of the recognition signal is studied as a function of the rotation angle of a PM in the input plane of the Vander Lugt correlator. (laser applications and other topics in quantum electronics)

  11. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  12. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  13. Self-optimizing approach for automated laser resonator alignment

    Science.gov (United States)

    Brecher, C.; Schmitt, R.; Loosen, P.; Guerrero, V.; Pyschny, N.; Pavim, A.; Gatej, A.

    2012-02-01

    Nowadays, the assembly of laser systems is dominated by manual operations, involving elaborate alignment by means of adjustable mountings. From a competition perspective, the most challenging problem in laser source manufacturing is price pressure, a result of cost competition exerted mainly from Asia. From an economical point of view, an automated assembly of laser systems defines a better approach to produce more reliable units at lower cost. However, the step from today's manual solutions towards an automated assembly requires parallel developments regarding product design, automation equipment and assembly processes. This paper introduces briefly the idea of self-optimizing technical systems as a new approach towards highly flexible automation. Technically, the work focuses on the precision assembly of laser resonators, which is one of the final and most crucial assembly steps in terms of beam quality and laser power. The paper presents a new design approach for miniaturized laser systems and new automation concepts for a robot-based precision assembly, as well as passive and active alignment methods, which are based on a self-optimizing approach. Very promising results have already been achieved, considerably reducing the duration and complexity of the laser resonator assembly. These results as well as future development perspectives are discussed.

  14. An electrical bio-chip to transfer and detect electromagnetic stimulation on the cells based on vertically aligned carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Rafizadeh-Tafti, Saeed [Nanoelectronic Center of Excellence, Thin Film and Nanoelectronic Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of); Nano Bio Electronic Devices Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of); Haqiqatkhah, Mohammad Hossein [Center of Excellence on Applied Electromagnetic Systems, School of Electrical & Computer Engineering, University of Tehran, P.O. Box 14395-515, North Kargar Avenue, Tehran (Iran, Islamic Republic of); Saviz, Mehrdad [Antenna Laboratory, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395-515, North Kargar Avenue, Tehran (Iran, Islamic Republic of); Janmaleki, Mohsen [Medical Nanotechnology and Tissue Engineering Research Center, Shahid Beheshti University of Medical Sciences, P.O. Box 1985717443, Tehran (Iran, Islamic Republic of); Faraji Dana, Reza [Center of Excellence on Applied Electromagnetic Systems, School of Electrical & Computer Engineering, University of Tehran, P.O. Box 14395-515, North Kargar Avenue, Tehran (Iran, Islamic Republic of); Zanganeh, Somayeh [Nanoelectronic Center of Excellence, Thin Film and Nanoelectronic Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of); Nano Bio Electronic Devices Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of); Abdolahad, Mohammad, E-mail: m.abdolahad@ut.ac.ir [Nanoelectronic Center of Excellence, Thin Film and Nanoelectronic Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of); Nano Bio Electronic Devices Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of)

    2017-01-01

    A highly sensitive impedimetric bio-chip based on vertically aligned multiwall carbon nanotubes (VAMWCNTs), was applied in direct interaction with lung cancer cells. Our tool provided both inducing and monitoring the bioelectrical changes in the cells initiated by electromagnetic (EM) wave stimulation. EM wave of 940 MHz frequency with different intensities was used. Here, wave ablation might accumulate electrical charge on the tips of nanotubes penetrated into cell's membrane. The charge might induce ionic exchanges into the cell and cause alterations in electrical states of the membrane. Transmembrane electrostatic/dynamic states would be strongly affected due to such exchanges. Our novel modality was that, the cells' vitality changes caused by charge inductions were electrically detected with the same nanotubes in the architecture of electrodes for impedance measurement. The responses of the sensor were confirmed by electron and florescent microscopy images as well as biological assays. In summation, our method provided an effective biochip for enhancing and detecting external EM stimulation on the cells useful for future diagnostic and therapeutic applications, such as wave-guided drug-resistance breakage. - Highlights: • A CNT-chip is fabricated to stimulate cancer cells by electromagnetic wave. • Wave induced charges accumulation on the tip of CNTs penetrated into cells. • Transmembrane electrostatic states would be strongly affected due to such exchanges. • The cells' vitality changes could be happened and electrically detected with the same chip.

  15. High-frequency self-aligned graphene transistors with transferred gate stacks

    Science.gov (United States)

    Cheng, Rui; Bai, Jingwei; Liao, Lei; Zhou, Hailong; Chen, Yu; Liu, Lixin; Lin, Yung-Chen; Jiang, Shan; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Graphene has attracted enormous attention for radio-frequency transistor applications because of its exceptional high carrier mobility, high carrier saturation velocity, and large critical current density. Herein we report a new approach for the scalable fabrication of high-performance graphene transistors with transferred gate stacks. Specifically, arrays of gate stacks are first patterned on a sacrificial substrate, and then transferred onto arbitrary substrates with graphene on top. A self-aligned process, enabled by the unique structure of the transferred gate stacks, is then used to position precisely the source and drain electrodes with minimized access resistance or parasitic capacitance. This process has therefore enabled scalable fabrication of self-aligned graphene transistors with unprecedented performance including a record-high cutoff frequency up to 427 GHz. Our study defines a unique pathway to large-scale fabrication of high-performance graphene transistors, and holds significant potential for future application of graphene-based devices in ultra–high-frequency circuits. PMID:22753503

  16. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  17. Scalable fabrication of self-aligned graphene transistors and circuits on glass.

    Science.gov (United States)

    Liao, Lei; Bai, Jingwei; Cheng, Rui; Zhou, Hailong; Liu, Lixin; Liu, Yuan; Huang, Yu; Duan, Xiangfeng

    2012-06-13

    Graphene transistors are of considerable interest for radio frequency (rf) applications. High-frequency graphene transistors with the intrinsic cutoff frequency up to 300 GHz have been demonstrated. However, the graphene transistors reported to date only exhibit a limited extrinsic cutoff frequency up to about 10 GHz, and functional graphene circuits demonstrated so far can merely operate in the tens of megahertz regime, far from the potential the graphene transistors could offer. Here we report a scalable approach to fabricate self-aligned graphene transistors with the extrinsic cutoff frequency exceeding 50 GHz and graphene circuits that can operate in the 1-10 GHz regime. The devices are fabricated on a glass substrate through a self-aligned process by using chemical vapor deposition (CVD) grown graphene and a dielectrophoretic assembled nanowire gate array. The self-aligned process allows the achievement of unprecedented performance in CVD graphene transistors with a highest transconductance of 0.36 mS/μm. The use of an insulating substrate minimizes the parasitic capacitance and has therefore enabled graphene transistors with a record-high extrinsic cutoff frequency (> 50 GHz) achieved to date. The excellent extrinsic cutoff frequency readily allows configuring the graphene transistors into frequency doubling or mixing circuits functioning in the 1-10 GHz regime, a significant advancement over previous reports (∼20 MHz). The studies open a pathway to scalable fabrication of high-speed graphene transistors and functional circuits and represent a significant step forward to graphene based radio frequency devices.

  18. Microtome Sliced Block Copolymers and Nanoporous Polymers as Masks for Nanolithography

    DEFF Research Database (Denmark)

    Shvets, Violetta; Schulte, Lars; Ndoni, Sokol

    2014-01-01

    Introduction. Block copolymers self-assembling properties are commonly used for creation of very fine nanostructures [1]. Goal of our project is to test new methods of the block-copolymer lithography mask preparation: macroscopic pieces of block-copolymers or nanoporous polymers with cross...... PDMS can be chemically etched from the PB matrix by tetrabutylammonium fluoride in tetrahydrofuran and macroscopic nanoporous PB piece is obtained. Both block-copolymer piece and nanoporous polymer piece were sliced with cryomicrotome perpendicular to the axis of cylinder alignment and flakes...... of etching patterns appear only under the certain parts of thick flakes and are not continuous. Although flakes from block copolymer are thinner and more uniform in thickness than flakes from nanoporous polymer, quality of patterns under nanoporous flakes appeared to be better than under block copolymer...

  19. Structural hierarchy in flow-aligned hexagonally self-organized microphases with parallel polyelectrolytic structures

    NARCIS (Netherlands)

    Ruotsalainen, T; Torkkeli, M; Serimaa, R; Makela, T; Maki-Ontto, R; Ruokolainen, J; ten Brinke, G; Ikkala, O; Mäkelä, Tapio; Mäki-Ontto, Riikka

    2003-01-01

    We report a novel structural hierarchy where a flow-aligned hexagonal self-organized structure is combined with a polyelectrolytic self-organization on a smaller length scale and where the two structures are mutually parallel. Polystyrene-block-poly(4-vinylpyridine) (PS-block-P4VP) is selected with

  20. Single photoresist masking for local porous Si formation

    International Nuclear Information System (INIS)

    Hourdakis, E; Nassiopoulou, A G

    2014-01-01

    A simple process for local electrochemical porous Si formation on a Si wafer using a photoresist mask was developed. In this respect, the AZ9260 photoresist from MicroChemicals was used, which is easily removed by simple immersion in acetone after the electrochemical process. The photoresist layer thickness and its adhesion to the Si substrate were optimized for increased etch resistance to the anodization solution. Using the above process, mesoporous Si layers as thick as 50 μm were locally formed on the Si wafer through the photoresist mask. The developed process paves the way towards a simple industrial batch Si technology process for the fabrication of mixed Si wafers containing local porous Si areas. These wafers are very interesting for future system-on-chip (SoC) applications, including RF analog/digital and sensors/electronics SoCs. (technical note)

  1. A simple method of fabricating mask-free microfluidic devices for biological analysis.

    KAUST Repository

    Yi, Xin

    2010-09-07

    We report a simple, low-cost, rapid, and mask-free method to fabricate two-dimensional (2D) and three-dimensional (3D) microfluidic chip for biological analysis researches. In this fabrication process, a laser system is used to cut through paper to form intricate patterns and differently configured channels for specific purposes. Bonded with cyanoacrylate-based resin, the prepared paper sheet is sandwiched between glass slides (hydrophilic) or polymer-based plates (hydrophobic) to obtain a multilayer structure. In order to examine the chip\\'s biocompatibility and applicability, protein concentration was measured while DNA capillary electrophoresis was carried out, and both of them show positive results. With the utilization of direct laser cutting and one-step gas-sacrificing techniques, the whole fabrication processes for complicated 2D and 3D microfluidic devices are shorten into several minutes which make it a good alternative of poly(dimethylsiloxane) microfluidic chips used in biological analysis researches.

  2. The development of alignment turning system for precision len cells

    Science.gov (United States)

    Huang, Chien-Yao; Ho, Cheng-Fang; Wang, Jung-Hsing; Chung, Chien-Kai; Chen, Jun-Cheng; Chang, Keng-Shou; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Chen, Fong-Zhi

    2017-08-01

    In general, the drop-in and cell-mounted assembly are used for standard and high performance optical system respectively. The optical performance is limited by the residual centration error and position accuracy of the conventional assembly. Recently, the poker chip assembly with high precision lens barrels that can overcome the limitation of conventional assembly is widely applied to ultra-high performance optical system. ITRC also develops the poker chip assembly solution for high numerical aperture objective lenses and lithography projection lenses. In order to achieve high precision lens cell for poker chip assembly, an alignment turning system (ATS) is developed. The ATS includes measurement, alignment and turning modules. The measurement module including a non-contact displacement sensor and an autocollimator can measure centration errors of the top and the bottom surface of a lens respectively. The alignment module comprising tilt and translation stages can align the optical axis of the lens to the rotating axis of the vertical lathe. The key specifications of the ATS are maximum lens diameter, 400mm, and radial and axial runout of the rotary table < 2 μm. The cutting performances of the ATS are surface roughness Ra < 1 μm, flatness < 2 μm, and parallelism < 5 μm. After measurement, alignment and turning processes on our ATS, the centration error of a lens cell with 200mm in diameter can be controlled in 10 arcsec. This paper also presents the thermal expansion of the hydrostatic rotating table. A poker chip assembly lens cell with three sub-cells is accomplished with average transmission centration error in 12.45 arcsec by fresh technicians. The results show that ATS can achieve high assembly efficiency for precision optical systems.

  3. High precision optical fiber alignment using tube laser bending

    NARCIS (Netherlands)

    Folkersma, Ger; Römer, Gerardus Richardus, Bernardus, Engelina; Brouwer, Dannis Michel; Herder, Justus Laurens

    2016-01-01

    In this paper, we present a method to align optical fibers within 0.2 μm of the optimal position, using tube laser bending and in situ measuring of the coupling efficiency. For near-UV wavelengths, passive alignment of the fibers with respect to the waveguides on photonic integrated circuit chips

  4. Self-adapting denoising, alignment and reconstruction in electron tomography in materials science

    Energy Technology Data Exchange (ETDEWEB)

    Printemps, Tony, E-mail: tony.printemps@cea.fr [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Mula, Guido [Dipartimento di Fisica, Università di Cagliari, Cittadella Universitaria, S.P. 8km 0.700, 09042 Monserrato (Italy); Sette, Daniele; Bleuet, Pierre; Delaye, Vincent; Bernier, Nicolas; Grenier, Adeline; Audoit, Guillaume; Gambacorti, Narciso; Hervé, Lionel [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France)

    2016-01-15

    An automatic procedure for electron tomography is presented. This procedure is adapted for specimens that can be fashioned into a needle-shaped sample and has been evaluated on inorganic samples. It consists of self-adapting denoising, automatic and accurate alignment including detection and correction of tilt axis, and 3D reconstruction. We propose the exploitation of a large amount of information of an electron tomography acquisition to achieve robust and automatic mixed Poisson–Gaussian noise parameter estimation and denoising using undecimated wavelet transforms. The alignment is made by mixing three techniques, namely (i) cross-correlations between neighboring projections, (ii) common line algorithm to get a precise shift correction in the direction of the tilt axis and (iii) intermediate reconstructions to precisely determine the tilt axis and shift correction in the direction perpendicular to that axis. Mixing alignment techniques turns out to be very efficient and fast. Significant improvements are highlighted in both simulations and real data reconstructions of porous silicon in high angle annular dark field mode and agglomerated silver nanoparticles in incoherent bright field mode. 3D reconstructions obtained with minimal user-intervention present fewer artefacts and less noise, which permits easier and more reliable segmentation and quantitative analysis. After careful sample preparation and data acquisition, the denoising procedure, alignment and reconstruction can be achieved within an hour for a 3D volume of about a hundred million voxels, which is a step toward a more routine use of electron tomography. - Highlights: • Goal: perform a reliable and user-independent 3D electron tomography reconstruction. • Proposed method: self-adapting denoising and alignment prior to 3D reconstruction. • Noise estimation and denoising are performed using wavelet transform. • Tilt axis determination is done automatically as well as projection alignment.

  5. Capillary self-alignment dynamics for R2R manufacturing of mesoscopic system-in-foil devices

    NARCIS (Netherlands)

    Arutinov, G.; Quintero, A.V.; Smits, E.C.P.; Remoortere, B. van; Brand, J. van den; Schoo, H.F.M.; Briand, D.; Rooij, N.F. de; Dietzel, A.H.

    2012-01-01

    This paper reports a study on the dynamics of foil-based functional component self-alignment onto patterned test substrates and its demonstration when integrating a flexible sensor onto a printed circuitry. We investigate the dependence of alignment time and final precision of stacking of mm- and

  6. Using ESTs for phylogenomics: Can one accurately infer a phylogenetic tree from a gappy alignment?

    Directory of Open Access Journals (Sweden)

    Hartmann Stefanie

    2008-03-01

    Full Text Available Abstract Background While full genome sequences are still only available for a handful of taxa, large collections of partial gene sequences are available for many more. The alignment of partial gene sequences results in a multiple sequence alignment containing large gaps that are arranged in a staggered pattern. The consequences of this pattern of missing data on the accuracy of phylogenetic analysis are not well understood. We conducted a simulation study to determine the accuracy of phylogenetic trees obtained from gappy alignments using three commonly used phylogenetic reconstruction methods (Neighbor Joining, Maximum Parsimony, and Maximum Likelihood and studied ways to improve the accuracy of trees obtained from such datasets. Results We found that the pattern of gappiness in multiple sequence alignments derived from partial gene sequences substantially compromised phylogenetic accuracy even in the absence of alignment error. The decline in accuracy was beyond what would be expected based on the amount of missing data. The decline was particularly dramatic for Neighbor Joining and Maximum Parsimony, where the majority of gappy alignments contained 25% to 40% incorrect quartets. To improve the accuracy of the trees obtained from a gappy multiple sequence alignment, we examined two approaches. In the first approach, alignment masking, potentially problematic columns and input sequences are excluded from from the dataset. Even in the absence of alignment error, masking improved phylogenetic accuracy up to 100-fold. However, masking retained, on average, only 83% of the input sequences. In the second approach, alignment subdivision, the missing data is statistically modelled in order to retain as many sequences as possible in the phylogenetic analysis. Subdivision resulted in more modest improvements to alignment accuracy, but succeeded in including almost all of the input sequences. Conclusion These results demonstrate that partial gene

  7. Using ESTs for phylogenomics: can one accurately infer a phylogenetic tree from a gappy alignment?

    Science.gov (United States)

    Hartmann, Stefanie; Vision, Todd J

    2008-03-26

    While full genome sequences are still only available for a handful of taxa, large collections of partial gene sequences are available for many more. The alignment of partial gene sequences results in a multiple sequence alignment containing large gaps that are arranged in a staggered pattern. The consequences of this pattern of missing data on the accuracy of phylogenetic analysis are not well understood. We conducted a simulation study to determine the accuracy of phylogenetic trees obtained from gappy alignments using three commonly used phylogenetic reconstruction methods (Neighbor Joining, Maximum Parsimony, and Maximum Likelihood) and studied ways to improve the accuracy of trees obtained from such datasets. We found that the pattern of gappiness in multiple sequence alignments derived from partial gene sequences substantially compromised phylogenetic accuracy even in the absence of alignment error. The decline in accuracy was beyond what would be expected based on the amount of missing data. The decline was particularly dramatic for Neighbor Joining and Maximum Parsimony, where the majority of gappy alignments contained 25% to 40% incorrect quartets. To improve the accuracy of the trees obtained from a gappy multiple sequence alignment, we examined two approaches. In the first approach, alignment masking, potentially problematic columns and input sequences are excluded from from the dataset. Even in the absence of alignment error, masking improved phylogenetic accuracy up to 100-fold. However, masking retained, on average, only 83% of the input sequences. In the second approach, alignment subdivision, the missing data is statistically modelled in order to retain as many sequences as possible in the phylogenetic analysis. Subdivision resulted in more modest improvements to alignment accuracy, but succeeded in including almost all of the input sequences. These results demonstrate that partial gene sequences and gappy multiple sequence alignments can pose a

  8. Mechanical Design, Simulation, and Testing of Self-Aligning Gaussian Telescope and Stand for ITER LFS Reflectometer Diagnostic

    Science.gov (United States)

    Broughton, Rachel; Gomez, Michael; Zolfaghari, Ali; Morris, Lewis

    2016-10-01

    A self-aligning Gaussian telescope has been designed to compensate for the effect of movement in the ITER vacuum vessel on the transmission line. The purpose of the setup is to couple microwaves into and out of the vessel across the vacuum windows while allowing for both slow movements of the vessel, due to thermal growth, and rapid movements, due to vibrations and disruptions. Additionally, a test stand has been designed specifically to hold this telescope in order to imitate these movements. Consequently, this will allow for the assessment of the efficacy in applying the self-aligning Gaussian telescope approach. The motions of the test stand, as well as the stress on the telescope mechanism, have been virtually simulated using ANSYS workbench. A prototype of this test stand and self-aligning telescope will be built using a combination of custom machined parts and ordered parts. The completed mechanism will be tested at the lab in four different ways: slow single- and multi-direction movements, rapid multi-direction movement, functional laser alignment and self-aligning tests, and natural frequency tests. Once the prototype successfully passes all requirements, it will be tested with microwaves in the LFSR transmission line test stand at General Atomics. This work is supported by US DOE Contract No. DE-AC02-09CH11466.

  9. On-Chip Single-Plasmon Nanocircuit Driven by a Self-Assembled Quantum Dot.

    Science.gov (United States)

    Wu, Xiaofei; Jiang, Ping; Razinskas, Gary; Huo, Yongheng; Zhang, Hongyi; Kamp, Martin; Rastelli, Armando; Schmidt, Oliver G; Hecht, Bert; Lindfors, Klas; Lippitz, Markus

    2017-07-12

    Quantum photonics holds great promise for future technologies such as secure communication, quantum computation, quantum simulation, and quantum metrology. An outstanding challenge for quantum photonics is to develop scalable miniature circuits that integrate single-photon sources, linear optical components, and detectors on a chip. Plasmonic nanocircuits will play essential roles in such developments. However, for quantum plasmonic circuits, integration of stable, bright, and narrow-band single photon sources in the structure has so far not been reported. Here we present a plasmonic nanocircuit driven by a self-assembled GaAs quantum dot. Through a planar dielectric-plasmonic hybrid waveguide, the quantum dot efficiently excites narrow-band single plasmons that are guided in a two-wire transmission line until they are converted into single photons by an optical antenna. Our work demonstrates the feasibility of fully on-chip plasmonic nanocircuits for quantum optical applications.

  10. MHz-level self-sustained pulsation in polymer microspheres on a chip

    Directory of Open Access Journals (Sweden)

    Zhou-Chen Luo

    2014-12-01

    Full Text Available We observe MHz-level periodic self-sustained pulsation (SSP in the transmission spectrum of a polydimethylsiloxane (PDMS spherical microcavity on a silicon chip, under a fixed-frequency continuous laser excitation. The SSP results from the strong competition between the thermo-optic and thermal expansion effects of PDMS within the cavity mode volume. The experimental results show good agreement with the theoretical prediction by considering the modification of the thermal expansion coefficient and the temperature distribution within the mode volume.

  11. Brain response to masked and unmasked facial emotions as a function of implicit and explicit personality self-concept of extraversion.

    Science.gov (United States)

    Suslow, Thomas; Kugel, Harald; Lindner, Christian; Dannlowski, Udo; Egloff, Boris

    2017-01-06

    Extraversion-introversion is a personality dimension referring to individual differences in social behavior. In the past, neurobiological research on extraversion was almost entirely based upon questionnaires which inform about the explicit self-concept. Today, indirect measures are available that tap into the implicit self-concept of extraversion which is assumed to result from automatic processing functions. In our study, brain activation while viewing facial expression of affiliation relevant (i.e., happiness, and disgust) and irrelevant (i.e., fear) emotions was examined as a function of the implicit and explicit self-concept of extraversion and processing mode (automatic vs. controlled). 40 healthy volunteers watched blocks of masked and unmasked emotional faces while undergoing functional magnetic resonance imaging. The Implicit Association Test and the NEO Five-Factor Inventory were applied as implicit and explicit measures of extraversion which were uncorrelated in our sample. Implicit extraversion was found to be positively associated with neural response to masked happy faces in the thalamus and temporo-parietal regions and to masked disgust faces in cerebellar areas. Moreover, it was positively correlated with brain response to unmasked disgust faces in the amygdala and cortical areas. Explicit extraversion was not related to brain response to facial emotions when controlling trait anxiety. The implicit compared to the explicit self-concept of extraversion seems to be more strongly associated with brain activation not only during automatic but also during controlled processing of affiliation relevant facial emotions. Enhanced neural response to facial disgust could reflect high sensitivity to signals of interpersonal rejection in extraverts (i.e., individuals with affiliative tendencies). Copyright © 2016 IBRO. Published by Elsevier Ltd. All rights reserved.

  12. A self-contained fully-enclosed microfluidic cartridge for lab on a chip.

    Science.gov (United States)

    Yobas, Levent; Cheow, Lih Feng; Tang, Kum-Cheong; Yong, Shien-Eit; Ong, Eleana Kye-Zheng; Wong, Lionel; Teo, William Cheng-Yong; Ji, Hongmiao; Rafeah, Siti; Yu, Chen

    2009-12-01

    We describe a self-contained fully-enclosed cartridge for lab-on-a-chip applications where sample and reagents can be applied sequentially as is performed in a heterogeneous immunoassay, or nucleic acid extraction. Both the self-contained and fully-enclosed features of the cartridge are sought to ensure its safe use in the field by unskilled staff. Simplicity in cartridge design and operation is obtained via adopting a valveless concept whereby reagents are stored and used in the form of liquid plugs isolated by air spacers around a fluidic loop. Functional components integrated in the loop include a microfluidic chip specific to the target application, a novel peristaltic pump to displace the liquid plugs, and a pair of removable tubing segments where one is used to introduce biological sample and while the other is to collect eluant. The novel pump is fabricated through soft-lithography technique and works by pinching a planar channel under stainless-steel ball bearings that have been magnetically loaded. The utility of the cartridge is demonstrated for automated extraction and purification of nucleic acids (DNA) from a cell lysate on a battery-operated portable system. The cartridge shown here can be further extended to sample-in-answer-out diagnostic tests.

  13. Advanced Nanofabrication Process Development for Self-Powered System-on-Chip

    KAUST Repository

    Rojas, Jhonathan Prieto

    2010-11-01

    In this work the development of a Self-Powered System-On-Chip is explored by examining two components of process development in different perspectives. On one side, an energy component is approached from a biochemical standpoint where a Microbial Fuel Cell (MFC) is built with standard microfabrication techniques, displaying a novel electrode based on Carbon Nanotubes (CNTs). The fabrication process involves the formation of a micrometric chamber that hosts an enhanced CNT-based anode. Preliminary results are promising, showing a high current density (113.6mA/m2) compared with other similar cells. Nevertheless many improvements can be done to the main design and further characterization of the anode will give a more complete understanding and bring the device closer to a practical implementation. On a second point of view, nano-patterning through silicon nitride spacer width control is developed, aimed at producing alternative sub-100nm device fabrication with the potential of further scaling thanks to nanowire based structures. These nanostructures are formed from a nano-pattern template, by using a bottom-up fabrication scheme. Uniformity and scalability of the process are demonstrated and its potential described. An estimated area of 0.120μm2 for a 6T-SRAM (Static Random Access Memory) bitcell (6 devices) can be achieved. In summary, by using a novel sustainable energy component and scalable nano-patterning for logic and computing module, this work has successfully collected the essential base knowledge and joined two different elements that synergistically will contribute for the future implementation of a Self-Powered System-on-Chip.

  14. Self-aligned BCB planarization method for high-frequency signal injection in a VCSEL with an integrated modulator

    Science.gov (United States)

    Marigo-Lombart, Ludovic; Doucet, Jean-Baptiste; Lecestre, Aurélie; Reig, Benjamin; Rousset, Bernard; Thienpont, Hugo; Panajotov, Krassimir; Almuneau, Guilhem

    2016-04-01

    The huge increase of datacom capacities requires lasers sources with more and more bandwidth performances. Vertical-Cavity Surface-Emitting Lasers (VCSEL) in direct modulation is a good candidate, already widely used for short communication links such as in datacenters. Recently several different approaches have been proposed to further extend the direct modulation bandwidth of these devices, by improving the VCSEL structure, or by combining the VCSEL with another high speed element such as lateral slow light modulator or transistor/laser based structure (TVCSEL). We propose to increase the modulation bandwidth by vertically integrating a continuous-wave VCSEL with a high-speed electro-modulator. This vertical structure implies multiple electrodes with sufficiently good electrical separation between the different input electrical signals. This high frequency modulation requires both good electrical insulation between metal electrodes and an optimized design of the coplanar lines. BenzoCyclobutene (BCB) thanks to its low dielectric constant, low losses, low moisture absorption and good thermal stability, is often used as insulating layer. Also, BCB planarization offers the advantages of simpler and more reliable technological process flow in such integrated VCSEL/modulator structures with important reliefs. As described by Burdeaux et al. a degree of planarization (DOP) of about 95% can be achieved by simple spin coating whatever the device thickness. In most of the cases, the BCB planarization process requires an additional photolithography step in order to open an access to the mesa surface, thus involving a tight mask alignment and resulting in a degraded planarization. In this paper, we propose a self-aligned process with improved BCB planarization by combining a hot isostatic pressing derived from nanoimprint techniques with a dry plasma etching step.

  15. Self-aligned periodic Ni nano dots embedded in nano-oxide layer

    International Nuclear Information System (INIS)

    Doi, M.; Izumi, M.; Kawasaki, S.; Miyake, K.; Sahashi, M.

    2007-01-01

    The Ni nano constriction dots embedded in the Ta-nano-oxide layer (NOL) was prepared by the ion beam sputtering (IBS) method. After the various conditions of the oxidations, the structural analyses of the NOL were performed by RHEED, AES and in situ STM/AFM observations. From the current image of the conductive AFM for NOL, the periodically aligned metallic dots with the size around 5-10 nm were successfully observed. The mechanism of the formation of the self-organized aligned Ni nano constriction dots is discussed from the standpoint of the grain size, the crystal orientation, the preferred oxidation of Ta at the diffused interface

  16. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    Science.gov (United States)

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  17. Voice Quality After a Semi-Occluded Vocal Tract Exercise With a Ventilation Mask in Contemporary Commercial Singers: Acoustic Analysis and Self-Assessments.

    Science.gov (United States)

    Fantini, Marco; Succo, Giovanni; Crosetti, Erika; Borragán Torre, Alfonso; Demo, Roberto; Fussi, Franco

    2017-05-01

    The current study aimed at investigating the immediate effects of a semi-occluded vocal tract exercise with a ventilation mask in a group of contemporary commercial singers. A randomized controlled study was carried out. Thirty professional or semi-professional singers with no voice complaints were randomly divided into two groups on recruitment: an experimental group and a control group. The same warm-up exercise was performed by the experimental group with an occluded ventilation mask placed over the nose and the mouth and by the control group without the ventilation mask. Voice was recorded before and after the exercise. Acoustic and self-assessment analysis were accomplished. The acoustic parameters of the voice samples recorded before and after training were compared, as well as the parameters' variations between the experimental and the control group. Self-assessment results of the experimental and the control group were compared too. Significant changes after the warm-up exercise included jitter, shimmer, and singing power ratio (SPR) in the experimental group. No significant changes were recorded in the control group. Significant differences between the experimental and the control group were found for ΔShimmer and ΔSPR. Self-assessment analysis confirmed a significantly higher phonatory comfort and voice quality perception for the experimental group. The results of the present study support the immediate advantageous effects on singing voice of a semi-occluded vocal tract exercise with a ventilation mask in terms of acoustic quality, phonatory comfort, and voice quality perception in contemporary commercial singers. Long-term effects still remain to be studied. Copyright © 2017 The Voice Foundation. Published by Elsevier Inc. All rights reserved.

  18. Tunneling spectroscopy of a germanium quantum dot in single-hole transistors with self-aligned electrodes

    International Nuclear Information System (INIS)

    Chen, G-L; Kuo, David M T; Lai, W-T; Li, P-W

    2007-01-01

    We have fabricated a Ge quantum dot (QD) (∼10 nm) single-hole transistor with self-aligned electrodes using thermal oxidation of a SiGe-on-insulator nanowire based on FinFET technology. This fabricated device exhibits clear Coulomb blockade oscillations with large peak-to-valley ratio (PVCR) of 250-750 and negative differential conductance with PVCR of ∼12 at room temperature. This reveals that the gate-induced tunneling barrier lowering is effectively suppressed due to the self-aligned electrode structure. The magnitude of tunneling current spectra also reveals the coupling strengths between the energy levels of the Ge QD and electrodes

  19. Computer-aided engineering system for design of sequence arrays and lithographic masks

    Science.gov (United States)

    Hubbell, Earl A.; Morris, MacDonald S.; Winkler, James L.

    1996-01-01

    An improved set of computer tools for forming arrays. According to one aspect of the invention, a computer system (100) is used to select probes and design the layout of an array of DNA or other polymers with certain beneficial characteristics. According to another aspect of the invention, a computer system uses chip design files (104) to design and/or generate lithographic masks (110).

  20. Face mask ventilation--the dos and don'ts.

    Science.gov (United States)

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  1. Superstructure of self-aligned hexagonal GaN nanorods formed on nitrided Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen; Tuteja, Mohit; Kesaria, Manoj; Waghmare, U. V.; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore 560 064 (India)

    2012-09-24

    We present here the spontaneous formation of catalyst-free, self-aligned crystalline (wurtzite) nanorods on Si(111) surfaces modified by surface nitridation. Nanorods grown by molecular beam epitaxy on bare Si(111) and non-stoichiometric silicon nitride interface are found to be single crystalline but disoriented. Those grown on single crystalline Si{sub 3}N{sub 4} intermediate layer are highly dense c-oriented hexagonal shaped nanorods. The morphology and the self-assembly of the nanorods shows an ordered epitaxial hexagonal superstructure, suggesting that they are nucleated at screw dislocations at the interface and grow spirally in the c-direction. The aligned nanorod assembly shows high-quality structural and optical emission properties.

  2. An analysis of the masking of speech by competing speech using self-report data (L)

    OpenAIRE

    Agus, Trevor R.; Akeroyd, Michael A.; Noble, William; Bhullar, Navjot

    2009-01-01

    Many of the items in the “Speech, Spatial, and Qualities of Hearing” scale questionnaire [S. Gatehouse and W. Noble, Int. J. Audiol.43, 85–99 (2004)] are concerned with speech understanding in a variety of backgrounds, both speech and nonspeech. To study if this self-report data reflected informational masking, previously collected data on 414 people were analyzed. The lowest scores (greatest difficulties) were found for the two items in which there were two speech targets, with successively ...

  3. Masking of aluminum surface against anodizing

    Science.gov (United States)

    Crawford, G. B.; Thompson, R. E.

    1969-01-01

    Masking material and a thickening agent preserve limited unanodized areas when aluminum surfaces are anodized with chromic acid. For protection of large areas it combines well with a certain self-adhesive plastic tape.

  4. Thin concentrator photovoltaic module with micro-solar cells which are mounted by self-align method using surface tension of melted solder

    Science.gov (United States)

    Hayashi, Nobuhiko; Terauchi, Masaharu; Aya, Youichirou; Kanayama, Shutetsu; Nishitani, Hikaru; Nakagawa, Tohru; Takase, Michihiko

    2017-09-01

    We are developing a thin and lightweight CPV module using small size lens system made from poly methyl methacrylate (PMMA) with a short focal length and micro-solar cells to decrease the transporting and the installing costs of CPV systems. In order to achieve high conversion efficiency in CPV modules using micro-solar cells, the micro-solar cells need to be mounted accurately to the irradiated region of the concentrated sunlight. In this study, we have successfully developed self-align method thanks to the surface tension of the melted solder even utilizing commercially available surface-mounting technology (SMT). Solar cells were self-aligned to the specified positions of the circuit board by this self-align method with accuracy within ±10 µm. We actually fabricated CPV modules using this self-align method and demonstrated high conversion efficiency of our CPV module.

  5. Small-scale, self-propagating combustion realized with on-chip porous silicon.

    Science.gov (United States)

    Piekiel, Nicholas W; Morris, Christopher J

    2015-05-13

    For small-scale energy applications, energetic materials represent a high energy density source that, in certain cases, can be accessed with a very small amount of energy input. Recent advances in microprocessing techniques allow for the implementation of a porous silicon energetic material onto a crystalline silicon wafer at the microscale; however, combustion at a small length scale remains to be fully investigated, particularly with regards to the limitations of increased relative heat loss during combustion. The present study explores the critical dimensions of an on-chip porous silicon energetic material (porous silicon + sodium perchlorate (NaClO4)) required to propagate combustion. We etched ∼97 μm wide and ∼45 μm deep porous silicon channels that burned at a steady rate of 4.6 m/s, remaining steady across 90° changes in direction. In an effort to minimize the potential on-chip footprint for energetic porous silicon, we also explored the minimum spacing between porous silicon channels. We demonstrated independent burning of porous silicon channels at a spacing of 0.5 m on a chip surface area of 1.65 cm(2). Smaller porous silicon channels of ∼28 μm wide and ∼14 μm deep were also utilized. These samples propagated combustion, but at times, did so unsteadily. This result may suggest that we are approaching a critical length scale for self-propagating combustion in a porous silicon energetic material.

  6. 42 CFR 84.75 - Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Half-mask facepieces, full facepieces, mouthpieces... RESPIRATORY PROTECTIVE DEVICES Self-Contained Breathing Apparatus § 84.75 Half-mask facepieces, full facepieces, mouthpieces; fit; minimum requirements. (a) Half-mask facepieces and full facepieces shall be...

  7. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  8. Mask design and fabrication in coded aperture imaging

    International Nuclear Information System (INIS)

    Shutler, Paul M.E.; Springham, Stuart V.; Talebitaher, Alireza

    2013-01-01

    We introduce the new concept of a row-spaced mask, where a number of blank rows are interposed between every pair of adjacent rows of holes of a conventional cyclic difference set based coded mask. At the cost of a small loss in signal-to-noise ratio, this can substantially reduce the number of holes required to image extended sources, at the same time increasing mask strength uniformly across the aperture, as well as making the mask automatically self-supporting. We also show that the Finger and Prince construction can be used to wrap any cyclic difference set onto a two-dimensional mask, regardless of the number of its pixels. We use this construction to validate by means of numerical simulations not only the performance of row-spaced masks, but also the pixel padding technique introduced by in ’t Zand. Finally, we provide a computer program CDSGEN.EXE which, on a fast modern computer and for any Singer set of practical size and open fraction, generates the corresponding pattern of holes in seconds

  9. Flow-driven alignment of carbon nanotubes during floating evaporative self assembly

    Science.gov (United States)

    Berson, Arganthael; Jinkins, Katherine; Chan, Jason; Brady, Gerald; Gronski, Kjerstin; Gopalan, Padma; Evensen, Harold; Arnold, Michael

    2017-11-01

    Individual semi-conducting single-wall carbon nanotubes (s-SWCNTs) exhibit exceptional electronic properties, which makes them promising candidates for the next generation of semi-conductor electronics. In practice, field-effect transistors (FETs) are fabricated from arrays of s-SWCNTs deposited onto a substrate. In order to achieve high electronic performance, the s-SWCNTs in these arrays must be densely packed and well aligned. Floating Evaporative Self Assembly (FESA) is a new deposition technique developed at the UW-Madison that can achieve such high-quality s-SWCNT alignment. For example, it was used to fabricate the first s-SWCNT-based FETs to outperform gallium arsenide and silicon FETs. In FESA, a droplet of ink containing the s-SWCNTs is deposited onto a pool of water. The ink spreads on the water surface towards a substrate that is vertically pulled out of the water. A band of aligned s-SWCNTs is deposited with each drop of ink. High-speed imaging is combined with cross-polarized microscopy to elucidate the mechanisms behind the exceptional alignment of s-SWCNTs. Two key mechanisms are 1) the collection of s-SWCNTs at the ink-water interface and 2) the depinning of the air-ink-substrate contact line. Avenues for scaling up FESA will be presented.

  10. Multimedia-Based Chip Design Education.

    Science.gov (United States)

    Catalkaya, Tamer; Golze, Ulrich

    This paper focuses on multimedia computer-based training programs on chip design. Their development must be fast and economical, in order to be affordable by technical university institutions. The self-produced teaching program Illusion, which demonstrates a monitor controller as an example of a small but complete chip design, was implemented to…

  11. A Framework for Evaluating and Enhancing Alignment in Self-Regulated Learning Research

    Science.gov (United States)

    Dent, Amy L.; Hoyle, Rick H.

    2015-01-01

    We discuss the articles of this special issue with reference to an important yet previously only implicit dimension of study quality: alignment across the theoretical and methodological decisions that collectively define an approach to self-regulated learning. Integrating and extending work by leaders in the field, we propose a framework for…

  12. Pattern optimizing verification of self-align quadruple patterning

    Science.gov (United States)

    Yamato, Masatoshi; Yamada, Kazuki; Oyama, Kenichi; Hara, Arisa; Natori, Sakurako; Yamauchi, Shouhei; Koike, Kyohei; Yaegashi, Hidetami

    2017-03-01

    Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.

  13. Quasi-periodic synchronisation of self-modulation oscillations in a ring chip laser by an external periodic signal

    International Nuclear Information System (INIS)

    Aulova, T V; Kravtsov, Nikolai V; Lariontsev, E G; Chekina, S N

    2011-01-01

    The synchronisation of periodic self-modulation oscillations in a ring Nd:YAG chip laser under an external periodic signal modulating the pump power has been experimentally investigated. A new quasi-periodic regime of synchronisation of self-modulation oscillations is found. The characteristic features of the behaviour of spectral and temporal structures of synchronised quasi-periodic oscillations with a change in the external signal frequency are studied. (control of laser radiation parameters)

  14. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  15. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  16. Prototype of an energy enhancer for mask based laser materials processing

    DEFF Research Database (Denmark)

    Bastue, Jens; Olsen, Flemmming Ove

    1997-01-01

    In general mask based laser material processing (MBLMP) is a process which suffers from a low energy efficiency, because the majority of the laser light is absorbed in or reflected by the mask. We have developed a device called an energy enhancer which is capable of improving the energy efficienc...... component reflectivity and alignment sensitivity are investigated in order to evaluate the possibility of making commercial use of the device. The obtainable image quality and how this is influenced by the focusing and imaging system is discussed in some detail....... by a factor of 2 - 4 for a typical TEA-CO2 system for mask based laser marking. A simple ray-tracing model has been built in order to design and optimise the energy enhancer. Thus we present experimental results as well as simulations and show fine accordance between the two. Important system parameters like...

  17. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  18. Self-Aligned Metal Electrodes in Fully Roll-to-Roll Processed Organic Transistors

    Directory of Open Access Journals (Sweden)

    Marja Vilkman

    2016-01-01

    Full Text Available We demonstrate the production of organic bottom gate transistors with self-aligned electrodes, using only continuous roll-to-roll (R2R techniques. The self-alignment allows accurate <5 µm layer-to-layer registration, which is usually a challenge in high-speed R2R environments as the standard registration methods are limited to the millimeter range—or, at best, to tens of µm if online cameras and automatic web control are utilized. The improved registration enables minimizing the overlap between the source/drain electrodes and the gate electrode, which is essential for minimizing the parasitic capacitance. The complete process is a combination of several techniques, including evaporation, reverse gravure, flexography, lift-off, UV exposure and development methods—all transferred to a continuous R2R pilot line. Altogether, approximately 80 meters of devices consisting of thousands of transistors were manufactured in a roll-to-roll fashion. Finally, a cost analysis is presented in order to ascertain the main costs and to predict whether the process would be feasible for the industrial production of organic transistors.

  19. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  20. Uncertainty evaluation in the self-alignment test of the upper plate of a press

    International Nuclear Information System (INIS)

    Lourenço, Alexandre S; E Sousa, J Alves

    2015-01-01

    This paper describes a method to evaluate uncertainty of the self-alignment test of the upper plate of a press according to EN 12390-4:2000. The method, the algorithms and the sources of uncertainty are described

  1. MEMS Integrated Submount Alignment for Optoelectronics

    Science.gov (United States)

    Shakespeare, W. Jeffrey; Pearson, Raymond A.; Grenestedt, Joachim L.; Hutapea, Parsaoran; Gupta, Vikas

    2005-02-01

    One of the most expensive and time-consuming production processes for single-mode fiber-optic components is the alignment of the photonic chip or waveguide to the fiber. The alignment equipment is capital intensive and usually requires trained technicians to achieve desired results. Current technology requires active alignment since tolerances are only ~0.2 μ m or less for a typical laser diode. This is accomplished using piezoelectric actuated stages and active optical feedback. Joining technologies such as soldering, epoxy bonding, or laser welding may contribute significant postbond shift, and final coupling efficiencies are often less than 80%. This paper presents a method of adaptive optical alignment to freeze in place directly on an optical submount using a microelectromechanical system (MEMS) shape memory alloy (SMA) actuation technology. Postbond shift is eliminated since the phase change is the alignment actuation. This technology is not limited to optical alignment but can be applied to a variety of MEMS actuations, including nano-actuation and nano-alignment for biomedical applications. Experimental proof-of-concept results are discussed, and a simple analytical model is proposed to predict the stress strain behavior of the optical submount. Optical coupling efficiencies and alignment times are compared with traditional processes. The feasibility of this technique in high-volume production is discussed.

  2. Pigtailing of integrated optical components

    DEFF Research Database (Denmark)

    Zenth, Karin

    2001-01-01

    , but also a silicon motherboard for laser diode pigtailing and a Variable Optical Attenuator have been realized. The pigtailing method consists of three major parts: a waveguide chip with alignment trenches, a fiber array with alignment trenches, and a top plate with alignment rails. The top plate aligns....... The fiber array carrier and the top plate are fabricated by potassium hydroxide (KOH) etching. A method to align the mask pattern to the crystal orientation of the silicon substrate has been implemented. The impact of the etch of the nitride layer, used as an etch mask in KOH, on the line widths...... of the critical structures has been studied. The influence of the process parameters of a RIE etch process has been investigated with respect to the etch rate uniformity. After processing the variation of the line widths of the critical structures on the fiber array carrier and the top plate is determined...

  3. Fiber‐free coupling between bulk laser beams and on‐chip polymer‐based multimode waveguides

    DEFF Research Database (Denmark)

    Jensen, Thomas Glasdam; Nielsen, Lars Bue; Kutter, Jörg Peter

    2011-01-01

    light from a bulk beam to on‐chip waveguides and back into a bulk beam again. Using this setup, as much as 20% of the light coming from the source can be retrieved after passing through the on‐chip waveguides. The proposed setup is based on a pin‐aided alignment system that makes it possible to change...

  4. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  5. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  6. Dry-film polymer waveguide for silicon photonics chip packaging.

    Science.gov (United States)

    Hsu, Hsiang-Han; Nakagawa, Shigeru

    2014-09-22

    Polymer waveguide made by dry film process is demonstrated for silicon photonics chip packaging. With 8 μm × 11.5 μm core waveguide, little penalty is observed up to 25 Gbps before or after the light propagate through a 10-km long single-mode fiber (SMF). Coupling loss to SMF is 0.24 dB and 1.31 dB at the polymer waveguide input and output ends, respectively. Alignment tolerance for 0.5 dB loss increase is +/- 1.0 μm along both vertical and horizontal directions for the coupling from the polymer waveguide to SMF. The dry-film polymer waveguide demonstrates promising performance for silicon photonics chip packaging used in next generation optical multi-chip module.

  7. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    International Nuclear Information System (INIS)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-01-01

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  8. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-03-04

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  9. Fitting in and feeling good: the relationships among peer alignment, instructor connectedness, and self-efficacy in undergraduate satisfaction with engineering

    Science.gov (United States)

    Micari, Marina; Pazos, Pilar

    2016-07-01

    This study examined the relationships among peer alignment (the feeling that one is similar in important ways to one's engineering peers), instructor connectedness (the sense that one knows and looks up to academic staff/faculty members in the department), self-efficacy for engineering class work (confidence in one's ability to successfully complete engineering class work), and engineering students' satisfaction with the major. A total of 135 sophomore (second-year university students) and junior (third-year students) engineering students were surveyed to measure these three variables. A multiple regression analysis showed that self-efficacy, peer alignment, and instructor connectedness predicted student satisfaction with the major, and that self-efficacy acted as a mediator between both peer alignment and instructor connectedness on the one hand, and satisfaction on the other. The authors offer suggestions for practice based on the results.

  10. ASSESSMENT OF THE MOISTURE EFFECT ON GASEOUS PRODUCTS OF SELF-HEATING OF WOOD CHIPS

    Directory of Open Access Journals (Sweden)

    Hana VĚŽNÍKOVÁ

    2017-12-01

    Full Text Available Biofuels are stored in large quantities and may be susceptible to self-ignition. The possible methods of indication of temperature increase include the analysis of the gaseous products of heating where concentrations of certain gases may increase with increasing temperature. Gas release is also affected by the moisture of the material given that the moisture level changes surface accessibility for oxygen on the one side and serves as a catalyst of the oxidation reactions on the other. The present project analysed the effect of temperature and moisture on gaseous products of heating of wood chips, one of frequently used biofuels, with the aim to determine a suitable gaseous indicator of beginning self-ignition.

  11. Collinear masking effect in visual search is independent of perceptual salience.

    Science.gov (United States)

    Jingling, Li; Lu, Yi-Hui; Cheng, Miao; Tseng, Chia-Huei

    2017-07-01

    Searching for a target in a salient region should be easier than looking for one in a nonsalient region. However, we previously discovered a contradictory phenomenon in which a local target in a salient structure was more difficult to find than one in the background. The salient structure was constructed of orientation singletons aligned to each other to form a collinear structure. In the present study, we undertake to determine whether such a masking effect was a result of salience competition between a global structure and the local target. In the first 3 experiments, we increased the salience value of the local target with the hope of adding to its competitive advantage and eventually eliminating the masking effect; nevertheless, the masking effect persisted. In an additional 2 experiments, we reduced salience of the global collinear structure by altering the orientation of the background bars and the masking effect still emerged. Our salience manipulations were validated by a controlled condition in which the global structure was grouped noncollinearly. In this case, local target salience increase (e.g., onset) or global distractor salience reduction (e.g., randomized flanking orientations) effectively removed the facilitation effect of the noncollinear structure. Our data suggest that salience competition is unlikely to explain the collinear masking effect, and other mechanisms such as contour integration, border formation, or the crowding effect may be prospective candidates for further investigation.

  12. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  13. Desktop aligner for fabrication of multilayer microfluidic devices.

    Science.gov (United States)

    Li, Xiang; Yu, Zeta Tak For; Geraldo, Dalton; Weng, Shinuo; Alve, Nitesh; Dun, Wu; Kini, Akshay; Patel, Karan; Shu, Roberto; Zhang, Feng; Li, Gang; Jin, Qinghui; Fu, Jianping

    2015-07-01

    Multilayer assembly is a commonly used technique to construct multilayer polydimethylsiloxane (PDMS)-based microfluidic devices with complex 3D architecture and connectivity for large-scale microfluidic integration. Accurate alignment of structure features on different PDMS layers before their permanent bonding is critical in determining the yield and quality of assembled multilayer microfluidic devices. Herein, we report a custom-built desktop aligner capable of both local and global alignments of PDMS layers covering a broad size range. Two digital microscopes were incorporated into the aligner design to allow accurate global alignment of PDMS structures up to 4 in. in diameter. Both local and global alignment accuracies of the desktop aligner were determined to be about 20 μm cm(-1). To demonstrate its utility for fabrication of integrated multilayer PDMS microfluidic devices, we applied the desktop aligner to achieve accurate alignment of different functional PDMS layers in multilayer microfluidics including an organs-on-chips device as well as a microfluidic device integrated with vertical passages connecting channels located in different PDMS layers. Owing to its convenient operation, high accuracy, low cost, light weight, and portability, the desktop aligner is useful for microfluidic researchers to achieve rapid and accurate alignment for generating multilayer PDMS microfluidic devices.

  14. Transcript profiling of two alfalfa genotypes with contrasting cell wall composition in stems using a cross-species platform: optimizing analysis by masking biased probes

    Directory of Open Access Journals (Sweden)

    Jung Hans-Joachim G

    2010-05-01

    Full Text Available Abstract Background The GeneChip® Medicago Genome Array, developed for Medicago truncatula, is a suitable platform for transcript profiling in tetraploid alfalfa [Medicago sativa (L. subsp. sativa]. However, previous research involving cross-species hybridization (CSH has shown that sequence variation between two species can bias transcript profiling by decreasing sensitivity (number of expressed genes detected and the accuracy of measuring fold-differences in gene expression. Results Transcript profiling using the Medicago GeneChip® was conducted with elongating stem (ES and post-elongation stem (PES internodes from alfalfa genotypes 252 and 1283 that differ in stem cell wall concentrations of cellulose and lignin. A protocol was developed that masked probes targeting inter-species variable (ISV regions of alfalfa transcripts. A probe signal intensity threshold was selected that optimized both sensitivity and accuracy. After masking for both ISV regions and previously identified single-feature polymorphisms (SFPs, the number of differentially expressed genes between the two genotypes in both ES and PES internodes was approximately 2-fold greater than the number detected prior to masking. Regulatory genes, including transcription factor and receptor kinase genes that may play a role in development of secondary xylem, were significantly over-represented among genes up-regulated in 252 PES internodes compared to 1283 PES internodes. Several cell wall-related genes were also up-regulated in genotype 252 PES internodes. Real-time quantitative RT-PCR of differentially expressed regulatory and cell wall-related genes demonstrated increased sensitivity and accuracy after masking for both ISV regions and SFPs. Over 1,000 genes that were differentially expressed in ES and PES internodes of genotypes 252 and 1283 were mapped onto putative orthologous loci on M. truncatula chromosomes. Clustering simulation analysis of the differentially expressed genes

  15. A polymer chip-integrable piezoelectric micropump with low backpressure dependence

    DEFF Research Database (Denmark)

    Conde, A. J.; Bianchetti, A.; Veiras, F. E.

    2015-01-01

    We describe a piezoelectric micropump constructed in polymers with conventional machining methods. The micropump is self-contained and can be built as an independent device or as an on-chip module within laminated microfluidic chips. We demonstrate on-chip integrability by the fabrication and tes...

  16. Health care workers' influenza vaccination: motivations and mandatory mask policy.

    Science.gov (United States)

    Dorribo, V; Lazor-Blanchet, C; Hugli, O; Zanetti, G

    2015-12-01

    Vaccination of health care workers (HCW) against seasonal influenza (SI) is recommended but vaccination rate rarely reach >30%. Vaccination coverage against 2009 pandemic influenza (PI) was 52% in our hospital, whilst a new policy requiring unvaccinated HCW to wear a mask during patient care duties was enforced. To investigate the determinants of this higher vaccination acceptance for PI and to look for an association with the new mask-wearing policy. A retrospective cohort study, involving HCW of three critical departments of a 1023-bed, tertiary-care university hospital in Switzerland. Self-reported 2009-10 SI and 2009 PI vaccination statuses, reasons and demographic data were collected through a literature-based questionnaire. Descriptive statistics, uni- and multivariate analyses were then performed. There were 472 respondents with a response rate of 54%. Self-reported vaccination acceptance was 64% for PI and 53% for SI. PI vaccination acceptance was associated with being vaccinated against SI (OR 9.5; 95% CI 5.5-16.4), being a physician (OR 7.7; 95% CI 3.1-19.1) and feeling uncomfortable wearing a mask (OR 1.7; 95% CI 1.0-2.8). Main motives for refusing vaccination were: preference for wearing a surgical mask (80% for PI, not applicable for SI) and concerns about vaccine safety (64%, 50%) and efficacy (44%, 35%). The new mask-wearing policy was a motivation for vaccination but also offered an alternative to non-compliant HCW. Concerns about vaccine safety and efficiency and self-interest of health care workers are still main determinants for influenza vaccination acceptance. Better incentives are needed to encourage vaccination amongst non-physician HCW. © The Author 2015. Published by Oxford University Press on behalf of the Society of Occupational Medicine. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  17. Shadow mask evaporation through monolayer modified nanostencils

    NARCIS (Netherlands)

    Kolbel, M.; Tjerkstra, R.W.; Brugger, J.P.; van Rijn, C.J.M.; Nijdam, W.; Huskens, Jurriaan; Reinhoudt, David

    2002-01-01

    Gradual clogging of the apertures of nanostencils used as miniature shadow masks in metal evaporations can be reduced by coating the stencil with self-assembled monolayers (SAM). This is quantified by the dimensions (height and volume) of gold features obtained by nanostencil evaporation as measured

  18. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  19. Automated alignment system for optical wireless communication systems using image recognition.

    Science.gov (United States)

    Brandl, Paul; Weiss, Alexander; Zimmermann, Horst

    2014-07-01

    In this Letter, we describe the realization of a tracked line-of-sight optical wireless communication system for indoor data distribution. We built a laser-based transmitter with adaptive focus and ray steering by a microelectromechanical systems mirror. To execute the alignment procedure, we used a CMOS image sensor at the transmitter side and developed an algorithm for image recognition to localize the receiver's position. The receiver is based on a self-developed optoelectronic integrated chip with low requirements on the receiver optics to make the system economically attractive. With this system, we were able to set up the communication link automatically without any back channel and to perform error-free (bit error rate <10⁻⁹) data transmission over a distance of 3.5 m with a data rate of 3 Gbit/s.

  20. Active-duty military service members' visual representations of PTSD and TBI in masks.

    Science.gov (United States)

    Walker, Melissa S; Kaimal, Girija; Gonzaga, Adele M L; Myers-Coffman, Katherine A; DeGraba, Thomas J

    2017-12-01

    Active-duty military service members have a significant risk of sustaining physical and psychological trauma resulting in traumatic brain injury (TBI) and post-traumatic stress disorder (PTSD). Within an interdisciplinary treatment approach at the National Intrepid Center of Excellence, service members participated in mask making during art therapy sessions. This study presents an analysis of the mask-making experiences of service members (n = 370) with persistent symptoms from combat- and mission-related TBI, PTSD, and other concurrent mood issues. Data sources included mask images and therapist notes collected over a five-year period. The data were coded and analyzed using grounded theory methods. Findings indicated that mask making offered visual representations of the self related to individual personhood, relationships, community, and society. Imagery themes referenced the injury, relational supports/losses, identity transitions/questions, cultural metaphors, existential reflections, and conflicted sense of self. These visual insights provided an increased understanding of the experiences of service members, facilitating their recovery.

  1. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  2. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  3. Fabrication and characterization of SPR chips with the modified bovine serum albumin

    Science.gov (United States)

    Chen, Xing; Zhang, Lu-lu; Cui, Da-fu

    2016-03-01

    A facile surface plasmon resonance (SPR) chip is developed for small molecule determination and analysis. The SPR chip was prepared based on a self assembling principle, in which the modified bovine serum albumin (BSA) was directly self-assembled onto the bare gold surface. The surface morphology of the chip with the modified BSA was investigated by atomic force microscopy (AFM) and its optical properties were characterized. The surface binding capacity of the bare facile SPR chip with a uniform morphology is 8 times of that of the bare control SPR chip. Based on the experiments of immune reaction between cortisol antibody and cortisol derivative, the sensitivity of the facile SPR chip with the modified BSA is much higher than that of the control SPR chip with the un-modified BSA. The facile SPR chip has been successfully used to detect small molecules. The lowest detection limit is 5 ng/mL with a linear range of 5—100 ng/mL for cortisol analysis. The novel facile SPR chip can also be applied to detect other small molecules.

  4. Method of making a self-aligned schottky metal semi-conductor field effect transistor with buried source and drain

    International Nuclear Information System (INIS)

    Bol, I.

    1984-01-01

    A semi-conductor structure and particularly a high speed VLSI Self-Aligned Schottky Metal Semi-Conductor Field Effect Transistor with buried source and drain, fabricated by the ion implantation of source and drain areas at a predetermined range of depths followed by very localized laser annealing to electrically reactivate the amorphous buried source and drain areas thereby providing effective vertical separation of the channel from the buried source and drain respectively. Accordingly, spatial separations between the self-aligned gate-to-drain, and gate-to-source can be relatively very closely controlled by varying the doping intensity and duration of the implantation thereby reducing the series resistance and increasing the operating speed

  5. Variable self-powered light detection CMOS chip with real-time adaptive tracking digital output based on a novel on-chip sensor.

    Science.gov (United States)

    Wang, HongYi; Fan, Youyou; Lu, Zhijian; Luo, Tao; Fu, Houqiang; Song, Hongjiang; Zhao, Yuji; Christen, Jennifer Blain

    2017-10-02

    This paper provides a solution for a self-powered light direction detection with digitized output. Light direction sensors, energy harvesting photodiodes, real-time adaptive tracking digital output unit and other necessary circuits are integrated on a single chip based on a standard 0.18 µm CMOS process. Light direction sensors proposed have an accuracy of 1.8 degree over a 120 degree range. In order to improve the accuracy, a compensation circuit is presented for photodiodes' forward currents. The actual measurement precision of output is approximately 7 ENOB. Besides that, an adaptive under voltage protection circuit is designed for variable supply power which may undulate with temperature and process.

  6. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  7. Self-driven filter-based blood plasma separator microfluidic chip for point-of-care testing

    International Nuclear Information System (INIS)

    Madadi, Hojjat; Casals-Terré, Jasmina; Mohammadi, Mahdi

    2015-01-01

    There is currently a growing need for lab-on-a-chip devices for use in clinical analysis and diagnostics, especially in the area of patient care. The first step in most blood assays is plasma extraction from whole blood. This paper presents a novel, self-driven blood plasma separation microfluidic chip, which can extract more than 0.1 μl plasma from a single droplet of undiluted fresh human blood (∼5 μl). This volume of blood plasma is extracted from whole blood with high purity (more than 98%) in a reasonable time frame (3 to 5 min), and without the need for any external force. This would be the first step towards the realization of a single-use, self-blood test that does not require any external force or power source to deliver and analyze a fresh whole-blood sample, in contrast to the existing time-consuming conventional blood analysis. The prototypes are manufactured in polydimethylsiloxane that has been modified with a strong nonionic surfactant (Silwet L-77) to achieve hydrophilic behavior. The main advantage of this microfluidic chip design is the clogging delay in the filtration area, which results in an increased amount of extracted plasma (0.1 μl). Moreover, the plasma can be collected in one or more 10 μm-deep channels to facilitate the detection and readout of multiple blood assays. This high volume of extracted plasma is achieved thanks to a novel design that combines maximum pumping efficiency without disturbing the red blood cells’ trajectory through the use of different hydrodynamic principles, such as a constriction effect and a symmetrical filtration mode. To demonstrate the microfluidic chip’s functionality, we designed and fabricated a novel hybrid microdevice that exhibits the benefits of both microfluidics and lateral flow immunochromatographic tests. The performance of the presented hybrid microdevice is validated using rapid detection of thyroid stimulating hormone within a single droplet of whole blood. (paper)

  8. Self-learning computers for surgical planning and prediction of postoperative alignment.

    Science.gov (United States)

    Lafage, Renaud; Pesenti, Sébastien; Lafage, Virginie; Schwab, Frank J

    2018-02-01

    In past decades, the role of sagittal alignment has been widely demonstrated in the setting of spinal conditions. As several parameters can be affected, identifying the driver of the deformity is the cornerstone of a successful treatment approach. Despite the importance of restoring sagittal alignment for optimizing outcome, this task remains challenging. Self-learning computers and optimized algorithms are of great interest in spine surgery as in that they facilitate better planning and prediction of postoperative alignment. Nowadays, computer-assisted tools are part of surgeons' daily practice; however, the use of such tools remains to be time-consuming. NARRATIVE REVIEW AND RESULTS: Computer-assisted methods for the prediction of postoperative alignment consist of a three step analysis: identification of anatomical landmark, definition of alignment objectives, and simulation of surgery. Recently, complex rules for the prediction of alignment have been proposed. Even though this kind of work leads to more personalized objectives, the number of parameters involved renders it difficult for clinical use, stressing the importance of developing computer-assisted tools. The evolution of our current technology, including machine learning and other types of advanced algorithms, will provide powerful tools that could be useful in improving surgical outcomes and alignment prediction. These tools can combine different types of advanced technologies, such as image recognition and shape modeling, and using this technique, computer-assisted methods are able to predict spinal shape. The development of powerful computer-assisted methods involves the integration of several sources of information such as radiographic parameters (X-rays, MRI, CT scan, etc.), demographic information, and unusual non-osseous parameters (muscle quality, proprioception, gait analysis data). In using a larger set of data, these methods will aim to mimic what is actually done by spine surgeons, leading

  9. Role of substrate aspect ratio on the robustness of capillary alignment

    International Nuclear Information System (INIS)

    Broesch, David J.; Shiang, Edward; Frechette, Joelle

    2014-01-01

    Capillary forces associated with liquid bridges formed across solid substrates are routinely exploited to align and assemble micro- and nanoscale devices. The magnitude of these forces plays a critical role in minimizing substrate misalignment and therefore should be controlled for robust and reliable fabrication process. We explore through simulations and experiments the role of the substrate aspect ratio (L/W) on capillary restoring forces and torques. Simulations show that increasing the aspect ratio of the substrates increases the capillary torques and forces when the substrates are misaligned through either lateral or rotational perturbations. The effect of substrate area, perimeter, and liquid volume are also systematically explored to show that the increase in restoring torque is caused by an increase in aspect ratio. A simple theoretical model based on the geometry of the system shows excellent agreement with Surface Evolver simulations. Finally, parameters from experimental flip-chip devices [Josell, D. Wallace, W.E. Warren, J.A. Wheeler, D. Powell, A.C. J. Electron. Packag. 124, 227, (2002)] are used in our simulations to show how current capillary self-alignment schemes could benefit from using rectangular substrate shapes with aspect ratio greater than one

  10. FIR Filter Sharpening by Frequency Masking and Pipelining-Interleaving Technique

    Directory of Open Access Journals (Sweden)

    CIRIC, M. P.

    2014-11-01

    Full Text Available This paper focuses on the improvements of digital filters with a highly sharp transition zone on the Xilinx FPGA chips by combining a sharpening method based on the amplitude change function and frequency masking and PI (Pipelining-Interleaving techniques. A linear phase requires digital filter realizations with Finite Impulse Response (FIR filters. On the other hand, a drawback of FIR filters applications is a low computational efficiency, especially in applications such as filter sharpening techniques, because this technique uses processing the data by repeated passes through the same filter. Computational efficiency of FIR filters can be significantly improved by using some of the multirate techniques, and such a degree of computation savings cannot be achieved in multirate implementations of IIR (Infinite Impulse Response filters. This paper shows the realization of a filter sharpening method with FIR filters combined with frequency masking and PI (Pipelining-Interleaving technique in order to effectively realize the filter with improved characteristic. This realization at the same time keeps the good features of FIR filters such as the linear phase characteristic.

  11. Self-Positioned Nanosized Mask for Transparent and Flexible Ferroelectric Polymer Nanodiodes Array.

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Choi, Chungryong; Vincent Joseph, Kanniyambatti L; Kim, Yunseok; Kim, Jin Kon

    2016-10-12

    High density arrays of ferroelectric polymer nanodiodes have gained strong attention for next-generation transparent and flexible nonvolatile resistive memory. Here, we introduce a facile and innovative method to fabricate ferroelectric polymer nanodiode array on an ITO-coated poly(ethylene terephthalate) (PET) substrate by using block copolymer self-assembly and oxygen plasma etching. First, polystyrene-block-poly(2-vinylpyridine) copolymer (PS-b-P2VP) micelles were spin-coated on poly(vinylidene fluoride-ran-trifluoroethylene) copolymer (P(VDF-TrFE)) film/ITO-coated PET substrate. After the sample was immersed in a gold precursor (HAuCl 4 ) containing solution, which strongly coordinates with nitrogen group in P2VP, oxygen plasma etching was performed. During the plasma etching, coordinated gold precursors became gold nanoparticles (GNPs), which successfully acted as self-positioned etching mask to fabricate a high density array of P(VDF-TrFE)) nanoislands with GNP at the top. Each nanoisland shows clearly individual diode property, as confirmed by current-voltage (I-V) curve. Furthermore, due to the transparent and flexible nature of P(VDF-TrFE)) nanoisland as well as the substrate, the P(VDF-TrFE) nanodiode array was highly tranparent, and the diode property was maintained even after a large number of bendings (for instance, 1000 times). The array could be used as the next-generation tranparent and flexible nonvolatile memory device.

  12. Construction of a liver sinusoid based on the laminar flow on chip and self-assembly of endothelial cells.

    Science.gov (United States)

    Mi, Shengli; Yi, Xiaoman; Du, Zhichang; Xu, Yuanyuan; Sun, Wei

    2018-02-20

    The liver is one of the main metabolic organs, and nearly all ingested drugs will be metabolized by the liver. Only a small fraction of drugs are able to come onto the market during drug development, and hepatic toxicity is a major cause for drug failure. Since drug development is costly in both time and materials, an in vitro liver model that can accelerate bioreactions in the liver and reduce drug consumption is imperative in the pharmaceutical industry. The liver on a chip is an ideal alternative for its controllable environment and tiny size, which means constructing a more biomimetic model, reducing material consumption as well as promoting drug diffusion and reaction. In this study, taking advantage of the laminar flow on chips and using natural degradable gel rat tail Collagen-I, we constructed a liver sinusoid on a chip. By synchronously injecting two kinds of cell-laden collagen, HepG2-laden collagen and HUVEC-laden collagen, we formed two collagen layers with a clear borderline. By controlling the HUVEC density and injection of growth factors, HUVECs in collagen formed a monolayer through self-assembly. Thus, a liver sinusoid on a chip was achieved in a more biomimetic environment with a more controllable and uniform distribution of discrete HUVECs. Viability, album secretion and urea synthesis of the live sinusoid on a chip were analysed on days 3, 5 and 7 after collagen injection with acetaminophen treatment at 0 (control), 10 and 20 mM. The results indicated that our liver sinusoid on a chip was able to maintain bioactivity and function for at least 7 d and was beneficial for hepatotoxic drug screening.

  13. EPE analysis of sub-N10 BEoL flow with and without fully self-aligned via using Coventor SEMulator3D

    Science.gov (United States)

    Franke, Joern-Holger; Gallagher, Matt; Murdoch, Gayle; Halder, Sandip; Juncker, Aurelie; Clark, William

    2017-03-01

    During the last few decades, the semiconductor industry has been able to scale device performance up while driving costs down. What started off as simple geometrical scaling, driven mostly by advances in lithography, has recently been accompanied by advances in processing techniques and in device architectures. The trend to combine efforts using process technology and lithography is expected to intensify, as further scaling becomes ever more difficult. One promising component of future nodes are "scaling boosters", i.e. processing techniques that enable further scaling. An indispensable component in developing these ever more complex processing techniques is semiconductor process modeling software. Visualization of complex 3D structures in SEMulator3D, along with budget analysis on film thicknesses, CD and etch budgets, allow process integrators to compare flows before any physical wafers are run. Hundreds of "virtual" wafers allow comparison of different processing approaches, along with EUV or DUV patterning options for defined layers and different overlay schemes. This "virtual fabrication" technology produces massively parallel process variation studies that would be highly time-consuming or expensive in experiment. Here, we focus on one particular scaling booster, the fully self-aligned via (FSAV). We compare metal-via-metal (mevia-me) chains with self-aligned and fully-self-aligned via's using a calibrated model for imec's N7 BEoL flow. To model overall variability, 3D Monte Carlo modeling of as many variability sources as possible is critical. We use Coventor SEMulator3D to extract minimum me-me distances and contact areas and show how fully self-aligned vias allow a better me-via distance control and tighter via-me contact area variability compared with the standard self-aligned via (SAV) approach.

  14. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  15. Atom Michelson interferometer on a chip using a Bose-Einstein condensate.

    Science.gov (United States)

    Wang, Ying-Ju; Anderson, Dana Z; Bright, Victor M; Cornell, Eric A; Diot, Quentin; Kishimoto, Tetsuo; Prentiss, Mara; Saravanan, R A; Segal, Stephen R; Wu, Saijun

    2005-03-11

    An atom Michelson interferometer is implemented on an "atom chip." The chip uses lithographically patterned conductors and external magnetic fields to produce and guide a Bose-Einstein condensate. Splitting, reflecting, and recombining of condensate atoms are achieved by a standing-wave light field having a wave vector aligned along the atom waveguide. A differential phase shift between the two arms of the interferometer is introduced by either a magnetic-field gradient or with an initial condensate velocity. Interference contrast is still observable at 20% with an atom propagation time of 10 ms.

  16. Atom Michelson interferometer on a chip using a Bose-Einstein condensate

    International Nuclear Information System (INIS)

    Wang Yingju; Anderson, Dana Z.; Cornell, Eric A.; Diot, Quentin; Kishimoto, Tetsuo; Segal, Stephen R.; Bright, Victor M.; Saravanan, R.A.; Prentiss, Mara; Wu Saijun

    2005-01-01

    An atom Michelson interferometer is implemented on an 'atom chip'. The chip uses lithographically patterned conductors and external magnetic fields to produce and guide a Bose-Einstein condensate. Splitting, reflecting, and recombining of condensate atoms are achieved by a standing-wave light field having a wave vector aligned along the atom waveguide. A differential phase shift between the two arms of the interferometer is introduced by either a magnetic-field gradient or with an initial condensate velocity. Interference contrast is still observable at 20% with an atom propagation time of 10 ms

  17. Alternative method for variable aspect ratio vias using a vortex mask

    Science.gov (United States)

    Schepis, Anthony R.; Levinson, Zac; Burbine, Andrew; Smith, Bruce W.

    2014-03-01

    Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends, semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were achievable, however, this

  18. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  19. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  20. Transport tensors in perfectly aligned low-density fluids: Self-diffusion and thermal conductivity

    International Nuclear Information System (INIS)

    Singh, G. S.; Kumar, B.

    2001-01-01

    The modified Taxman equation for the kinetic theory of low-density fluids composed of rigid aspherical molecules possessing internal degrees of freedom is generalized to obtain the transport tensors in a fluid of aligned molecules. The theory takes care of the shape of the particles exactly but the solution has been obtained only for the case of perfectly aligned hard spheroids within the framework of the first Sonine polynomial approximation. The expressions for the thermal-conductivity components have been obtained for the first time whereas the self-diffusion components obtained here turn out to be exactly the same as those derived by Kumar and Masters [Mol. Phys. >81, 491 (1994)] through the solution of the Lorentz-Boltzmann equation. All our expressions yield correct results in the hard-sphere limit

  1. Self-centering fiber alignment structures for high-precision field installable single-mode fiber connectors

    Science.gov (United States)

    Van Erps, Jürgen; Ebraert, Evert; Gao, Fei; Vervaeke, Michael; Berghmans, Francis; Beri, Stefano; Watté, Jan; Thienpont, Hugo

    2014-05-01

    There is a steady increase in the demand for internet bandwidth, primarily driven by cloud services and high-definition video streaming. Europe's Digital Agenda states the ambitious objective that by 2020 all Europeans should have access to internet at speeds of 30Mb/s or above, with 50% or more of households subscribing to connections of 100Mb/s. Today however, internet access in Europe is mainly based on the first generation of broadband, meaning internet accessed over legacy telephone copper and TV cable networks. In recent years, Fiber-To-The-Home (FTTH) networks have been adopted as a replacement of traditional electrical connections for the `last mile' transmission of information at bandwidths over 1Gb/s. However, FTTH penetration is still very low (economies. The main reason for this is the high deployment cost of FTTH networks. Indeed, the success and adoption of optical access networks critically depend on the quality and reliability of connections between optical fibers. In particular a further reduction of insertion loss of field- installable connectors must be achieved without a significant increase in component cost. This requires precise alignment of fibers that can differ in terms of ellipticity, eccentricity or diameter and seems hardly achievable using today's widespread ferrule-based alignment systems. In this paper, we present a field-installable connector based on deflectable/compressible spring structures, providing a self-centering functionality for the fiber. This way, it can accommodate for possible fiber cladding diameter variations (the tolerance on the cladding diameter of G.652 fiber is typically +/-0.7μm). The mechanical properties of the cantilever are derived through an analytical approximation and a mathematical model of the spring constant, and finite element-based simulations are carried out to find the maximum first principal stress as well as the stress distribution distribution in the fiber alignment structure. Elastic

  2. Effectiveness of three just-in-time training modalities for N-95 mask fit testing.

    Science.gov (United States)

    Jones, David; Stoler, Genevieve; Suyama, Joe

    2013-01-01

    To compare and contrast three different training modalities for fit testing N-95 respirator face masks. Block randomized interventional study. Urban university. Two hundred eighty-nine medical students. Students were randomly assigned to video, lecture, or slide show to evaluate the effectiveness of the methods for fit testing large groups of people. Ease of fit and success of fit for each instructional technique. Mask 1 was a Kimberly-Clark duckbill N-95 respirator mask, and mask 2 was a 3M™ carpenters N-95 respirator mask. "Ease of fit" was defined as the ability to successfully don a mask in less than 30 seconds. "Success of fit" was defined as the ability to correctly don a mask in one try. There were no statistical differences by training modality for either mask regarding ease of fit or success of fit. There were no differences among video presentation, small group demonstration, and self-directed slide show just-in-time training modalities for ease of fit or success of fit N-95 respirator mask fitting. Further study is needed to explore more effective fit training modalities.

  3. Belt Aligning Revisited

    Directory of Open Access Journals (Sweden)

    Yurchenko Vadim

    2017-01-01

    parts of the conveyor, the sides of the belt wear intensively. This results in reducing the life of the belt. The reasons for this phenomenon are well investigated, but the difficulty lies in the fact that they all act simultaneously. The belt misalignment prevention can be carried out in two ways: by minimizing the effect of causes and by aligning the belt. The construction of aligning devices and errors encountered in practice are considered in this paper. Self-aligning roller supports rotational in plan view are recommended as a means of combating the belt misalignment.

  4. Low-temperature formation of source–drain contacts in self-aligned amorphous oxide thin-film transistors

    NARCIS (Netherlands)

    Nag, M.; Muller, R.N.; Steudel, S.; Smout, S.; Bhoolokam, A.; Myny, K.; Schols, S.; Genoe, J.; Cobb, B.; Kumar, Abhishek; Gelinck, G.H.; Fukui, Y.; Groeseneken, G.; Heremans, P.

    2015-01-01

    We demonstrated self-aligned amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistors (TFTs) where the source–drain (S/D) regions were made conductive via chemical reduction of the a-IGZO via metallic calcium (Ca). Due to the higher chemical reactivity of Ca, the process can be operated at

  5. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  6. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  7. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  8. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  9. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  10. Preferred axis of CMB parity asymmetry in the masked maps

    International Nuclear Information System (INIS)

    Cheng, Cheng; Zhao, Wen; Huang, Qing-Guo; Santos, Larissa

    2016-01-01

    Both WMAP and Planck data show a significant odd-multipole preference in the large scales of the cosmic microwave background (CMB) temperature anisotropies. If this pattern originates from cosmological effects, then it can be considered a crucial clue for a violation in the cosmological principle. By defining various direction dependent statistics in the full-sky Planck 2015 maps (see, for instance, Naselsky et al. (2012); W. Zhao (2014)), we found that the CMB parity asymmetry has a preferred direction, which is independent of the choices of the statistics. In particular, this preferred axis is strongly aligned with those in the CMB quadrupole and octopole, as well as that in the CMB kinematic dipole, which hints to their non-cosmological origin. In realistic observations, the foreground residuals are inevitable, and should be properly masked out in order to avoid possible misinterpretation of the results. In this paper, we extend our previous analyses to the masked Planck 2015 data. By defining a similar direction dependent statistic in the masked map, we find a preferred direction of the CMB parity asymmetry, in which the axis also coincides with that found in the full-sky analysis. Therefore, our conclusions on the CMB parity violation and its directional properties are confirmed.

  11. Preferred axis of CMB parity asymmetry in the masked maps

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Cheng [State Key Laboratory of Theoretical Physics, Institute of Theoretical Physics, Chinese Academy of Science, Beijing 100190 (China); Zhao, Wen, E-mail: wzhao7@ustc.edu.cn [CAS Key Laboratory for Researches in Galaxies and Cosmology, Department of Astronomy, University of Science and Technology of China, Chinese Academy of Sciences, Hefei, Anhui 230026 (China); Huang, Qing-Guo [State Key Laboratory of Theoretical Physics, Institute of Theoretical Physics, Chinese Academy of Science, Beijing 100190 (China); Santos, Larissa [CAS Key Laboratory for Researches in Galaxies and Cosmology, Department of Astronomy, University of Science and Technology of China, Chinese Academy of Sciences, Hefei, Anhui 230026 (China)

    2016-06-10

    Both WMAP and Planck data show a significant odd-multipole preference in the large scales of the cosmic microwave background (CMB) temperature anisotropies. If this pattern originates from cosmological effects, then it can be considered a crucial clue for a violation in the cosmological principle. By defining various direction dependent statistics in the full-sky Planck 2015 maps (see, for instance, Naselsky et al. (2012); W. Zhao (2014)), we found that the CMB parity asymmetry has a preferred direction, which is independent of the choices of the statistics. In particular, this preferred axis is strongly aligned with those in the CMB quadrupole and octopole, as well as that in the CMB kinematic dipole, which hints to their non-cosmological origin. In realistic observations, the foreground residuals are inevitable, and should be properly masked out in order to avoid possible misinterpretation of the results. In this paper, we extend our previous analyses to the masked Planck 2015 data. By defining a similar direction dependent statistic in the masked map, we find a preferred direction of the CMB parity asymmetry, in which the axis also coincides with that found in the full-sky analysis. Therefore, our conclusions on the CMB parity violation and its directional properties are confirmed.

  12. Aligned Layers of Silver Nano-Fibers

    Directory of Open Access Journals (Sweden)

    Andrii B. Golovin

    2012-02-01

    Full Text Available We describe a new dichroic polarizers made by ordering silver nano-fibers to aligned layers. The aligned layers consist of nano-fibers and self-assembled molecular aggregates of lyotropic liquid crystals. Unidirectional alignment of the layers is achieved by means of mechanical shearing. Aligned layers of silver nano-fibers are partially transparent to a linearly polarized electromagnetic radiation. The unidirectional alignment and density of the silver nano-fibers determine degree of polarization of transmitted light. The aligned layers of silver nano-fibers might be used in optics, microwave applications, and organic electronics.

  13. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  14. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  15. Oxidation and reduction kinetics of eutectic SnPb, InSn, and AuSn: a knowledge base for fluxless solder bonding applications

    DEFF Research Database (Denmark)

    Kuhmann, Jochen Friedrich; Preuss, A.; Adolphi, B.

    1998-01-01

    : (1) SnPb; (2) InSn; (3) AuSn. The studies of the oxidation kinetics show that the growth of the native oxide, which covers the solder surfaces from the start of all soldering operations is self-limiting. The rate of oxidation on the molten, metallic solder surfaces is significantly reduced...... and reduction kinetics, are applied to flip-chip (FC) bonding experiments in vacuum with and without the injection of H2. Wetting in vacuum is excellent but the self-alignment during flip-chip soldering is restricted. The desired, perfectly self-aligned FC-bonds have been only achieved, using evaporated...

  16. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  17. Usability of human Infinium MethylationEPIC BeadChip for mouse DNA methylation studies.

    Science.gov (United States)

    Needhamsen, Maria; Ewing, Ewoud; Lund, Harald; Gomez-Cabrero, David; Harris, Robert Adam; Kular, Lara; Jagodic, Maja

    2017-11-15

    The advent of array-based genome-wide DNA methylation methods has enabled quantitative measurement of single CpG methylation status at relatively low cost and sample input. Whereas the use of Infinium Human Methylation BeadChips has shown great utility in clinical studies, no equivalent tool is available for rodent animal samples. We examined the feasibility of using the new Infinium MethylationEPIC BeadChip for studying DNA methylation in mouse. In silico, we identified 19,420 EPIC probes (referred as mEPIC probes), which align with a unique best alignment score to the bisulfite converted reference mouse genome mm10. Further annotation revealed that 85% of mEPIC probes overlapped with mm10.refSeq genes at different genomic features including promoters (TSS1500 and TSS200), 1st exons, 5'UTRs, 3'UTRs, CpG islands, shores, shelves, open seas and FANTOM5 enhancers. Hybridization of mouse samples to Infinium Human MethylationEPIC BeadChips showed successful measurement of mEPIC probes and reproducibility between inter-array biological replicates. Finally, we demonstrated the utility of mEPIC probes for data exploration such as hierarchical clustering. Given the absence of cost and labor convenient genome-wide technologies in the murine system, our findings show that the Infinium MethylationEPIC BeadChip platform is suitable for investigation of the mouse methylome. Furthermore, we provide the "mEPICmanifest" with genomic features, available to users of Infinium Human MethylationEPIC arrays for mouse samples.

  18. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  19. Self-formation of polymer nanostructures in plasma etching: mechanisms and applications

    Science.gov (United States)

    Du, Ke; Jiang, Youhua; Huang, Po-Shun; Ding, Junjun; Gao, Tongchuan; Choi, Chang-Hwan

    2018-01-01

    In recent years, plasma-induced self-formation of polymer nanostructures has emerged as a simple, scalable and rapid nanomanufacturing technique to pattern sub-100 nm nanostructures. High-aspect-ratio nanostructures (>20:1) are fabricated on a variety of polymer surfaces such as poly(methylmethacrylate) (PMMA), polystyrene (PS), polydimethylsiloxane (PDMS), and fluorinated ethylene propylene (FEP). Sub-100 nm nanostructures (i.e. diameter  ⩽  50 nm) are fabricated in this one-step process without relying on slow and expensive nanolithography techniques. This review starts with discussion of the self-formation mechanisms including surface modulation, random masks, and materials impurities. Emphasis is put on the applications of polymer nanostructures in the fields of hierarchical nanostructures, liquid repellence, adhesion, lab-on-a-chip, surface enhanced Raman scattering (SERS), organic light emitting diode (OLED), and energy harvesting. The unique advantages of this nanomanufacturing technique are illustrated, followed by prospects.

  20. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  1. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    Science.gov (United States)

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  2. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    Science.gov (United States)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  3. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Hans Martin

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observ...

  4. Variation Tolerant On-Chip Interconnects

    CERN Document Server

    Nigussie, Ethiopia Enideg

    2012-01-01

    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects.  Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources of variability and their impact in sub-100nm technologies, this book will be an invaluable reference for anyone concerned with the design of next generation, high-performance electronics systems. Provides comprehensive, circuit-level explanation of high-performance, energy-efficient, variation-tolerant on-chip interconnect; Describes design techniques to mitigate problems caused by variation; Includes techniques for design and implementation of self-timed on-chip interconnect, delay variation insensitive communication protocols, high speed signaling techniques and circuits, bit-width independent completion detection and process, voltage and temperature variation tolerance.                          

  5. Direct reading of charge multipliers with a self-triggering CMOS analog chip with 105k pixels at 50 micron pitch

    CERN Document Server

    Bellazzini, R; Minuti, M; Baldini, L; Brez, A; Cavalca, F; Latronico, L; Omodei, N; Massai, M M; Sgro, C; Costa, E; Krummenacher, P S F; De Oliveira, R

    2006-01-01

    We report on a large active area (15x15mm2), high channel density (470 pixels/mm2), self-triggering CMOS analog chip that we have developed as pixelized charge collecting electrode of a Micropattern Gas Detector. This device, which represents a big step forward both in terms of size and performance, is the last version of three generations of custom ASICs of increasing complexity. The CMOS pixel array has the top metal layer patterned in a matrix of 105600 hexagonal pixels at 50 micron pitch. Each pixel is directly connected to the underneath full electronics chain which has been realized in the remaining five metal and two poly-silicon layers of a 0.18 micron VLSI technology. The chip has customizable self-triggering capability and includes a signal pre-processing function for the automatic localization of the event coordinates. In this way it is possible to reduce significantly the readout time and the data volume by limiting the signal output only to those pixels belonging to the region of interest. The ve...

  6. An electrical bio-chip to transfer and detect electromagnetic stimulation on the cells based on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Rafizadeh-Tafti, Saeed; Haqiqatkhah, Mohammad Hossein; Saviz, Mehrdad; Janmaleki, Mohsen; Faraji Dana, Reza; Zanganeh, Somayeh; Abdolahad, Mohammad

    2017-01-01

    A highly sensitive impedimetric bio-chip based on vertically aligned multiwall carbon nanotubes (VAMWCNTs), was applied in direct interaction with lung cancer cells. Our tool provided both inducing and monitoring the bioelectrical changes in the cells initiated by electromagnetic (EM) wave stimulation. EM wave of 940MHz frequency with different intensities was used. Here, wave ablation might accumulate electrical charge on the tips of nanotubes penetrated into cell's membrane. The charge might induce ionic exchanges into the cell and cause alterations in electrical states of the membrane. Transmembrane electrostatic/dynamic states would be strongly affected due to such exchanges. Our novel modality was that, the cells' vitality changes caused by charge inductions were electrically detected with the same nanotubes in the architecture of electrodes for impedance measurement. The responses of the sensor were confirmed by electron and florescent microscopy images as well as biological assays. In summation, our method provided an effective biochip for enhancing and detecting external EM stimulation on the cells useful for future diagnostic and therapeutic applications, such as wave-guided drug-resistance breakage. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    Science.gov (United States)

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  8. Functional alignments and self-tests for tilted and decentered optics

    International Nuclear Information System (INIS)

    Bauke, W.; Cross, E.W.

    1983-01-01

    The alignment of tilted and decentered optics is ordinarily difficult, because such optics have neither simple alignment points amenable to ordinary boresight methods, nor a simple alignment theory. Several different alignment examples which provide insight into a practical universal approach to all such systems are explored. The examples detailed are segments of the Antares Laser Fusion Project's optical train

  9. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    Science.gov (United States)

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  10. 2012 Mask Industry Survey

    Science.gov (United States)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  11. Availability, consistency and evidence-base of policies and guidelines on the use of mask and respirator to protect hospital health care workers: a global analysis.

    Science.gov (United States)

    Chughtai, Abrar Ahmad; Seale, Holly; MacIntyre, Chandini Raina

    2013-05-31

    Currently there is an ongoing debate and limited evidence on the use of masks and respirators for the prevention of respiratory infections in health care workers (HCWs). This study aimed to examine available policies and guidelines around the use of masks and respirators in HCWs and to describe areas of consistency between guidelines, as well as gaps in the recommendations, with reference to the WHO and the CDC guidelines. Policies and guidelines related to mask and respirator use for the prevention of influenza, SARS and TB were examined. Guidelines from the World Health Organization (WHO), the Center for Disease Control and Prevention (CDC), three high-income countries and six low/middle-income countries were selected. Uniform recommendations are made by the WHO and the CDC in regards to protecting HCWs against seasonal influenza (a mask for low risk situations and a respirator for high risk situations) and TB (use of a respirator). However, for pandemic influenza and SARS, the WHO recommends mask use in low risk and respirators in high risk situations, whereas, the CDC recommends respirators in both low and high risk situations. Amongst the nine countries reviewed, there are variations in the recommendations for all three diseases. While, some countries align with the WHO recommendations, others align with those made by the CDC. The choice of respirator and the level of filtering ability vary amongst the guidelines and the different diseases. Lastly, none of the policies discuss reuse, extended use or the use of cloth masks. Currently, there are significant variations in the policies and recommendations around mask and respirator use for protection against influenza, SARS and TB. These differences may reflect the scarcity of level-one evidence available to inform policy development. The lack of any guidelines on the use of cloth masks, despite widespread use in many low and middle-income countries, remains a policy gap. Health organizations and countries should

  12. Metal oxide multilayer hard mask system for 3D nanofabrication

    Science.gov (United States)

    Han, Zhongmei; Salmi, Emma; Vehkamäki, Marko; Leskelä, Markku; Ritala, Mikko

    2018-02-01

    We demonstrate the preparation and exploitation of multilayer metal oxide hard masks for lithography and 3D nanofabrication. Atomic layer deposition (ALD) and focused ion beam (FIB) technologies are applied for mask deposition and mask patterning, respectively. A combination of ALD and FIB was used and a patterning procedure was developed to avoid the ion beam defects commonly met when using FIB alone for microfabrication. ALD grown Al2O3/Ta2O5/Al2O3 thin film stacks were FIB milled with 30 keV gallium ions and chemically etched in 5% tetramethylammonium hydroxide at 50 °C. With metal evaporation, multilayers consisting of amorphous oxides Al2O3 and Ta2O5 can be tailored for use in 2D lift-off processing, in preparation of embedded sub-100 nm metal lines and for multilevel electrical contacts. Good pattern transfer was achieved by lift-off process from the 2D hard mask for micro- and nano-scaled fabrication. As a demonstration of the applicability of this method to 3D structures, self-supporting 3D Ta2O5 masks were made from a film stack on gold particles. Finally, thin film resistors were fabricated by utilizing controlled stiction of suspended Ta2O5 structures.

  13. Binary Masking & Speech Intelligibility

    DEFF Research Database (Denmark)

    Boldt, Jesper

    The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either experime......The purpose of this thesis is to examine how binary masking can be used to increase intelligibility in situations where hearing impaired listeners have difficulties understanding what is being said. The major part of the experiments carried out in this thesis can be categorized as either...... experiments under ideal conditions or as experiments under more realistic conditions useful for real-life applications such as hearing aids. In the experiments under ideal conditions, the previously defined ideal binary mask is evaluated using hearing impaired listeners, and a novel binary mask -- the target...... binary mask -- is introduced. The target binary mask shows the same substantial increase in intelligibility as the ideal binary mask and is proposed as a new reference for binary masking. In the category of real-life applications, two new methods are proposed: a method for estimation of the ideal binary...

  14. Traditional Chinese Masks Reveal Customs

    Institute of Scientific and Technical Information of China (English)

    1996-01-01

    CHINESE masks are undoubtedly an important component in the worldwide mask culture. Minority nationality masks are a major component of China’s mask culture. Traditional Chinese masks, or nuo, represent a cultural component which originated from religious rites in prehistoric times. Various types of nuo are highly valuable for studies of Chinese customs.

  15. Mask CD relationship to temperature at the time backscatter is received

    Science.gov (United States)

    Zable, Harold; Kronmiller, Tom; Pearman, Ryan; Guthrie, Bill; Shirali, Nagesh; Masuda, Yukihiro; Kamikubo, Takashi; Nakayamada, Noriaki; Fujimura, Aki

    2017-07-01

    Mask writers need to be able to write sub-50nm features accurately. Nano-imprint lithography (NIL) masters need to create sub-20nm line and space (L:S) patterns reliably. Increasingly slower resists are deployed, but mask write times need to remain reasonable. The leading edge EBM-9500 offers 1200A/cm2 current density to shoot variable shaped beam (VSB) to write the masks. Last year, thermal effect correction (TEC) was introduced by NuFlare in the EBM-95001. It is a GPU-accelerated inline correction for the effect that the temperature of the resist has on CD. For example, a 100nm CD may print at 102nm where that area was at a comparably high temperature at the time of the shot. Since thermal effect is a temporal effect, the simulated temperature of the surface of the mask is dynamically updated for the effect of each shot in order to accurately predict the cumulative effect that is the temperature at the location of the shot at the time of the shot and therefore its impact on CD. The shot dose is changed to reverse the effects of the temperature change. This paper for the first time reveals an enhancement to this thermal model and a simulator for it. It turns out that the temperature at the time each location receives backscatter from other shots also make a difference to the CD. The effect is secondary, but still measurable for some resists and substrates. Results of a test-chip study will be presented. The computation required for the backscatter effect is substantial. It has been demonstrated that this calculation can be performed fast enough to be inline with the EBM-9500 with a reasonable-sized computing platform. Run-time results and the computing architecture will be presented.

  16. Prototyping chips in minutes: Direct Laser Plotting (DLP) of functional microfluidic structures

    KAUST Repository

    Wang, Limu

    2013-10-10

    We report a fast and simple prototyping method to fabricate polymer-based microfluidic chips using Direct Laser Plotting (DLP) technique, by which various functional micro-structures can be realized within minutes, in a mask-free and out-of-cleanroom fashion. A 2D Computer-Aid-Design (CAD) software was employed to layout the required micro-structures and micro-channels, a CO2 laser plotter was then used to construct the microstructures. The desired patterns can be plotted directly on PDMS substrates and bio-compatible polymer films by manipulating the strength and density of laser pulses. With the DLP technique, chip-embedded micro-electrodes, micro-mixers and 3D microfluidic chips with 5 layers, which normally require several days of work in a cleanroom facility, can be fabricated in minutes in common laboratory. This novel method can produce microfluidic channels with average feature size of 100 μm, while feature size of 50 μm or smaller is achievable by making use of the interference effect from laser impulsion. In this report, we present the optimized parameters for successful fabrication of 3D microchannels, micro-mixers and microfluidic chips for protein concentration measurements (Bovine Serum Albumine (BSA) test), and a novel procedure to pattern flexible embedding electrodes on PDMS-based microfluidic chips. DLP offers a convenient and low cost alternative to conventional microfluidic channel fabrication technique which relies on complicated and hazardous soft lithography process.

  17. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  18. Fabrication of micro- and nano-structured materials using mask-less processes

    International Nuclear Information System (INIS)

    Roy, Sudipta

    2007-01-01

    Micro- and nano-scale devices are used in electronics, micro-electro- mechanical, bio-analytical and medical components. An essential step for the fabrication of such small scale devices is photolithography. Photolithography requires a master mask to transfer micrometre or sub-micrometre scale patterns onto a substrate. The requirement of a physical, rigid mask can impede progress in applications which require rapid prototyping, flexible substrates, multiple alignment and 3D fabrication. Alternative technologies, which do not require the use of a physical mask, are suitable for these applications. In this paper mask-less methods of micro- and nano-scale fabrication have been discussed. The most common technique, which is the laser direct imaging (LDI), technique has been applied to fabricate micrometre scale structures on printed circuit boards, glass and epoxy. LDI can be combined with chemical methods to deposit metals, inorganic materials as well as some organic entities at the micrometre scale. Inkjet technology can be used to fabricate micrometre patterns of etch resists, organic transistors as well as arrays for bioanalysis. Electrohydrodynamic atomisation is used to fabricate micrometre scale ceramic features. Electrochemical methodologies offer a variety of technical solutions for micro- and nano-fabrication owing to the fact that electron charge transfer can be constrained to a solid-liquid interface. Electrochemical printing is an adaptation of inkjet printing which can be used for rapid prototyping of metallic circuits. Micro-machining using nano-second voltage pulses have been used to fabricate high precision features on metals and semiconductors. Optimisation of reactor, electrochemistry and fluid flow (EnFACE) has also been employed to transfer micrometre scale patterns on a copper substrate. Nano-scale features have been fabricated by using specialised tools such as scanning tunnelling microscopy, atomic force microscopy and focused ion beam. The

  19. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    Science.gov (United States)

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  20. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  1. On-chip microsystems in silicon: opportunities and limitations

    Science.gov (United States)

    Wolffenbuttel, R. F.

    1996-03-01

    Integrated on-chip micro-instrumentation systems in silicon are complete data acquisition systems on a single chip. This concept has appeared to be the ultimate solution in many applications, as it enables in principle the metamorphosis of a basic sensing element, affected with many shortcomings, into an on-chip data acquisition unit that provides an output digital data stream in a standard format not corrupted by sensor non-idealities. Market acceptance would be maximum, as no special knowledge about the internal operation is required, self-test and self-calibration can be included and the dimensions are not different from those of the integrated circuit. The various aspects that are relevant in estimating the constraints for successful implementation of the integrated silicon smart sensor will be outlined in comparison with the properties of more conventional sensor fabrication technologies. It will be shown that the acceptance of on-chip functional integration in an application depends primarily on the added value in terms of improved specification or functionality that the resulting device provides in that application. The economic viability is therefore decisive rather than the technological constraints. This is in contrast to the traditional technology push prevailing in sensor research over market pull mechanisms.

  2. Unmasking Zorro: functional importance of the facial mask in the Masked Shrike (Lanius nubicus)

    OpenAIRE

    Reuven Yosef; Piotr Zduniak; Piotr Tryjanowski

    2012-01-01

    The facial mask is a prominent feature in the animal kingdom. We hypothesized that the facial mask of shrikes allows them to hunt into the sun, which accords them detection and surprise-attack capabilities. We conducted a field experiment to determine whether the mask facilitated foraging while facing into the sun. Male shrikes with white-painted masks hunted facing away from the sun more than birds with black-painted masks, which are the natural color, and more than individuals in the contro...

  3. Fabrication of dielectrophoretic microfluidic chips using a facile screen-printing technique for microparticle trapping

    International Nuclear Information System (INIS)

    Wee, Wei Hong; Kadri, Nahrizul Adib; Pingguan-Murphy, Belinda; Li, Zedong; Hu, Jie; Xu, Feng; Li, Fei

    2015-01-01

    Trapping of microparticles finds wide applications in numerous fields. Microfluidic chips based on a dielectrophoresis (DEP) technique hold several advantages for trapping microparticles, such as fast result processing, a small amount of sample required, high spatial resolution, and high accuracy of target selection. There is an unmet need to develop DEP microfluidic chips on different substrates for different applications in a low cost, facile, and rapid way. This study develops a new facile method based on a screen-printing technique for fabrication of electrodes of DEP chips on three types of substrates (i.e. polymethyl-methacrylate (PMMA), poly(ethylene terephthalate) and A4 paper). The fabricated PMMA-based DEP microfluidic chip was selected as an example and successfully used to trap and align polystyrene microparticles in a suspension and cardiac fibroblasts in a cell culture solution. The developed electrode fabrication method is compatible with different kinds of DEP substrates, which could expand the future application field of DEP microfluidic chips, including new forms of point-of care diagnostics and trapping circulating tumor cells. (paper)

  4. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    Science.gov (United States)

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  5. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  6. A dual-unit pressure sensor for on-chip self-compensation of zero-point temperature drift

    International Nuclear Information System (INIS)

    Wang, Jiachou; Li, Xinxin

    2014-01-01

    A novel dual-unit piezoresistive pressure sensor, consisting of a sensing unit and a dummy unit, is proposed and developed for on-chip self-compensation for zero-point temperature drift. With an MIS (microholes inter-etch and sealing) process implemented only from the front side of single (1 1 1) silicon wafers, a pressure sensitive unit and another identically structured pressure insensitive dummy unit are compactly integrated on-chip to eliminate unbalance factors induced zero-point temperature-drift by mutual compensation between the two units. Besides, both units are physically suspended from silicon substrate to further suppress packaging-stress induced temperature drift. A simultaneously processes ventilation hole-channel structure is connected with the pressure reference cavity of the dummy unit to make it insensitive to detected pressure. In spite of the additional dummy unit, the sensor chip dimensions are still as small as 1.2 mm × 1.2 mm × 0.4 mm. The proposed dual-unit sensor is fabricated and tested, with the tested sensitivity being 0.104 mV kPa −1 3.3 V −1 , nonlinearity of less than 0.08% · FSO and overall accuracy error of ± 0.18% · FSO. Without using any extra compensation method, the sensor features an ultra-low temperature coefficient of offset (TCO) of 0.002% °C −1 · FSO that is much better than the performance of conventional pressure sensors. The highly stable and small-sized sensors are promising for low cost production and applications. (paper)

  7. Cationic Reduced Graphene Oxide as Self-Aligned Nanofiller in the Epoxy Nanocomposite Coating with Excellent Anticorrosive Performance and Its High Antibacterial Activity.

    Science.gov (United States)

    Luo, Xiaohu; Zhong, Jiawen; Zhou, Qiulan; Du, Shuo; Yuan, Song; Liu, Yali

    2018-05-17

    The design and preparation of an excellent corrosion protection coating is still a grand challenge and is essential for large-scale practical application. Herein, a novel cationic reduced graphene oxide (denoted as RGO-ID + )-based epoxy coating was fabricated for corrosion protection. RGO-ID + was synthesized by in situ synthesis and salification reaction, which is stable dispersion in water and epoxy latex, and the self-aligned RGO-ID + -reinforced cathodic electrophoretic epoxy nanocomposite coating (denoted as RGO-ID + coating) at the surface of metal was prepared by electrodeposition. The self-alignment of RGO-ID + in the coatings is mainly attributed to the electric field force. The significantly enhanced anticorrosion performance of RGO-ID + coating is proved by a series of electrochemical measurements in different concentrated NaCl solutions and salt spray tests. This superior anticorrosion property benefits from the self-aligned RGO-ID + nanosheets and the quaternary-N groups present in the RGO-ID + nanocomposite coating. Interestingly, the RGO-ID + also exhibits a high antibacterial activity toward Escherichia coli with 83.4 ± 1.3% antibacterial efficiency, which is attributed to the synergetic effects of RGO-ID + and the electrostatic attraction and hydrogen bonding between RGO-ID + and E. coli. This work offers new opportunities for the successful development of effective corrosion protection and self-antibacterial coatings.

  8. Self-assembled vertically aligned Au nanorod arrays for surface-enhanced Raman scattering (SERS) detection of Cannabinol

    Science.gov (United States)

    Milliken, Sarah; Fraser, Jeff; Poirier, Shawn; Hulse, John; Tay, Li-Lin

    2018-05-01

    Self-assembled multi-layered vertically aligned gold nanorod (AuNR) arrays have been fabricated by a simple preparation process that requires a balance between the particle concentration and the ionic strength of the solvent. An experimentally determined critical AuNR concentration of 2.0 nM and 50 mM NaCl produces well-ordered vertically aligned hexagonally close-packed AuNR arrays. We demonstrate surface treatment via UV Ozone cleaning of such samples to allow introduction of analyte molecules (benzenethiol and cannabinol) for effective surface enhanced Raman scattering detection. This is the first demonstration of the SERS analysis of cannabinol. This approach demonstrates a cost-effective, high-yield and simple fabrication route to SERS sensors with application in the screening for the cannabinoids.

  9. Masks in Pedagogical Practice

    Science.gov (United States)

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  10. Keeping African Masks Real

    Science.gov (United States)

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  11. Bright single photon source based on self-aligned quantum dot–cavity systems

    DEFF Research Database (Denmark)

    Maier, Sebastian; Gold, Peter; Forchel, Alfred

    2014-01-01

    We report on a quasi-planar quantum-dot-based single-photon source that shows an unprecedented high extraction efficiency of 42% without complex photonic resonator geometries or post-growth nanofabrication. This very high efficiency originates from the coupling of the photons emitted by a quantum...... dot to a Gaussian shaped nanohill defect that naturally arises during epitaxial growth in a self-aligned manner. We investigate the morphology of these defects and characterize the photonic operation mechanism. Our results show that these naturally arising coupled quantum dot-defects provide a new...... avenue for efficient (up to 42% demonstrated) and pure (g2(0) value of 0.023) single-photon emission....

  12. Masking of Enamel Fluorosis Discolorations and Tooth Misalignment With a Combination of At-Home Whitening, Resin Infiltration, and Direct Composite Restorations.

    Science.gov (United States)

    Perdigão, J; Lam, V Q; Burseth, B G; Real, C

    This clinical report illustrates a conservative technique to mask enamel discolorations in maxillary anterior teeth caused by hypomineralization associated with enamel fluorosis and subsequent direct resin composite to improve the anterior esthetics. The treatment consisted of at-home whitening with 10% carbamide peroxide gel with potassium nitrate and sodium fluoride in a custom-fitted tray to mask the brown-stained areas, followed by resin infiltration to mask the white spot areas. An existing resin composite restoration in the maxillary right central incisor was subsequently replaced after completion of the whitening and resin infiltration procedures, whereas the two misaligned and rotated maxillary lateral incisors were built up with direct resin composite restorations to provide the illusion of adequate arch alignment, as the patient was unable to use orthodontic therapy.

  13. The Character Adaptation of Masked Puppet Figures in Ruwatan Ritual

    Directory of Open Access Journals (Sweden)

    Robby Hidajat

    2016-12-01

    Full Text Available One myth that is believed by people living in Duwet village is distress that threatens human beings from when they are born till the day they die. As one way to overcome the distress, people, especially those who are living in Duwet village, hold ritual called as tolak bala. One step in the ritual is self-cleaning oneself from distress or sukerta. In addition to the ritual is a masked puppet as a means of the ritual execution. Thus, this study aims at finding out the functions of the Ruwatan masked puppet. Functional-structural perspective was adopted in the study by implementing observation, interview, and documentation study techniques. Results show that masked puppet has a special function which is to serve as a means of Ruwatan that is specifically to obtain society participation in order to give spiritual support to the process of ritual as a whole tolak bala or distress expulsion process.

  14. Masks: The Artist in Me

    Science.gov (United States)

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  15. Nanoliter Centrifugal Liquid Dispenser Coupled with Superhydrophobic Microwell Array Chips for High-Throughput Cell Assays

    Directory of Open Access Journals (Sweden)

    Yuyi Wang

    2018-06-01

    Full Text Available Microfluidic systems have been regarded as a potential platform for high-throughput screening technology in drug discovery due to their low sample consumption, high integration, and easy operation. The handling of small-volume liquid is an essential operation in microfluidic systems, especially in investigating large-scale combination conditions. Here, we develop a nanoliter centrifugal liquid dispenser (NanoCLD coupled with superhydrophobic microwell array chips for high-throughput cell-based assays in the nanoliter scale. The NanoCLD consists of a plastic stock block with an array of drilled through holes, a reagent microwell array chip (reagent chip, and an alignment bottom assembled together in a fixture. A simple centrifugation at 800 rpm can dispense ~160 nL reagents into microwells in 5 min. The dispensed reagents are then delivered to cells by sandwiching the reagent chip upside down with another microwell array chip (cell chip on which cells are cultured. A gradient of doxorubicin is then dispensed to the cell chip using the NanoCLD for validating the feasibility of performing drug tests on our microchip platform. This novel nanoliter-volume liquid dispensing method is simple, easy to operate, and especially suitable for repeatedly dispensing many different reagents simultaneously to microwells.

  16. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    Science.gov (United States)

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  17. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    Science.gov (United States)

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  18. RNA secondary structure, an important bioinformatics tool to enhance multiple sequence alignment: a case study (Sordariomycetes, Fungi)

    Czech Academy of Sciences Publication Activity Database

    Réblová, Martina; Réblová, K.

    2013-01-01

    Roč. 12, č. 2 (2013), s. 305-319 ISSN 1617-416X R&D Projects: GA ČR GAP506/12/0038 Institutional support: RVO:67985939 Keywords : 2D structure * 2D mask * alignment Subject RIV: EF - Botanics Impact factor: 1.543, year: 2013

  19. An electrochromatography chip with integrated waveguides for UV absorbance detection

    International Nuclear Information System (INIS)

    Gustafsson, O; Mogensen, K B; Ohlsson, P D; Kutter, J P; Liu, Y; Jacobson, S C

    2008-01-01

    A silicon-based microchip for electrochromatographic separations is presented. Apart from a microfluidic network, the microchip has integrated UV-transparent waveguides for detection and integrated couplers for optical fibers on the chip, yielding the most complete chromatography microchip to date in terms of the integration of optical components. The microfluidic network and the optical components are fabricated in a single etching step in silicon and subsequently thermally oxidized. The separation column consists of a regular array of microfabricated solid support structures with a monolayer of an octylsilane covalently bonded to the surfaces to provide chromatographic interaction. The chip features a 1 mm long U-shaped detection cell and planar silicon dioxide waveguides that couple light to and from the detection cell. Microfabricated on-chip fiber couplers assure perfect alignment of optical fibers to the waveguides. The entire oxidized silicon microchip structure is sealed with a glass lid. Reversed phase electrochromatographic separation of three neutral compounds is demonstrated using UV absorbance detection at 254 nm. Baseline separation of the analytes is achieved in less than two minutes

  20. Gestalt grouping and common onset masking.

    Science.gov (United States)

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  1. Self-masking noise subtraction (SMNS) in digital X-ray tomosynthesis for the improvement of tomographic image quality

    International Nuclear Information System (INIS)

    Oh, J.E.; Cho, H.S.; Choi, S.I.; Park, Y.O.; Lee, M.S.; Cho, H.M.; Yang, Y.J.; Je, U.K.; Woo, T.H.; Lee, H.K.

    2011-01-01

    In this paper, we proposed a simple and effective reconstruction algorithm, the so-called self-masking noise subtraction (SMNS), in digital X-ray tomosynthesis to reduce the tomographic blur that is inherent in the conventional tomosynthesis based upon the shift-and-add (SAA) method. Using the SAA and the SMNS algorithms, we investigated the influence of tomographic parameters such as tomographic angle (θ) and angle step (Δθ) on the image quality, measuring the signal-difference-to-noise ratio (SDNR). Our simulation results show that the proposed algorithm seems to be efficient in reducing the tomographic blur and, thus, improving image sharpness. We expect the simulation results to be useful for the optimal design of a digital X-ray tomosynthesis system for our ongoing application of nondestructive testing (NDT).

  2. Effectiveness of mask ventilation performed by hospital doctors in an Irish tertiary referral teaching hospital.

    LENUS (Irish Health Repository)

    Walsh, K

    2012-02-03

    The objective of this study was to assess the effectiveness of mask ventilation performed by 112 doctors with clinical responsibilities at a tertiary referral teaching hospital. Participant doctors were asked to perform mask ventilation for three minutes on a Resusci Anne mannequin using a facemask and a two litre self inflating bag. The tidal volumes generated were quantified using a Laerdal skillmeter computer as grades 0-5, corresponding to 0, 334, 434, 561, 673 and > 800 ml respectively. The effectiveness of mask ventilation (i.e. the proportion of ventilation attempts which achieved a volume delivery of > 434 mls) was greater for anaesthetists [78.0 (29.5)%] than for non anaesthetists [54.6 (40.0)%] (P = 0.012). Doctors who had attended one or more resuscitation courses where no more effective at mask ventilation than their colleagues who had not undertaken such courses. It is likely that first responders to in-hospital cardiac arrests are commonly unable to perform adequate mask ventilation.

  3. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    Science.gov (United States)

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; Pcadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  4. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  5. Mask quality assessment

    Science.gov (United States)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  6. Psychoeducation in panic disorder patients: effect of a self-information booklet in a randomized, masked-rater study.

    Science.gov (United States)

    Dannon, P N; Iancu, I; Grunhaus, L

    2002-01-01

    The aim of our study was to evaluate the effectiveness of a self-information booklet (SIB) in decreasing anxiety and panic attacks in Panic Disorder (PD) patients. Eighty-four patients attending an outpatient clinic due to panic disorder were randomly chosen to receive paroxetine with/without a friendly-designed brochure. Follow-up was done by a masked rater after 1, 3,and 12 weeks in order to evaluate whether the co-administration of paroxetine and the brochure (Group A) had a beneficial effect over the administration of paroxetine alone (Group B). After 3 weeks of therapy, Group A patients had significantly greater improvement and lower scores on the Hamilton Anxiety Scale, the Panic Self Questionnaire, and the Visual Analog Scale. After 12 weeks, the differential improvement was not statistically significant and both groups had improved as compared to baseline. The administration of a psychoeducational brochure (SIB) to PD patients at the initiation of therapy had beneficial effects during the first weeks of treatment. Although this effect fades away, the role of the SIB is overstressed in its ability to increase well being and compliance, and reduce anxiety and panic attacks. Copyright 2002 Wiley-Liss, Inc.

  7. Alignment efficiency of standard versus tandem wire mechanics using conventional and self-ligating brackets: A pilot study

    Directory of Open Access Journals (Sweden)

    Prarthana Bhardwaj

    2017-01-01

    Full Text Available Objective: The objective of this study is to evaluate the clinical efficiency of 0.018″/0.022″ slot self-ligating (SL bracket system (standard and tandem mechanics in terms of rate of alignment by comparing it with a 0.022″ slot conventional ligating appliance system (MBT. Settings and Sample Population: The Department of Orthodontics. Materials and Methods: The pilot study was carried out using randomized controlled trial design. Forty patients having Little's irregularity index (II of 6–15 mm, treated by all first premolars extractions, were randomly allocated to 0.022″ slot conventional ligating bracket system, 0.018″ slot SL bracket system, 0.018″ slot SL bracket system (tandem archwires, 0.022″ slot SL bracket system, and 0.022″ slot SL bracket system (tandem archwires. The rate of alignment for each bracket system was measured from the difference in the II of serial casts taken at pretreatment and at the end of alignment, divided by the number of days between the two measurements. A one-way ANOVA model with post hoc Bonferroni multiple comparison procedures was used to identify intergroup differences. Results: The mean value of alignment efficiency was not found to be statistically significant in any of the five groups using digital models (P = 0.104. Conclusions: Alignment efficiency was not different between SL versus conventional ligating group, the 0.018″ slot versus 0.022″ slot and tandem versus standard mechanics.

  8. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  9. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    Science.gov (United States)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  10. A New Three-Dimensional High-Accuracy Automatic Alignment System For Single-Mode Fibers

    Science.gov (United States)

    Yun-jiang, Rao; Shang-lian, Huang; Ping, Li; Yu-mei, Wen; Jun, Tang

    1990-02-01

    In order to achieve the low-loss splices of single-mode fibers, a new three-dimension high-accuracy automatic alignment system for single -mode fibers has been developed, which includes a new-type three-dimension high-resolution microdisplacement servo stage driven by piezoelectric elements, a new high-accuracy measurement system for the misalignment error of the fiber core-axis, and a special single chip microcomputer processing system. The experimental results show that alignment accuracy of ±0.1 pin with a movable stroke of -±20μm has been obtained. This new system has more advantages than that reported.

  11. Recent advances in particle and droplet manipulation for lab-on-a-chip devices based on surface acoustic waves.

    Science.gov (United States)

    Wang, Zhuochen; Zhe, Jiang

    2011-04-07

    Manipulation of microscale particles and fluid liquid droplets is an important task for lab-on-a-chip devices for numerous biological researches and applications, such as cell detection and tissue engineering. Particle manipulation techniques based on surface acoustic waves (SAWs) appear effective for lab-on-a-chip devices because they are non-invasive, compatible with soft lithography micromachining, have high energy density, and work for nearly any type of microscale particles. Here we review the most recent research and development of the past two years in SAW based particle and liquid droplet manipulation for lab-on-a-chip devices including particle focusing and separation, particle alignment and patterning, particle directing, and liquid droplet delivery.

  12. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  13. On-Chip Bondwire Magnetics with Ferrite-Epoxy Glob Coating for Power Systems on Chip

    Directory of Open Access Journals (Sweden)

    Jian Lu

    2008-01-01

    Full Text Available A novel concept of on-chip bondwire inductors and transformers with ferrite epoxy glob coating is proposed to offer a cost effective approach realizing power systems on chip (SOC. We have investigated the concept both experimentally and with finite element modeling. A Q factor of 30–40 is experimentally demonstrated for the bondwire inductors which represents an improvement by a factor of 3–30 over the state-of-the-art MEMS micromachined inductors. Transformer parameters including self- and mutual inductance and coupling factors are extracted from both modeled and measured S-parameters. More importantly, the bondwire magnetic components can be easily integrated into SOC manufacturing processes with minimal changes and open enormous possibilities for realizing cost-effective, high-current, high-efficiency power SOCs.

  14. Rapid and Quantitative Detection of Vibrio parahemolyticus by the Mixed-Dye-Based Loop-Mediated Isothermal Amplification Assay on a Self-Priming Compartmentalization Microfluidic Chip.

    Science.gov (United States)

    Pang, Bo; Ding, Xiong; Wang, Guoping; Zhao, Chao; Xu, Yanan; Fu, Kaiyue; Sun, Jingjing; Song, Xiuling; Wu, Wenshuai; Liu, Yushen; Song, Qi; Hu, Jiumei; Li, Juan; Mu, Ying

    2017-12-27

    Vibrio parahemolyticus (VP) mostly isolated from aquatic products is one of the major causes of bacterial food-poisoning events worldwide, which could be reduced using a promising on-site detection method. Herein, a rapid and quantitative method for VP detection was developed by applying a mixed-dye-loaded loop-mediated isothermal amplification (LAMP) assay on a self-priming compartmentalization (SPC) microfluidic chip, termed on-chip mixed-dye-based LAMP (CMD-LAMP). In comparison to conventional approaches, CMD-LAMP was advantageous on the limit of detection, which reached down to 1 × 10 3 CFU/mL in food-contaminated samples without the pre-enrichment of bacteria. Additionally, as a result of the use of a mixed dye and SPC chip, the quantitative result could be easily acquired, avoiding the requirement of sophisticated instruments and tedious operation. Also, CMD-LAMP was rapid and cost-effective. Conclusively, CMD-LAMP has great potential in realizing the on-site quantitative analysis of VP for food safety.

  15. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  16. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    Science.gov (United States)

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  17. Impact of source/drain contacts formation of self-aligned amorphous-IGZO TFTs on their negative-bias-illumination-stress stabilities

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Smout, S.; Bhoolokam, A.; Genoe, J.; Cobb, B.; Kumar, A.; Groeseneken, G.; Heremans, P.

    2015-01-01

    In this study, we have compared the performance of self-aligned a-IGZO thin-film transistors (TFTs) whereby the source/drain (S/D) region's conductivity enhanced in three different ways, that is, using SiNx interlayer plasma (hydrogen diffusion), using calcium (Ca as reducing metal) and using argon

  18. Nanostructured pillars based on vertically aligned carbon nanotubes as the stationary phase in micro-CEC.

    Science.gov (United States)

    Wu, Ren-Guei; Yang, Chung-Shi; Wang, Pen-Cheng; Tseng, Fan-Gang

    2009-06-01

    We present a micro-CEC chip carrying out a highly efficient separation of dsDNA fragments through vertically aligned multi-wall carbon nanotubes (MWCNTs) in a microchannel. The vertically aligned MWCNTs were grown directly in the microchannel to form straight nanopillar arrays as ordered and directional chromatographic supports. 1-Pyrenedodecanoic acid was employed for the surface modification of the MWCNTs' stationary phase to adsorb analytes by hydrophobic interactions. This device was used for separating dsDNA fragments of three different lengths (254, 360, and 572 bp), and fluorescence detection was employed to verify the electrokinetic transport in the MWCNT array. The micro-CEC separation of the three compounds was achieved in less than 300 s at a field strength of 66 V/cm due to superior laminar flow patterns and a lower flow resistance resulting from the vertically aligned MWCNTs being used as the stationary phase medium. In addition, a fivefold reduction of band broadening was obtained when the analyte was separated by the chromatographic MWCNT array channel instead of the CE channel. From all of the results, we suggest that an in situ grown and directional MWCNT array can potentially be useful for preparing more diversified forms of stationary phases for vertically efficient chip-based electrochromatography.

  19. Microelectrode array-induced neuronal alignment directs neurite outgrowth: analysis using a fast Fourier transform (FFT).

    Science.gov (United States)

    Radotić, Viktorija; Braeken, Dries; Kovačić, Damir

    2017-12-01

    Many studies have shown that the topography of the substrate on which neurons are cultured can promote neuronal adhesion and guide neurite outgrowth in the same direction as the underlying topography. To investigate this effect, isotropic substrate-complementary metal-oxide-semiconductor (CMOS) chips were used as one example of microelectrode arrays (MEAs) for directing neurite growth of spiral ganglion neurons. Neurons were isolated from 5 to 7-day-old rat pups, cultured 1 day in vitro (DIV) and 4 DIV, and then fixed with 4% paraformaldehyde. For analysis of neurite alignment and orientation, fast Fourier transformation (FFT) was used. Results revealed that on the micro-patterned surface of a CMOS chip, neurons orient their neurites along three directional axes at 30, 90, and 150° and that neurites aligned in straight lines between adjacent pillars and mostly followed a single direction while occasionally branching perpendicularly. We conclude that the CMOS substrate guides neurites towards electrodes by means of their structured pillar organization and can produce electrical stimulation of aligned neurons as well as monitoring their neural activities once neurites are in the vicinity of electrodes. These findings are of particular interest for neural tissue engineering with the ultimate goal of developing a new generation of MEA essential for improved electrical stimulation of auditory neurons.

  20. Simultaneous pure-tone masking : the dependence of masking asymmetries on intensity

    NARCIS (Netherlands)

    Vogten, L.L.M.

    1978-01-01

    Phase locking between probe and masker was used in a series of pure-tone masking experiments. The masker was a stationary sine wave of variable frequency; the probe a fixed-frequency tone burst. We have observed that for small frequency separation the masking behaves asymmetrically around the probe

  1. Microfluidic "Pouch" Chips for Immunoassays and Nucleic Acid Amplification Tests.

    Science.gov (United States)

    Mauk, Michael G; Liu, Changchun; Qiu, Xianbo; Chen, Dafeng; Song, Jinzhao; Bau, Haim H

    2017-01-01

    Microfluidic cassettes ("chips") for processing and analysis of clinical specimens and other sample types facilitate point-of-care (POC) immunoassays and nucleic acid based amplification tests. These single-use test chips can be self-contained and made amenable to autonomous operation-reducing or eliminating supporting instrumentation-by incorporating laminated, pliable "pouch" and membrane structures for fluid storage, pumping, mixing, and flow control. Materials and methods for integrating flexible pouch compartments and diaphragm valves into hard plastic (e.g., acrylic and polycarbonate) microfluidic "chips" for reagent storage, fluid actuation, and flow control are described. We review several versions of these pouch chips for immunoassay and nucleic acid amplification tests, and describe related fabrication techniques. These protocols thus offer a "toolbox" of methods for storage, pumping, and flow control functions in microfluidic devices.

  2. Masked Photocathode for Photoinjector

    International Nuclear Information System (INIS)

    Qiang, Ji

    2010-01-01

    In this research note, we propose a scheme to insert a photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto the electrode, a masked electrode with small hole is used to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material very simple by rotating the photocathode behind the mask into the hole. This will significantly increase the usage lifetime of a photocathode. Furthermore, this also helps reduce the dark current or secondary electron emission from the photocathode. The hole on the mask also provides a transverse cut-off to the Gaussian laser profile which can be beneficial from the beam dynamics point of view.

  3. Design of Data Masking Architecture and Analysis of Data Masking Techniques for Testing

    OpenAIRE

    Ravikumar G K,; Manjunath T. N,; Ravindra S. Hegadi,; Archana.R.A

    2011-01-01

    Data masking is the process of obscuring-masking, specific data elements within data stores. It ensures that sensitive data is replaced with realistic but not real data. The goal is that sensitive customer information is not available outside of the authorized environment. Data masking is typically done while provisioning nonproduction environments so that copies created to support test and development processes are not exposing sensitive information and thus avoiding risks of leaking. Maskin...

  4. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    Science.gov (United States)

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  5. Silicon Chip-to-Chip Mode-Division Multiplexing

    DEFF Research Database (Denmark)

    Baumann, Jan Markus; Porto da Silva, Edson; Ding, Yunhong

    2018-01-01

    A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes.......A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes....

  6. Multipass comminution process to produce precision wood particles of uniform size and shape with disrupted grain structure from wood chips

    Science.gov (United States)

    Dooley, James H; Lanning, David N

    2014-05-27

    A process of comminution of wood chips (C) having a grain direction to produce a mixture of wood particles (P), wherein the wood chips are characterized by an average length dimension (L.sub.C) as measured substantially parallel to the grain, an average width dimension (W.sub.C) as measured normal to L.sub.C and aligned cross grain, and an average height dimension (H.sub.C) as measured normal to W.sub.C and L.sub.C, and wherein the comminution process comprises the step of feeding the wood chips in a direction of travel substantially randomly to the grain direction one or more times through a counter rotating pair of intermeshing arrays of cutting discs (D) arrayed axially perpendicular to the direction of wood chip travel.

  7. 3D-printed, sugar cube-size microplasma on a hybrid chip used as a spectral lamp to characterize UV-Vis transmission characteristics of polycarbonate chips for microfluidic applications

    Science.gov (United States)

    Devathasan, D.; Trebych, K.; Karanassios, Vassili

    2013-05-01

    A 3d-printed, solar-powered, battery-operated, atmospheric-pressure, self-igniting microplasma the size of a sugar-cube has been used as light source to document the Ultra Violet (UV) and visible transmission characteristics of differentthickness polycarbonate chips that are often used for microfluidic applications. The hybrid microplasma chip was fitted with a quartz plate because quartz is transparent to UV.

  8. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  9. Chip cleaning and regeneration for electrochemical sensor arrays

    Energy Technology Data Exchange (ETDEWEB)

    Bhalla, Vijayender [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy); Carrara, Sandro, E-mail: sandro.carrara@epfl.c [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy); Stagni, Claudio [Department DEIS, University of Bologna, viale Risorgimento 2, 40136 Bologna (Italy); Samori, Bruno [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy)

    2010-04-02

    Sensing systems based on electrochemical detection have generated great interest because electronic readout may replace conventional optical readout in microarray. Moreover, they offer the possibility to avoid labelling for target molecules. A typical electrochemical array consists of many sensing sites. An ideal micro-fabricated sensor-chip should have the same measured values for all the equivalent sensing sites (or spots). To achieve high reliability in electrochemical measurements, high quality in functionalization of the electrodes surface is essential. Molecular probes are often immobilized by using alkanethiols onto gold electrodes. Applying effective cleaning methods on the chip is a fundamental requirement for the formation of densely-packed and stable self-assembly monolayers. However, the available well-known techniques for chip cleaning may not be so reliable. Furthermore, it could be necessary to recycle the chip for reuse. Also in this case, an effective recycling technique is required to re-obtain well cleaned sensing surfaces on the chip. This paper presents experimental results on the efficacy and efficiency of the available techniques for initial cleaning and further recycling of micro-fabricated chips. Piranha, plasma, reductive and oxidative cleaning methods were applied and the obtained results were critically compared. Some interesting results were attained by using commonly considered cleaning methodologies. This study outlines oxidative electrochemical cleaning and recycling as the more efficient cleaning procedure for electrochemical based sensor arrays.

  10. Magnetic behaviour of arrays of Ni nanowires by electrodeposition into self-aligned titania nanotubes

    International Nuclear Information System (INIS)

    Prida, V.M.; Hernandez-Velez, M.; Cervera, M.; Pirota, K.; Sanz, R.; Navas, D.; Asenjo, A.; Aranda, P.; Ruiz-Hitzky, E.; Batallan, F.; Vazquez, M.; Hernando, B.; Menendez, A.; Bordel, N.; Pereiro, R.

    2005-01-01

    Arrays of Ni nanowires electrodeposited into self-aligned and randomly disordered titania nanotube arrays grown by anodization process are investigated by X-ray diffraction, SEM, rf-GDOES and VSM magnetometry. The titania nanotube outer diameter is about 160 nm, wall thickness ranging from 60 to 70 nm and 300 nm in depth. The so-obtained Ni nanowires reach above 100 nm diameter and 240 nm length, giving rise to coercive fields of 98 and 200 Oe in the perpendicular or parallel to the nanowires axis hysteresis loops, respectively. The formation of magnetic vortex domain states is also discussed

  11. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    Science.gov (United States)

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  13. A study on oxidation treatment of uranium metal chip under controlling atmosphere for safe storage

    International Nuclear Information System (INIS)

    Kim, Chang Kyu; Ji, Chul Goo; Bae, Sang Oh; Woo, Yoon Myeoung; Kim, Jong Goo; Ha, Yeong Keong

    2011-01-01

    The U metal chips generated in developing nuclear fuel and a gamma radioisotope shield have been stored under immersion of water in KAERI. When the water of the storing vessels vaporizes or drains due to unexpected leaking, the U metal chips are able to open to air. A new oxidation treatment process was raised for a long time safe storage with concepts of drying under vacuum, evaporating the containing water and organic material with elevating temperature, and oxidizing the uranium metal chips at an appropriate high temperature under conditions of controlling the feeding rate of oxygen gas. In order to optimize the oxidation process the uranium metal chips were completely dried at higher temperature than 300 .deg. C and tested for oxidation at various temperatures, which are 300 .deg. C, 400 .deg. C, and 500 .deg. C. When the oxidation temperature was 400 .deg. C, the oxidized sample for 7 hours showed a temperature rise of 60 .deg. C in the self-ignition test. But the oxidized sample for 14 hours revealed a slight temperature rise of 7 .deg. C representing a stable behavior in the self-ignition test. When the temperature was 500 .deg. C, the shorter oxidation for 7 hours appeared to be enough because the self-ignition test represented no temperature rise. By using several chemical analyses such as carbon content determination, X-ray deflection (XRD), Infrared spectra (IR) and Thermal gravimetric analysis (TGA) on the oxidation treated samples, the results of self-ignition test of new oxidation treatment process for U metal chip were interpreted and supported

  14. Fourier phasing with phase-uncertain mask

    International Nuclear Information System (INIS)

    Fannjiang, Albert; Liao, Wenjing

    2013-01-01

    Fourier phasing is the problem of retrieving Fourier phase information from Fourier intensity data. The standard Fourier phase retrieval (without a mask) is known to have many solutions which cause the standard phasing algorithms to stagnate and produce wrong or inaccurate solutions. In this paper Fourier phase retrieval is carried out with the introduction of a randomly fabricated mask in measurement and reconstruction. Highly probable uniqueness of solution, up to a global phase, was previously proved with exact knowledge of the mask. Here the uniqueness result is extended to the case where only rough information about the mask’s phases is assumed. The exponential probability bound for uniqueness is given in terms of the uncertainty-to-diversity ratio of the unknown mask. New phasing algorithms alternating between the object update and the mask update are systematically tested and demonstrated to have the capability of recovering both the object and the mask (within the object support) simultaneously, consistent with the uniqueness result. Phasing with a phase-uncertain mask is shown to be robust with respect to the correlation in the mask as well as the Gaussian and Poisson noises. (paper)

  15. Computing Challenges in Coded Mask Imaging

    Science.gov (United States)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  16. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    Science.gov (United States)

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  17. Orion Emergency Mask Approach

    Science.gov (United States)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  18. Alignment of carbon nanotubes in nematic liquid crystals

    NARCIS (Netherlands)

    Schoot, van der P.P.A.M.; Popa-Nita, V.; Kralj, S.

    2008-01-01

    The self-organizing properties of nematic liquid crystals can be used to align carbon nanotubes dispersed in them. Because the nanotubes are so much thinner than the elastic penetration length, the alignment is caused by the coupling of the unperturbed director field to the anisotropic interfacial

  19. Mask leak increases and minute ventilation decreases when chest compressions are added to bag ventilation in a neonatal manikin model.

    Science.gov (United States)

    Tracy, Mark B; Shah, Dharmesh; Hinder, Murray; Klimek, Jan; Marceau, James; Wright, Audrey

    2014-05-01

    To determine changes in respiratory mechanics when chest compressions are added to mask ventilation, as recommended by the International Liaison Committee on Resuscitation (ILCOR) guidelines for newborn infants. Using a Laerdal Advanced Life Support leak-free baby manikin and a 240-mL self-inflating bag, 58 neonatal staff members were randomly paired to provide mask ventilation, followed by mask ventilation with chest compressions with a 1:3 ratio, for two minutes each. A Florian respiratory function monitor was used to measure respiratory mechanics, including mask leak. The addition of chest compressions to mask ventilation led to a significant reduction in inflation rate, from 63.9 to 32.9 breaths per minute (p mask leak of 6.8% (p mask ventilation, in accordance with the ILCOR guidelines, in a manikin model is associated with a significant reduction in delivered ventilation and increase in mask leak. If similar findings occur in human infants needing an escalation in resuscitation, there is a potential risk of either delay in recovery or inadequate response to resuscitation. ©2014 Foundation Acta Paediatrica. Published by John Wiley & Sons Ltd.

  20. Reconstructing Faculty Roles to Align with Self- Authorship Development: The Gentle Art of Stepping Back

    Directory of Open Access Journals (Sweden)

    Deborah A. Day

    2014-11-01

    Full Text Available Student development has connections to important academic purposes in higher education (King, Baxter Magolda, Barber, Kendall Brown & Lindsay, 2009. In particular, a growing body of work on self-authorship, a social-constructive theory of development, has demonstrated relevance to the purposes of higher education (Baxter Magolda, 2001; King & Baxter Magolda, 2004. The conditions which support self-authorship development in academic settings have been studied in detail, drawing attention to what King et al. (2009 frame as developmentally effective educational experiences. Explorations of self-authorship development in academic settings have focused on students’ experiences and outcomes. The classroom experiences of faculty, particularly those working outside institutional initiatives, to support self-authorship have received less attention. This study used a theory-driven (Baxter Magolda, 2001; Pizzolato, 2005, practice-based research framework, to explore a faculty-student affairs collaboration through participant observation as the collaborators sought to align their teaching practices with the tenets of self-authorship development in the context of a senior undergraduate course in Service-Learning. Four themes emerged, which have relevance for those who wish to consider student personal and academic development concurrently. We argue that individual faculty members can collaborate with student affairs professionals and use self-authorship theory to expand their constructions of what it means to be a “good professor” by approaching teaching as a mirror image of the self-authorship journey traveled by students.

  1. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    Science.gov (United States)

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative to

  2. Planck CMB Anomalies: Astrophysical and Cosmological Secondary Effects and the Curse of Masking

    Science.gov (United States)

    Rassat, Anais

    2016-07-01

    Large-scale anomalies have been reported in CMB data with both WMAP and Planck data. These could be due to foreground residuals and or systematic effects, though their confirmation with Planck data suggests they are not due to a problem in the WMAP or Planck pipelines. If these anomalies are in fact primordial, then understanding their origin is fundamental to either validate the standard model of cosmology or to explore new physics. We investigate three other possible issues: 1) the trade-off between minimising systematics due to foreground contamination (with a conservative mask) and minimising systematics due to masking, 2) astrophysical secondary effects (the kinetic Doppler quadrupole and kinetic Sunyaev-Zel'dovich effect), and 3) secondary cosmological signals (the integrated Sachs-Wolfe effect). We address the masking issue by considering new procedures that use both WMAP and Planck to produce higher quality full-sky maps using the sparsity methodology (LGMCA maps). We show the impact of masking is dominant over that of residual foregrounds, and the LGMCA full-sky maps can be used without further processing to study anomalies. We consider four official Planck PR1 and two LGMCA CMB maps. Analysis of the observed CMB maps shows that only the low quadrupole and quadrupole-octopole alignment seem significant, but that the planar octopole, Axis of Evil, mirror parity and cold spot are not significant in nearly all maps considered. After subtraction of astrophysical and cosmological secondary effects, only the low quadrupole may still be considered anomalous, meaning the significance of only one anomaly is affected by secondary effect subtraction out of six anomalies considered. In the spirit of reproducible research all reconstructed maps and codes are available online.

  3. Low-cost low-power UHF RFID tag with on-chip antenna

    Energy Technology Data Exchange (ETDEWEB)

    Xi Jingtian; Yan Na; Che Wenyi; Xu Conghui; Wang Xiao; Yang Yuqing; Jian Hongyan; Min Hao, E-mail: jtxi@fudan.edu.c [State Key Laboratory of ASIC and System, Auto-ID Laboratory, Fudan University, Shanghai 201203 (China)

    2009-07-15

    This paper presents an EPC Class 1 Generation 2 compatible tag with on-chip antenna implemented in the SMIC 0.18 {mu}m standard CMOS process. The UHF tag chip includes an RF/analog front-end, a digital baseband, and a 640-bit EEPROM memory. The on-chip antenna is optimized based on a novel parasitic-aware model. The rectifier is optimized to achieve a power conversion efficiency up to 40% by applying a self-bias feedback and threshold compensation techniques. A good match between the tag circuits and the on-chip antenna is realized by adjusting the rectifier input impedance. Measurements show that the presented tag can achieve a communication range of 1 cm with 1 W reader output power using a 1 x 1 cm{sup 2} single-turn loop reader antenna.

  4. Metacontrast masking is processed before grapheme-color synesthesia.

    Science.gov (United States)

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  5. Flip chip assembly of thinned chips for hybrid pixel detector applications

    International Nuclear Information System (INIS)

    Fritzsch, T; Zoschke, K; Rothermund, M; Oppermann, H; Woehrmann, M; Ehrmann, O; Lang, K D; Huegging, F

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump deposition process the glass-readout chip stack is diced in one step. Finally the glass carrier chip is released by laser illumination after flip chip assembly of the readout chip onto sensor tile. The results of the flip chip assembly process development for the ATLAS IBL upgrade are described more in detail. The new ATLAS FEI4B chip with a size of 20 × 19 mm 2 is flip chip bonded with a thickness of only 150 μm, but the capability of this technology has been demonstrated on hybrid modules with a reduced readout chip thickness of down to 50 μm which is a major step for ultra-thin electronic systems

  6. An interactive tool for gamut masking

    Science.gov (United States)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  7. APPLICATION OF EQUIPMENT FOR AUTOMATIC CONTROL OF PLANAR STRUCTURES IN MANUFACTURING MASTER MASKS OF INTEGRATED CIRCUITS ON PHOTO-MASKS

    Directory of Open Access Journals (Sweden)

    S. M. Avakov

    2007-01-01

    Full Text Available Following the concept of defect-free manufacturing of master masks of IC on photo-masks, two Belarusian sets of optomechanical equipment for 0,3 5 p and 90 nanometers are presented in the paper. Each of the sets comprises:   • Multi-channel laser pattern generator; • Automatic mask defect inspection system; • Laser-based mask defect repair system.The paper contains description of automatic mask defect inspection process during photo-mask manufacturing and respective basic technological operations of the processes.Advantages of a complex approach to the development of a set of opto-mechanical equipment for defect-free manufacturing of photo-masks have been analyzed in the paper. 

  8. Design of TOPAZ masking system using EGS4

    International Nuclear Information System (INIS)

    Uno, Shoji

    1991-01-01

    There are two sources of the beam background in the e + e - collider experiments. One source is the synchrotron radiation from many magnets. Another source comes from the spent-electron hitting the beam pipe near the interaction region. To reduce the these background, TOPAZ masking system was designed using EGS4 code. The designed masking system consists of two pairs of masks which are called mask-1 and mask-2. The mask-1 is placed to intercept the spent-electron. The aperture of the mask-2 was determined for the synchrotron radiation photons not to hit the mask-1 directly. After these masks were installed, we are taking the data in the small beam background. (author)

  9. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    Science.gov (United States)

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  10. Fitting in and Feeling Good: The Relationships among Peer Alignment, Instructor Connectedness, and Self-Efficacy in Undergraduate Satisfaction with Engineering

    Science.gov (United States)

    Micari, Marina; Pazos, Pilar

    2016-01-01

    This study examined the relationships among peer alignment (the feeling that one is similar in important ways to one's engineering peers), instructor connectedness (the sense that one knows and looks up to academic staff/faculty members in the department), self-efficacy for engineering class work (confidence in one's ability to successfully…

  11. 3D printed nervous system on a chip.

    Science.gov (United States)

    Johnson, Blake N; Lancaster, Karen Z; Hogue, Ian B; Meng, Fanben; Kong, Yong Lin; Enquist, Lynn W; McAlpine, Michael C

    2016-04-21

    Bioinspired organ-level in vitro platforms are emerging as effective technologies for fundamental research, drug discovery, and personalized healthcare. In particular, models for nervous system research are especially important, due to the complexity of neurological phenomena and challenges associated with developing targeted treatment of neurological disorders. Here we introduce an additive manufacturing-based approach in the form of a bioinspired, customizable 3D printed nervous system on a chip (3DNSC) for the study of viral infection in the nervous system. Micro-extrusion 3D printing strategies enabled the assembly of biomimetic scaffold components (microchannels and compartmented chambers) for the alignment of axonal networks and spatial organization of cellular components. Physiologically relevant studies of nervous system infection using the multiscale biomimetic device demonstrated the functionality of the in vitro platform. We found that Schwann cells participate in axon-to-cell viral spread but appear refractory to infection, exhibiting a multiplicity of infection (MOI) of 1.4 genomes per cell. These results suggest that 3D printing is a valuable approach for the prototyping of a customized model nervous system on a chip technology.

  12. Mask Phenomenon in Communication

    Institute of Scientific and Technical Information of China (English)

    郎丽璇

    2013-01-01

    People sometimes wear masks. Abusive expression may be used to convey love while polite words can be exchanged among enemies. This essay describes and discusses this special phenomenon in communication and analyzes the elements that con-tribute to the success of a mask communication.

  13. The Moody Mask Model

    DEFF Research Database (Denmark)

    Larsen, Bjarke Alexander; Andkjær, Kasper Ingdahl; Schoenau-Fog, Henrik

    2015-01-01

    This paper proposes a new relation model, called "The Moody Mask model", for Interactive Digital Storytelling (IDS), based on Franceso Osborne's "Mask Model" from 2011. This, mixed with some elements from Chris Crawford's Personality Models, is a system designed for dynamic interaction between ch...

  14. Design and prototyping of self-centering optical single-mode fiber alignment structures

    International Nuclear Information System (INIS)

    Ebraert, Evert; Gao, Fei; Thienpont, Hugo; Van Erps, Jürgen; Beri, Stefano; Watté, Jan

    2016-01-01

    The European Commission’s goal of providing each European household with at least a 30 Mb s −1 Internet connection by 2020 would be facilitated by a widespread deployment of fibre-to-the-home, which would in turn be sped up by the development of connector essential components, such as high-precision alignment features. Currently, the performance of state-of-the-art physical contact optical fiber connectors is limited by the tolerance on the cladding of standard telecom-grade single-mode fiber (SMF), which is typically smaller than  ±1 μ m. We propose to overcome this limit by developing micro-spring-based self-centering alignment structures (SCAS) for SMF-connectors. We design these alignment structures with robustness and low-cost replication in mind, allowing for large-scale deployment. Both theoretical and finite element analysis (FEA) models are used to determine the optimal dimensions of the beams of which the micro-springs of the SCAS are comprised. Two topologies of the SCAS, consisting of three and four micro-springs respectively, are investigated for two materials: polysulfone (PSU) and polyetherimide (PEI). These materials hold great potential for high-performance fiber connectors while being compatible with low-cost production and with the harsh environmental operation conditions of those connectors. The theory and FEA agree well (<3% difference) for a simple micro-spring. When including a pedestal on the micro-spring (to bring it further away from the fiber) and for shorter spring lengths the agreement worsens. This is due to spring compression effects not being taken into account in our theoretical model. Prototypes are successfully fabricated using deep proton writing and subsequently characterized. The controlled insertion of an SMF in the SCAS is investigated and we determine that a force of 0.11 N is required. The fiber insertion also causes an out-of-plane deformation of the micro-springs in the SCAS of about 7 μ m, which is no

  15. Brightness masking is modulated by disparity structure.

    Science.gov (United States)

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  16. Gilded Silver Mask

    Institute of Scientific and Technical Information of China (English)

    1998-01-01

    This gilded silver mask from the Liao Dynasty is 31 cm long and 22.2 cm wide. The plump oval face was designed with a protruding brow ridge, narrow eyes, high-bridged nose and closed mouth. The chin is slightly round against a thin neck, the ears are long and the hair can be clearly seen from the finely carved lines. The use of masks was recorded as

  17. Collective motion of active Brownian particles with polar alignment.

    Science.gov (United States)

    Martín-Gómez, Aitor; Levis, Demian; Díaz-Guilera, Albert; Pagonabarraga, Ignacio

    2018-04-04

    We present a comprehensive computational study of the collective behavior emerging from the competition between self-propulsion, excluded volume interactions and velocity-alignment in a two-dimensional model of active particles. We consider an extension of the active brownian particles model where the self-propulsion direction of the particles aligns with the one of their neighbors. We analyze the onset of collective motion (flocking) in a low-density regime (10% surface area) and show that it is mainly controlled by the strength of velocity-alignment interactions: the competition between self-propulsion and crowding effects plays a minor role in the emergence of flocking. However, above the flocking threshold, the system presents a richer pattern formation scenario than analogous models without alignment interactions (active brownian particles) or excluded volume effects (Vicsek-like models). Depending on the parameter regime, the structure of the system is characterized by either a broad distribution of finite-sized polar clusters or the presence of an amorphous, highly fluctuating, large-scale traveling structure which can take a lane-like or band-like form (and usually a hybrid structure which is halfway in between both). We establish a phase diagram that summarizes collective behavior of polar active brownian particles and propose a generic mechanism to describe the complexity of the large-scale structures observed in systems of repulsive self-propelled particles.

  18. Shadows alter facial expressions of Noh masks.

    Directory of Open Access Journals (Sweden)

    Nobuyuki Kawai

    Full Text Available BACKGROUND: A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. METHODOLOGY/PRINCIPAL FINDINGS: In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. CONCLUSIONS/SIGNIFICANCE: Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety", which highly appreciates subtle emotional expressions in the darkness.

  19. Self-organizing magnetic beads for biomedical applications

    International Nuclear Information System (INIS)

    Gusenbauer, Markus; Kovacs, Alexander; Reichel, Franz; Exl, Lukas; Bance, Simon; Özelt, Harald; Schrefl, Thomas

    2012-01-01

    In the field of biomedicine magnetic beads are used for drug delivery and to treat hyperthermia. Here we propose to use self-organized bead structures to isolate circulating tumor cells using lab-on-chip technologies. Typically blood flows past microposts functionalized with antibodies for circulating tumor cells. Creating these microposts with interacting magnetic beads makes it possible to tune the geometry in size, position and shape. We developed a simulation tool that combines micromagnetics and discrete particle dynamics, in order to design micropost arrays made of interacting beads. The simulation takes into account the viscous drag of the blood flow, magnetostatic interactions between the magnetic beads and gradient forces from external aligned magnets. We developed a particle–particle particle–mesh method for effective computation of the magnetic force and torque acting on the particles. - Highlights: ► We propose to use self-organized bead structures to isolate circulating tumor cells. ► Flexible ways are important to get a high probability of catching cancer cells. ► The beads make it possible to tune the geometry in size position and shape.

  20. Aptaligner: automated software for aligning pseudorandom DNA X-aptamers from next-generation sequencing data.

    Science.gov (United States)

    Lu, Emily; Elizondo-Riojas, Miguel-Angel; Chang, Jeffrey T; Volk, David E

    2014-06-10

    Next-generation sequencing results from bead-based aptamer libraries have demonstrated that traditional DNA/RNA alignment software is insufficient. This is particularly true for X-aptamers containing specialty bases (W, X, Y, Z, ...) that are identified by special encoding. Thus, we sought an automated program that uses the inherent design scheme of bead-based X-aptamers to create a hypothetical reference library and Markov modeling techniques to provide improved alignments. Aptaligner provides this feature as well as length error and noise level cutoff features, is parallelized to run on multiple central processing units (cores), and sorts sequences from a single chip into projects and subprojects.

  1. Are Masking-Based Models of Risk Useful?

    Science.gov (United States)

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  2. Self-propelled in-tube shuttle and control system for automated measurements of magnetic field alignment

    International Nuclear Information System (INIS)

    Boroski, W.N.; Nicol, T.H.; Pidcoe, S.V.

    1990-03-01

    A magnetic field alignment gauge is used to measure the field angle as a function of axial position in each of the magnets for the Superconducting Super Collider (SSC). Present measurements are made by manually pushing the through the magnet bore tube and stopping at intervals to record field measurements. Gauge location is controlled through graduation marks and alignment pins on the push rods. Field measurements are recorded on a logging multimeter with tape output. Described is a computerized control system being developed to replace the manual procedure for field alignment measurements. The automated system employs a pneumatic walking device to move the measurement gauge through the bore tube. Movement of the device, called the Self-Propelled In-Tube Shuttle (SPITS), is accomplished through an integral, gas driven, double-acting cylinder. The motion of the SPITS is transferred to the bore tube by means of a pair of controlled, retractable support feet. Control of the SPITS is accomplished through an RS-422 interface from an IBM-compatible computer to a series of solenoid-actuated air valves. Direction of SPITS travel is determined by the air-valve sequence, and is managed through the control software. Precise axial position of the gauge within the magnet is returned to the control system through an optically-encoded digital position transducer attached to the shuttle. Discussed is the performance of the transport device and control system during preliminary testing of the first prototype shuttle. 1 ref., 7 figs

  3. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    OpenAIRE

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia.METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a ra...

  4. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    Science.gov (United States)

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  5. Mask materials in powderblasting

    NARCIS (Netherlands)

    Wensink, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt

    1999-01-01

    Powderblasting has the opportunity to become a standard technology in micromachining. To machine small details with powderbalsting, it is necessary to use a suiabled mask. In this paper four mask types ares examined. BF400 resist foil is most suitable for standard use in powderblasting for reason of

  6. A masking index for quantifying hidden glitches

    OpenAIRE

    Berti-Equille, Laure; Loh, J. M.; Dasu, T.

    2015-01-01

    Data glitches are errors in a dataset. They are complex entities that often span multiple attributes and records. When they co-occur in data, the presence of one type of glitch can hinder the detection of another type of glitch. This phenomenon is called masking. In this paper, we define two important types of masking and propose a novel, statistically rigorous indicator called masking index for quantifying the hidden glitches. We outline four cases of masking: outliers masked by missing valu...

  7. Shadows Alter Facial Expressions of Noh Masks

    Science.gov (United States)

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  8. 21 CFR 868.5570 - Nonrebreathing mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  9. 37 CFR 211.3 - Mask work fees.

    Science.gov (United States)

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  10. Flexible production and logistics of wood chips; Joustava hakkeen valmistus ja logistiikka

    Energy Technology Data Exchange (ETDEWEB)

    Haemaelaeinen, M; Pankakari, P [Metsaeenergia Meter Ky, Louhi (Finland)

    1997-12-31

    The target of the project was to develop a multi-purpose chipper-lorry (MOHA), which could be used both as chipping and transportation unit. MOHA is capable of chipping trees directly from the lot, so the size of the storage plays no role. Even though the MOHA-unit can be used as an individual transportation unit, it can also be used for feeding any further dispatch unit equipped with interchangeable container system e.g. when the transportation distances are long and the ordered volumes of wood chips are large. Previous projects concerning chipping-logistics are based on various intermediate storage models, but the MOHA delivers the chips strait from the lot to the site of utilization. The raw material short-distance haulage is reduced from previous 150 - 250 m to 1 - 50 m. In this new delivery model chips are bunkered only at largest heating plants. At smaller heating plants no bunker storages are needed. MOHA collects self the load, delivers it, brings, if controlled properly, back-haul, and delivers it to another site of utilization

  11. Flexible production and logistics of wood chips; Joustava hakkeen valmistus ja logistiikka

    Energy Technology Data Exchange (ETDEWEB)

    Haemaelaeinen, M.; Pankakari, P. [Metsaeenergia Meter Ky, Louhi (Finland)

    1996-12-31

    The target of the project was to develop a multi-purpose chipper-lorry (MOHA), which could be used both as chipping and transportation unit. MOHA is capable of chipping trees directly from the lot, so the size of the storage plays no role. Even though the MOHA-unit can be used as an individual transportation unit, it can also be used for feeding any further dispatch unit equipped with interchangeable container system e.g. when the transportation distances are long and the ordered volumes of wood chips are large. Previous projects concerning chipping-logistics are based on various intermediate storage models, but the MOHA delivers the chips strait from the lot to the site of utilization. The raw material short-distance haulage is reduced from previous 150 - 250 m to 1 - 50 m. In this new delivery model chips are bunkered only at largest heating plants. At smaller heating plants no bunker storages are needed. MOHA collects self the load, delivers it, brings, if controlled properly, back-haul, and delivers it to another site of utilization

  12. Orientation tuning of contrast masking caused by motion streaks.

    Science.gov (United States)

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  13. Non-periodic multi-slit masking for a single counter rotating 2-disc chopper and channeling guides for high resolution and high intensity neutron TOF spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bartkowiak, M.; Hofmann, T.; Stüßer, N.

    2017-02-01

    Energy resolution is an important design goal for time-of-flight instruments and neutron spectroscopy. For high-resolution applications, it is required that the burst times of choppers be short, going down to the µs-range. To produce short pulses while maintaining high neutron flux, we propose beam masks with more than two slits on a counter-rotating 2-disc chopper, behind specially adapted focusing multi-channel guides. A novel non-regular arrangement of the slits ensures that the beam opens only once per chopper cycle, when the masks are congruently aligned. Additionally, beam splitting and intensity focusing by guides before and after the chopper position provide high intensities even for small samples. Phase-space analysis and Monte Carlo simulations on examples of four-slit masks with adapted guide geometries show the potential of the proposed setup.

  14. A Comparative Study of the Monitoring of a Self Aligning Spherical Journal using Surface Vibration, Airborne Sound and Acoustic Emission

    International Nuclear Information System (INIS)

    Raharjo, P; Tesfa, B; Gu, F; Ball, A D

    2012-01-01

    A Self aligning spherical journal bearing is a plain bearing which has spherical surface contact that can be applied in high power industrial machinery. This type of bearing can accommodate a misalignment problem. The journal bearing faults degrade machine performance, decrease life time service and cause unexpected failure which are dangerous for safety issues. Non-intrusive measurements such as surface vibration (SV), airborne sound (AS) and acoustic emission (AE) measurement are appropriate monitoring methods for early stage journal bearing fault in low, medium and high frequency. This paper focuses on the performance comparison using SV, AS and AE measurements in monitoring a self aligning spherical journal bearing for normal and faulty (scratch) conditions. It examines the signals in the time domain and frequency domain and identifies the frequency ranges for each measurement in which significant changes are observed. The results of SV, AS and AE experiments indicate that the spectrum can be used to detect the differences between normal and faulty bearing. The statistic parameter shows that RMS value and peak value for faulty bearing is higher than normal bearing.

  15. 21 CFR 868.5590 - Scavenging mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  16. 21 CFR 868.5600 - Venturi mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  17. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  18. Planck CMB anomalies: astrophysical and cosmological secondary effects and the curse of masking

    International Nuclear Information System (INIS)

    Rassat, A.; Starck, J.-L.; Paykari, P.; Sureau, F.; Bobin, J.

    2014-01-01

    Large-scale anomalies have been reported in CMB data with both WMAP and Planck data. These could be due to foreground residuals and or systematic effects, though their confirmation with Planck data suggests they are not due to a problem in the WMAP or Planck pipelines. If these anomalies are in fact primordial, then understanding their origin is fundamental to either validate the standard model of cosmology or to explore new physics. We investigate three other possible issues: 1) the trade-off between minimising systematics due to foreground contamination (with a conservative mask) and minimising systematics due to masking, 2) astrophysical secondary effects (the kinetic Doppler quadrupole and kinetic Sunyaev-Zel'dovich effect), and 3) secondary cosmological signals (the integrated Sachs-Wolfe effect). We address the masking issue by considering new procedures that use both WMAP and Planck to produce higher quality full-sky maps using the sparsity methodology (LGMCA maps). We show the impact of masking is dominant over that of residual foregrounds, and the LGMCA full-sky maps can be used without further processing to study anomalies. We consider four official Planck PR1 and two LGMCA CMB maps. Analysis of the observed CMB maps shows that only the low quadrupole and quadrupole-octopole alignment seem significant, but that the planar octopole, Axis of Evil, mirror parity and cold spot are not significant in nearly all maps considered. After subtraction of astrophysical and cosmological secondary effects, only the low quadrupole may still be considered anomalous, meaning the significance of only one anomaly is affected by secondary effect subtraction out of six anomalies considered. In the spirit of reproducible research all reconstructed maps and codes will be made available for download here http://www.cosmostat.org/anomaliesCMB.html

  19. Planck CMB anomalies: astrophysical and cosmological secondary effects and the curse of masking

    Energy Technology Data Exchange (ETDEWEB)

    Rassat, A. [Laboratoire d' Astrophysique (LASTRO), École Polytechnique Fédérale de Lausanne (EPFL), 51 Chemin des Maillettes, Observatoire de Sauverny, Versoix, CH-1290 (Switzerland); Starck, J.-L.; Paykari, P.; Sureau, F.; Bobin, J., E-mail: anais.rassat@epfl.ch, E-mail: jstarck@cea.fr, E-mail: paniez.paykari@cea.fr, E-mail: florent.sureau@cea.fr, E-mail: jbobin@cea.fr [Laboratoire AIM, UMR CEA-CNRS-Paris, Irfu, SAp, CEA Saclay, Gif-Sur-Yvette Cedex, F-91191 France (France)

    2014-08-01

    Large-scale anomalies have been reported in CMB data with both WMAP and Planck data. These could be due to foreground residuals and or systematic effects, though their confirmation with Planck data suggests they are not due to a problem in the WMAP or Planck pipelines. If these anomalies are in fact primordial, then understanding their origin is fundamental to either validate the standard model of cosmology or to explore new physics. We investigate three other possible issues: 1) the trade-off between minimising systematics due to foreground contamination (with a conservative mask) and minimising systematics due to masking, 2) astrophysical secondary effects (the kinetic Doppler quadrupole and kinetic Sunyaev-Zel'dovich effect), and 3) secondary cosmological signals (the integrated Sachs-Wolfe effect). We address the masking issue by considering new procedures that use both WMAP and Planck to produce higher quality full-sky maps using the sparsity methodology (LGMCA maps). We show the impact of masking is dominant over that of residual foregrounds, and the LGMCA full-sky maps can be used without further processing to study anomalies. We consider four official Planck PR1 and two LGMCA CMB maps. Analysis of the observed CMB maps shows that only the low quadrupole and quadrupole-octopole alignment seem significant, but that the planar octopole, Axis of Evil, mirror parity and cold spot are not significant in nearly all maps considered. After subtraction of astrophysical and cosmological secondary effects, only the low quadrupole may still be considered anomalous, meaning the significance of only one anomaly is affected by secondary effect subtraction out of six anomalies considered. In the spirit of reproducible research all reconstructed maps and codes will be made available for download here http://www.cosmostat.org/anomaliesCMB.html.

  20. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  1. 21 CFR 868.5580 - Oxygen mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device...

  2. Comminution process to produce precision wood particles of uniform size and shape with disrupted grain structure from wood chips

    Science.gov (United States)

    Dooley, James H; Lanning, David N

    2013-08-13

    A process of comminution of wood chips (C) having a grain direction to produce a mixture of wood particles (P), wherein the wood chips are characterized by an average length dimension (L.sub.C) as measured substantially parallel to the grain, an average width dimension (W.sub.C) as measured normal to L.sub.C and aligned cross grain, and an average height dimension (H.sub.C) as measured normal to W.sub.C and L.sub.C, and wherein the comminution process comprises the step of feeding the wood chips in a direction of travel substantially randomly to the grain direction through a counter rotating pair of intermeshing arrays of cutting discs (D) arrayed axially perpendicular to the direction of wood chip travel, wherein the cutting discs have a uniform thickness (T.sub.D), and wherein at least one of L.sub.C, W.sub.C, and H.sub.C is greater than T.sub.D.

  3. Enhancing Photoresponsivity of Self-Aligned MoS2 Field-Effect Transistors by Piezo-Phototronic Effect from GaN Nanowires.

    Science.gov (United States)

    Liu, Xingqiang; Yang, Xiaonian; Gao, Guoyun; Yang, Zhenyu; Liu, Haitao; Li, Qiang; Lou, Zheng; Shen, Guozhen; Liao, Lei; Pan, Caofeng; Lin Wang, Zhong

    2016-08-23

    We report high-performance self-aligned MoS2 field-effect transistors (FETs) with enhanced photoresponsivity by the piezo-phototronic effect. The FETs are fabricated based on monolayer MoS2 with a piezoelectric GaN nanowire (NW) as the local gate, and a self-aligned process is employed to define the source/drain electrodes. The fabrication method allows the preservation of the intrinsic property of MoS2 and suppresses the scattering center density in the MoS2/GaN interface, which results in high electrical and photoelectric performances. MoS2 FETs with channel lengths of ∼200 nm have been fabricated with a small subthreshold slope of 64 mV/dec. The photoresponsivity is 443.3 A·W(-1), with a fast response and recovery time of ∼5 ms under 550 nm light illumination. When strain is introduced into the GaN NW, the photoresponsivity is further enhanced to 734.5 A·W(-1) and maintains consistent response and recovery time, which is comparable with that of the mechanical exfoliation of MoS2 transistors. The approach presented here opens an avenue to high-performance top-gated piezo-enhanced MoS2 photodetectors.

  4. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    Science.gov (United States)

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (face mask is readily adopted in radiotherapy clinic as a superior alternative to the standard full‐head mask. PACS numbers: 87.19.xj, 87.63.L‐, 87.59.‐e, 87.55.tg, 87.55.‐x PMID:24036878

  5. Aligners: the Invisible Corrector-A Boon or Bane.

    Science.gov (United States)

    Mahendra, Lodd

    2018-03-01

    The trend of clinical orthodontics has shown a palpable shift from conventional braces to innovative technologies like invisible aligners. Aligners are sequences of clear trays worn by patients to straighten their teeth. They were envisaged for the main purpose of esthetics, mainly directed toward self-conscious teenagers who otherwise would shy away from essential correction of malocclusion.

  6. Simulation-based MDP verification for leading-edge masks

    Science.gov (United States)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  7. An in vitro study into the efficacy of complex tooth alignment with conventional and self-ligating brackets.

    Science.gov (United States)

    Montasser, M A; Keilig, L; Bourauel, C

    2015-02-01

    To evaluate the efficacy of tooth alignment achieved by various small cross-section archwire/bracket combinations using the orthodontic measurement and simulation system. The study comprised three types of orthodontic brackets 1) conventional ligating (Victory Series and Mini-Taurus), 2) self-ligating (SmartClip a passive self-ligating bracket and Time3 an active self-ligating bracket), and 3) a conventional low-friction bracket (Synergy). All brackets had a nominal 0.022″ slot size. Brackets were combined with 1) 0.012″ stainless steel, 2) 0.012″ Orthonol, 3) 0.012″ Thermalloy, and 4) 0.0155″ coaxial archwires. Archwires were tied to the conventional brackets with stainless steel ligatures and elastomeric rings. The malocclusion simulated represented a central upper incisor displaced 2 mm gingivally (x-axis) and 2 mm labially (z-axis). The inciso-gingival correction achieved by the different archwire/bracket combinations ranged from 15 to 95%, while the labio-lingual correction ranged from 10 to 95%. The smallest correction was achieved by coaxial, Orthonol, and thermally archwires when ligated with the elastomeric rings to conventional brackets. Stainless steel archwires achieved from 65 to 90% of inciso-gingival correction and from 60 to 90% of labio-lingual correction. The resultant tooth alignment was the product of interaction between the archwire type, bracket type, and bracket design including ligature type. Small cross-sectional archwires might produce up to 95% correction if combined properly with the bracket system. Elastomeric rings when used with conventional brackets limit the efficacy of malalignment correction. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  8. Individuals and Their Masks

    Directory of Open Access Journals (Sweden)

    Belén Altuna

    2009-08-01

    Full Text Available This essay works on the opposition between face and mask, where ‘face’ is understood as that which makes every human being singular, and makes visible her or his unique worth, while ‘mask’ is understood as whatever hides that singularity, and refers to a category, stereotype or cliché. The etymological history that relates face and mask to the concept of person, and the history of modern portrait painting, which alternates representations of face and mask, both lead to a discussion with authors who diagnose a contemporary “defeat of the face” as a result of the crisis of humanism and of ethical individualism, which give meaning and dignity to that face.

  9. Image differencing using masked CCD

    International Nuclear Information System (INIS)

    Rushbrooke, J.G.; Ansorge, R.E.; Webber, C.J. St. J.

    1987-01-01

    A charge coupled device has some of its ''pixels'' masked by a material which is opaque to the radiation to which the device is to be exposed, each masked region being employed as a storage zone into which the charge pattern from the unmasked pixels can be transferred to enable a subsequent charge pattern to be established on further exposure of the unmasked pixels. The components of the resulting video signal corresponding to the respective charge patterns read-out from the CCD are subtracted to produce a video signal corresponding to the difference between the two images which formed the respective charge patterns. Alternate rows of pixels may be masked, or chequer-board pattern masking may be employed. In an X-ray imaging system the CCD is coupled to image intensifying and converting means. (author)

  10. Evaluation of a new pediatric positive airway pressure mask.

    Science.gov (United States)

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  11. Paper S12 5 : Self-aligned a-IGZO TFTs : Impact of S/D contacts formation on their Negative-Bias-Illumination-Stress (NBIS) instability

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Smout, S.; Bhoolokam, A.; Genoe, J.; Cobb, B.; Kumar, A.; Groeseneken, G.; Heremans, P.

    2015-01-01

    In this work, we present the impact of S/D contact formation, that is, by SiN plasma doping (hydrogen incorporation), metallic reduction (by calcium) and by argon plasma (compositional change) on NBIS instabilities of self-aligned a-IGZO TFTs.

  12. Fiber free plug and play on-chip scattering cytometer module – for implementation in microfluidic point of care devices

    DEFF Research Database (Denmark)

    Jensen, Thomas Glasdam; Kutter, Jörg Peter

    2010-01-01

    In this paper, we report on recent progress toward the development of a plug and play on-chip cytometer based on light scattering. By developing a device that does not depend on the critical alignment and cumbersome handling of fragile optical fibers, we approach a device that is suitable for non...

  13. Sinusoidal masks for single channel speech separation

    DEFF Research Database (Denmark)

    Mowlaee, Pejman; Christensen, Mads Græsbøll; Jensen, Søren Holdt

    2010-01-01

    In this paper we present a new approach for binary and soft masks used in single-channel speech separation. We present a novel approach called the sinusoidal mask (binary mask and Wiener filter) in a sinusoidal space. Theoretical analysis is presented for the proposed method, and we show...... that the proposed method is able to minimize the target speech distortion while suppressing the crosstalk to a predetermined threshold. It is observed that compared to the STFTbased masks, the proposed sinusoidal masks improve the separation performance in terms of objective measures (SSNR and PESQ) and are mostly...

  14. Predicting masking release of lateralized speech

    DEFF Research Database (Denmark)

    Chabot-Leclerc, Alexandre; MacDonald, Ewen; Dau, Torsten

    2016-01-01

    . The largest masking release (MR) was observed when all maskers were on the opposite side of the target. The data in the conditions containing only energetic masking and modulation masking could be accounted for using a binaural extension of the speech-based envelope power spectrum model [sEPSM; Jørgensen et...... al., 2013, J. Acoust. Soc. Am. 130], which uses a short-term equalization-cancellation process to model binaural unmasking. In the conditions where informational masking (IM) was involved, the predicted SRTs were lower than the measured values because the model is blind to confusions experienced...

  15. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    Science.gov (United States)

    Han, D.; Xia, Y.; Yokota, S.; Kim, J. W.

    2017-12-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µm are experimentally investigated. In the case of 500 µm KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µm were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm-3) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm-3) fabricated by front UV. This paper proves that the proposed

  16. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    International Nuclear Information System (INIS)

    Han, D; Xia, Y; Yokota, S; Kim, J W

    2017-01-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µ m are experimentally investigated. In the case of 500 µ m KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µ m were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm −3 ) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm −3 ) fabricated by front UV. This paper proves that the

  17. Comparative assessment of alignment efficiency and space closure of active and passive self-ligating vs conventional appliances in adolescents: a single-center randomized controlled trial.

    Science.gov (United States)

    Songra, Goldie; Clover, Matthew; Atack, Nikki E; Ewings, Paul; Sherriff, Martyn; Sandy, Jonathan R; Ireland, Anthony J

    2014-05-01

    The aim of this study was to compare the time to initial alignment and extraction space closure using conventional brackets and active and passive self-ligating brackets. One hundred adolescent patients 11 to 18 years of age undergoing maxillary and mandibular fixed appliance therapy after the extraction of 4 premolars were randomized with stratification of 2 age ranges (11-14 and 15-18 years) and 3 maxillomandibular plane angles (high, medium, and low) with an allocation ratio of 1:2:2. Restrictions were applied using a block size of 10. Allocation was to 1 of 3 treatment groups: conventional brackets, active self-ligating, or passive self-ligating brackets. All subjects were treated with the same archwire sequence and space-closing mechanics in a district general hospital setting. The trial was a 3-arm parallel design. Labial-segment alignment and space closure were measured on study models taken every 12 weeks throughout treatment. All measurements were made by 1 operator who was blinded to bracket type. The patients and other operators were not blinded to bracket type during treatment. Ninety-eight patients were followed to completion of treatment (conventional, n = 20; active self-ligating brackets, n = 37; passive self-ligating brackets, n = 41). The data were analyzed using linear mixed models and demonstrated a significant effect of bracket type on the time to initial alignment (P = 0.001), which was shorter with the conventional brackets than either of the self-ligating brackets. Sidak's adjustment showed no significant difference in effect size (the difference in average response in millimeters) between the active and passive self-ligating brackets (the results are presented as effect size, 95% confidence intervals, probabilities, and intraclass correlation coefficients) (-0.42 [-1.32, 0.48], 0.600, 0.15), but the conventional bracket was significantly different from both of these (-1.98 [-3.19, -0.76], 0.001, 0.15; and -1.56 [-2.79, -0.32], 0.001, 0

  18. Rapid iconic erasure without masking.

    Science.gov (United States)

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  19. Flip chip assembly of thinned chips for hybrid pixel detector applications

    CERN Document Server

    Fritzsch, T; Woehrmann, M; Rothermund, M; Huegging, F; Ehrmann, O; Oppermann, H; Lang, K.D

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump depo...

  20. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  1. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials

    DEFF Research Database (Denmark)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L.

    2013-01-01

    at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result......The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound...... in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners...

  2. New data on masking reagents in complexometry

    International Nuclear Information System (INIS)

    Yurist, I.M.; Talmud, M.M.; Zajtsev, P.M.

    1985-01-01

    Recent literature data on employing inorganic and organic oxygen-, nitrogen- and sulfur-containing substances as masking reagents (MR) in complexonometry of alkali earths, rare earths and transition elements are reviewed for the period of 1971-1983. Effectiveness of any type of MR is shown to be dependent on the electron configuration of a cation being masked. Sr, La, Th, V(6), Zr, Hf, V(5), Nb(5), Ta(5), Mo(6), W(6) a.o. are masked by oxygen-containing ligands. Zn, Cd, Fe(2, 3), Co(2, 3), Ni, etc. are masked by nitrogen- and sulfur-bearing ligands. Thiocompounds mask mainly In, Tl(3), Sn(2), Pb, Bi

  3. On-chip concentration of bacteria using a 3D dielectrophoretic chip and subsequent laser-based DNA extraction in the same chip

    International Nuclear Information System (INIS)

    Cho, Yoon-Kyoung; Kim, Tae-hyeong; Lee, Jeong-Gun

    2010-01-01

    We report the on-chip concentration of bacteria using a dielectrophoretic (DEP) chip with 3D electrodes and subsequent laser-based DNA extraction in the same chip. The DEP chip has a set of interdigitated Au post electrodes with 50 µm height to generate a network of non-uniform electric fields for the efficient trapping by DEP. The metal post array was fabricated by photolithography and subsequent Ni and Au electroplating. Three model bacteria samples (Escherichia coli, Staphylococcus epidermidis, Streptococcus mutans) were tested and over 80-fold concentrations were achieved within 2 min. Subsequently, on-chip DNA extraction from the concentrated bacteria in the 3D DEP chip was performed by laser irradiation using the laser-irradiated magnetic bead system (LIMBS) in the same chip. The extracted DNA was analyzed with silicon chip-based real-time polymerase chain reaction (PCR). The total process of on-chip bacteria concentration and the subsequent DNA extraction can be completed within 10 min including the manual operation time.

  4. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  5. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    OpenAIRE

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively ev...

  6. A self-consistent two-dimensional resistive fluid theory of field-aligned potential structures including charge separation and magnetic and velocity shear

    International Nuclear Information System (INIS)

    Hesse, M.; Birn, J.; Schindler, K.

    1990-01-01

    A self-consistent two-fluid theory that includes the magnetic field and shear patterns therein is developed to model stationary electrostatic structures with field-aligned potential drops. Shear flow is also included in the theory since this seems to be a prominent feature of the structures of interest. In addition, Ohmic dissipation, a Hall term and pressure gradients in a generalized Ohm's law, modified for cases without quasi-neutrality are included. In the analytic theory, the electrostatic force is balanced by field-aligned pressure gradients, i.e., thermal effects in the direction of the magnetic field, and by pressure gradients and magnetic stresses in the perpendicular direction. Within this theory simple examples of applications are presented to demonstrate the kind of solutions resulting from the model. The results show how the effects of charge separation and shear in the magnetic field and the velocity can be combined to form self-consistent structures such as are found to exist above the aurora, suggested also in association with solar flares

  7. FY1995 trial production of brain functional chip; 1995 nendo no kino shuseki chip no shisaku

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The present computer system will run on a program which is prepared in advance. On the other hand, the human brain can acquire some processes from learning with experiments. It would be very useful us human nature, if these learning process should be build up artificially. Our aim is to reveal basic self-acquiring mechanism of information and its processes of the brain, and preliminary research, including theoretical problems, for building up specialized processor chip. Many research on the brain have been held at the views of scientifically and medically. However; we focused on the principle brain learning process itself. The results of the research was directly realized on a specialized processor chip tuned for high-speed simulation of neural network. We could pointed out some problems on the present brain type processor, and discussed about basic technique for implementation of the next age brain type processor and theories. (NEDO)

  8. Comparing signal intensity and refraction sensitivity of double and single mask edge illumination lab-based x-ray phase contrast imaging set-ups

    International Nuclear Information System (INIS)

    Kallon, G K; Diemoz, P C; Vittoria, F A; Basta, D; Endrizzi, M; Olivo, A

    2017-01-01

    Double mask edge illumination (DM-EI) set-ups can detect differential phase and attenuation information from a sample. However, analytical separation of the two signals often requires acquiring two frames with inverted differential phase contrast signals. Typically, between these two acquisitions, the first mask is moved to create a different illumination condition. This can lead to potential errors which adversely affect the data collected. In this paper, we implement a single mask EI laboratory set-up that allows for a single shot retrieval of the differential phase and attenuation images, without the need for a high resolution detector or high magnification. As well as simplifying mask alignment, the advantages of the proposed set-up can be exploited in one of two ways: either the total acquisition time can be halved with respect to the DM-EI set-up or, for the same acquisition time, twice the statistics can be collected. In this latter configuration, the signal-to-noise ratio and contrast in the mixed intensity images, and the angular sensitivity of the two set-ups were compared. We also show that the angular sensitivity of the single mask set-up can be well approximated from its illumination curve, which has been modelled as a convolution between the source spatial distribution at the detector plane, the pre-sample mask and the detector point spread function (PSF). A polychromatic wave optics simulation was developed on these bases and benchmarked against experimental data. It can also be used to predict the angular sensitivity and contrast of any set-up as a function of detector PSF. (paper)

  9. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  10. Fabrication of nano-sized magnetic tunnel junctions using lift-off process assisted by atomic force probe tip.

    Science.gov (United States)

    Jung, Ku Youl; Min, Byoung-Chul; Ahn, Chiyui; Choi, Gyung-Min; Shin, Il-Jae; Park, Seung-Young; Rhie, Kungwon; Shin, Kyung-Ho

    2013-09-01

    We present a fabrication method for nano-scale magnetic tunnel junctions (MTJs), employing e-beam lithography and lift-off process assisted by the probe tip of atomic force microscope (AFM). It is challenging to fabricate nano-sized MTJs on small substrates because it is difficult to use chemical mechanical planarization (CMP) process. The AFM-assisted lift-off process enables us to fabricate nano-sized MTJs on small substrates (12.5 mm x 12.5 mm) without CMP process. The e-beam patterning has been done using bi-layer resist, the poly methyl methacrylate (PMMA)/ hydrogen silsesquioxane (HSQ). The PMMA/HSQ resist patterns are used for both the etch mask for ion milling and the self-aligned mask for top contact formation after passivation. The self-aligned mask buried inside a passivation oxide layer, is readily lifted-off by the force exerted by the probe tip. The nano-MTJs (160 nm x 90 nm) fabricated by this method show clear current-induced magnetization switching with a reasonable TMR and critical switching current density.

  11. Ultra-thin silicon (UTSi) on insulator CMOS transceiver and time-division multiplexed switch chips for smart pixel integration

    Science.gov (United States)

    Zhang, Liping; Sawchuk, Alexander A.

    2001-12-01

    We describe the design, fabrication and functionality of two different 0.5 micron CMOS optoelectronic integrated circuit (OEIC) chips based on the Peregrine Semiconductor Ultra-Thin Silicon on insulator technology. The Peregrine UTSi silicon- on-sapphire (SOS) technology is a member of the silicon-on- insulator (SOI) family. The low-loss synthetic sapphire substrate is optically transparent and has good thermal conductivity and coefficient of thermal expansion properties, which meet the requirements for flip-chip bonding of VCSELs and other optoelectronic input-output components. One chip contains transceiver and network components, including four channel high-speed CMOS transceiver modules, pseudo-random bit stream (PRBS) generators, a voltage controlled oscillator (VCO) and other test circuits. The transceiver chips can operate in both self-testing mode and networking mode. An on- chip clock and true-single-phase-clock (TSPC) D-flip-flop have been designed to generate a PRBS at over 2.5 Gb/s for the high-speed transceiver arrays to operate in self-testing mode. In the networking mode, an even number of transceiver chips forms a ring network through free-space or fiber ribbon interconnections. The second chip contains four channel optical time-division multiplex (TDM) switches, optical transceiver arrays, an active pixel detector and additional test devices. The eventual applications of these chips will require monolithic OEICs with integrated optical input and output. After fabrication and testing, the CMOS transceiver array dies will be packaged with 850 nm vertical cavity surface emitting lasers (VCSELs), and metal-semiconductor- metal (MSM) or GaAs p-i-n detector die arrays to achieve high- speed optical interconnections. The hybrid technique could be either wire bonding or flip-chip bonding of the CMOS SOS smart-pixel arrays with arrays of VCSELs and photodetectors onto an optoelectronic chip carrier as a multi-chip module (MCM).

  12. Comparison of the OxyMask and Venturi Mask in the Delivery of Supplemental Oxygen: Pilot Study in Oxygen-Dependent Patients

    Directory of Open Access Journals (Sweden)

    Jaime M Beecroft

    2006-01-01

    Full Text Available BACKGROUND: The OxyMask (Southmedic Inc, Canada is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA in patients with chronic hypoxemia.

  13. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  14. A Low Mass On-Chip Readout Scheme for Double-Sided Silicon Strip Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Irmler, C., E-mail: christian.irmler@oeaw.ac.at [HEPHY Vienna – Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, A-1050 Vienna (Austria); Bergauer, T.; Frankenberger, A.; Friedl, M.; Gfall, I. [HEPHY Vienna – Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, A-1050 Vienna (Austria); Higuchi, T. [University of Tokyo, Kavli Institute for Physics and Mathematics of the Universe, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8583 (Japan); Ishikawa, A. [Tohoku University, Department of Physics, Aoba Aramaki Aoba-ku, Sendai 980-8578 (Japan); Joo, C. [Seoul National University, High Energy Physics Laboratory, 25-107 Shinlim-dong, Kwanak-gu, Seoul 151-742 (Korea, Republic of); Kah, D.H.; Kang, K.H. [Kyungpook National University, Department of Physics, 1370 Sankyuk Dong, Buk Gu, Daegu 702-701 (Korea, Republic of); Rao, K.K. [Tata Institute of Fundamental Research, Experimental High Energy Physics Group, Homi Bhabha Road, Mumbai 400 005 (India); Kato, E. [Tohoku University, Department of Physics, Aoba Aramaki Aoba-ku, Sendai 980-8578 (Japan); Mohanty, G.B. [Tata Institute of Fundamental Research, Experimental High Energy Physics Group, Homi Bhabha Road, Mumbai 400 005 (India); Negishi, K. [Tohoku University, Department of Physics, Aoba Aramaki Aoba-ku, Sendai 980-8578 (Japan); Onuki, Y.; Shimizu, N. [University of Tokyo, Department of Physics, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Tsuboyama, T. [KEK, 1-1 Oho, Tsukuba, Ibaraki 305-0801 (Japan); Valentan, M. [HEPHY Vienna – Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, A-1050 Vienna (Austria)

    2013-12-21

    B-factories like the KEKB in Tsukuba, Japan, operate at relatively low energies and thus require detectors with very low material budget in order to minimize multiple scattering. On the other hand, front-end chips with short shaping time like the APV25 have to be placed as close to the sensor strips as possible to reduce the capacitive load, which mainly determines the noise figure. In order to achieve both – minimal material budget and low noise – we developed a readout scheme for double-sided silicon detectors, where the APV25 chips are placed on a flexible circuit, which is glued onto the top side of the sensor. The bottom-side strips are connected by two flexible circuits, which are bent around the edge of the sensor. This so-called “Origami” design will be utilized to build the Silicon Vertex Detector of the Belle II experiment, which will consist of four layers made from ladders with up to five double-sided silicon strip sensors in a row. Each ladder will be supported by two ribs made of a carbon fiber and Airex foam core sandwich. The heat dissipated by the front-end chips will be removed by a highly efficient two-phase CO{sub 2} system. Thanks to the Origami concept, all APV25 chips are aligned in a row and thus can be cooled by a single thin cooling pipe per ladder. We present the concept and the assembly procedure of the Origami chip-on-sensor modules.

  15. A Low Mass On-Chip Readout Scheme for Double-Sided Silicon Strip Detectors

    International Nuclear Information System (INIS)

    Irmler, C.; Bergauer, T.; Frankenberger, A.; Friedl, M.; Gfall, I.; Higuchi, T.; Ishikawa, A.; Joo, C.; Kah, D.H.; Kang, K.H.; Rao, K.K.; Kato, E.; Mohanty, G.B.; Negishi, K.; Onuki, Y.; Shimizu, N.; Tsuboyama, T.; Valentan, M.

    2013-01-01

    B-factories like the KEKB in Tsukuba, Japan, operate at relatively low energies and thus require detectors with very low material budget in order to minimize multiple scattering. On the other hand, front-end chips with short shaping time like the APV25 have to be placed as close to the sensor strips as possible to reduce the capacitive load, which mainly determines the noise figure. In order to achieve both – minimal material budget and low noise – we developed a readout scheme for double-sided silicon detectors, where the APV25 chips are placed on a flexible circuit, which is glued onto the top side of the sensor. The bottom-side strips are connected by two flexible circuits, which are bent around the edge of the sensor. This so-called “Origami” design will be utilized to build the Silicon Vertex Detector of the Belle II experiment, which will consist of four layers made from ladders with up to five double-sided silicon strip sensors in a row. Each ladder will be supported by two ribs made of a carbon fiber and Airex foam core sandwich. The heat dissipated by the front-end chips will be removed by a highly efficient two-phase CO 2 system. Thanks to the Origami concept, all APV25 chips are aligned in a row and thus can be cooled by a single thin cooling pipe per ladder. We present the concept and the assembly procedure of the Origami chip-on-sensor modules

  16. Contralateral tactile masking between forearms.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-03-01

    Masking effects have been demonstrated in which tactile sensitivity is affected when one touch is close to another on the body surface. Such effects are likely a result of local lateral inhibitory circuits that sharpen the spatial tuning of a given tactile receptor. Mutually inhibitory pathways have also been demonstrated between cortical tactile maps of the two halves of the body. Occasional reports have indicated that touches on one hand or forearm can affect tactile sensitivity at contralateral locations. Here, we measure the spatial tuning and effect of posture on this contralateral masking effect. Tactile sensitivity was measured on one forearm, while vibrotactile masking stimulation was applied to the opposite arm. Results were compared to sensitivity while vibrotactile stimulation was applied to a control site on the right shoulder. Sensitivity on the forearm was reduced by over 3 dB when the arms were touching and by 0.52 dB when they were held parallel. The masking effect depended on the position of the masking stimulus. Its effectiveness fell off by 1 STD when the stimulus was 29 % of arm length from the corresponding contralateral point. This long-range inhibitory effect in the tactile system suggests a surprisingly intimate relationship between the two sides of the body.

  17. Chips 2020

    CERN Document Server

    2016-01-01

    The release of this second volume of CHIPS 2020 coincides with the 50th anniversary of Moore’s Law, a critical year marked by the end of the nanometer roadmap and by a significantly reduced annual rise in chip performance. At the same time, we are witnessing a data explosion in the Internet, which is consuming 40% more electrical power every year, leading to fears of a major blackout of the Internet by 2020. The messages of the first CHIPS 2020, published in 2012, concerned the realization of quantum steps for improving the energy efficiency of all chip functions. With this second volume, we review these messages and amplify upon the most promising directions: ultra-low-voltage electronics, nanoscale monolithic 3D integration, relevant-data, brain- and human-vision-inspired processing, and energy harvesting for chip autonomy. The team of authors, enlarged by more world leaders in low-power, monolithic 3D, video, and Silicon brains, presents new vistas in nanoelectronics, promising  Moore-like exponential g...

  18. Fabrication and characterization of a solid-state nanopore with self-aligned carbon nanoelectrodes for molecular detection

    International Nuclear Information System (INIS)

    Spinney, Patrick S; Collins, Scott D; Smith, Rosemary L; Howitt, David G

    2012-01-01

    Stochastic molecular sensors based on resistive pulse nanopore modalities are envisioned as facile DNA sequencers. However, recent advances in nanotechnology fabrication have highlighted promising alternative detection mechanisms with higher sensitivity and potential single-base resolution. In this paper we present the novel self-aligned fabrication of a solid-state nanopore device with integrated transverse graphene-like carbon nanoelectrodes for polyelectrolyte molecular detection. The electrochemical transduction mechanism is characterized and found to result primarily from thermionic emission between the two transverse electrodes. Response of the nanopore to Lambda dsDNA and short (16-mer) ssDNA is demonstrated and distinguished. (paper)

  19. On-Chip AC self-test controller

    Science.gov (United States)

    Flanagan, John D [Rhinebeck, NY; Herring, Jay R [Poughkeepsie, NY; Lo, Tin-Chee [Fishkill, NY

    2009-09-29

    A system for performing AC self-test on an integrated circuit that includes a system clock for normal operation is provided. The system includes the system clock, self-test circuitry, a first and second test register to capture and launch test data in response to a sequence of data pulses, and a logic circuit to be tested. The self-test circuitry includes an AC self-test controller and a clock splitter. The clock splitter generates the sequence of data pulses including a long data capture pulse followed by an at speed data launch pulse and an at speed data capture pulse followed by a long data launch pulse. The at speed data launch pulse and the at speed data capture pulse are generated for a common cycle of the system clock.

  20. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    Science.gov (United States)

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  1. Monolithic integration of optical waveguides for absorbance detection in microfabricated electrophoresis devices

    DEFF Research Database (Denmark)

    Mogensen, Klaus Bo; Petersen, Nickolaj Jacob; Hübner, Jörg

    2001-01-01

    . The waveguides on the device were connected to optical fibers, which enabled alignment free operation due to the absence of free-space optics. A 750 mum long U-shaped detection cell was used to facilitate longitudinal absorption detection. To minimize geometrically induced band broadening at the turn in the U......The fabrication and performance of an electrophoretic separation chip with integrated of optical waveguides for absorption detection is presented. The device was fabricated on a silicon substrate by standard microfabrication techniques with the use of two photolithographic mask steps...

  2. Vibrotactile masking through the body.

    Science.gov (United States)

    D'Amour, Sarah; Harris, Laurence R

    2014-09-01

    Touches on one hand or forearm can affect tactile sensitivity at contralateral locations on the opposite side of the body. These interactions suggest an intimate connection between the two sides of the body. Here, we explore the effect of masking not across the body but through the body by measuring the effect of a masking stimulus on the back on the tactile sensitivity of the corresponding point on the front. Tactile sensitivity was measured on each side of the stomach, while vibrotactile masking stimulation was applied to one side of the front and to points on the back including the point directly behind the test point on the front. Results were compared to sensitivity, while vibrotactile stimulation was applied to a control site on the shoulder. A reduction in sensitivity of about .8 dB was found that required the masking stimulus to be within about 2 cm of the corresponding point on the back.

  3. Micro-patterning of self-supporting layers with conducting polymer wires for 3D-chip interconnection applications

    International Nuclear Information System (INIS)

    Ackermann, J.; Videlot, C.; Nguyen, T.N.; Wang, L.; Sarro, P.M.; Crawley, D.; Nikolic, K.; Forshaw, M.

    2003-01-01

    Highly conducting polymers have attracted much interest because of their potential applications in sensors and electronic devices. By the use of templates like porous membranes during polymerization conducting molecular wires can be formed with highly anisotropic properties which can be used as interconnecting layers in a three-dimensional (3D)-chip stacking. We focussed on two electrochemical polymerization (ECP) techniques to produce molecular wires based on polypyrrole (PPy) embedded in isolating porous polycarbonate membranes as self-supporting layers. The growth of the polymer through the membrane pores was investigated in order to achieve a good conductivity through the pores, but with a small cross-talk between them. A new polymerization technique based on a structured cathode has been developed in order to control the polymerization locally. By that technique micro-patterned membranes with separated conducting polymer wires could be produced

  4. 21 CFR 868.5560 - Gas mask head strap.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  5. Biologically-inspired On-chip Learning in Pulsed Neural Networks

    DEFF Research Database (Denmark)

    Lehmann, Torsten; Woodburn, Robin

    1999-01-01

    Self-learning chips to implement many popular ANN (artificial neural network) algorithms are very difficult to design. We explain why this is so and say what lessons previous work teaches us in the design of self-learning systems. We offer a contribution to the "biologically-inspired" approach......, explaining what we mean by this term and providing an example of a robust, self-learning design that can solve simple classical-conditioning tasks, We give details of the design of individual circuits to perform component functions, which can then be combined into a network to solve the task. We argue...

  6. Investigating neurophysiological correlates of metacontrast masking with magnetoencephalography

    Directory of Open Access Journals (Sweden)

    Jens Schwarzbach

    2006-01-01

    Full Text Available Early components of visual evoked potentials (VEP in EEG seem to be unaffected by target visibility in visual masking studies. Bridgeman's reanalysis of Jeffreys and Musselwhite's (1986 data suggests that a later visual component in the VEP, around 250 ms reflects the perceptual effect of masking. We challenge this view on the ground that temporal interactions between targets and masks unrelated to stimulus visibility could account for Bridgeman's observation of a U-shaped time course in VEP amplitudes for this later component. In an MEG experiment of metacontrast masking with variable stimulus onset asynchrony, we introduce a proper control, a pseudo mask. In contrast to an effective mask, the pseudomask should produce neither behavioral masking nor amplitude modulations of late VEPs. Our results show that effective masks produced a strong U-shaped perceptual effect of target visibility while performance remained virtually perfect when a pseudomask was used. The visual components around 250 ms after target onset did not show a distinction between mask and pseudomask conditions. The results indicate that these visual evoked potentials do not reveal neurophysiological correlates of stimulus visibility but rather reflect dynamic interactions between superimposed potentials elicited by stimuli in close temporal proximity. However, we observed a postperceptual component around 340 ms after target onset, located over temporal-parietal cortex, which shows a clear effect of visibility. Based on P300 ERP literature, this finding could indicate that working memory related processes contribute to metacontrast masking.

  7. Causal mechanisms of masked hypertension: socio-psychological aspects.

    Science.gov (United States)

    Ogedegbe, Gbenga

    2010-04-01

    The contribution of Dr Thomas Pickering's study to the measurement of blood pressure (BP) is the defining aspect of his academic career and achievement - narrowly defined. In this regard, two important areas characterized his study as it relates to masked hypertension. First, he introduced the term, masked hypertension, to replace the rather inappropriate term 'reverse white-coat hypertension' and 'white-coat normotension'; thus drawing attention to the fact that these patients are genuinely hypertensive by ambulatory BP but were missed by normal office BP. More importantly, he rightly maintained that masked hypertension is a true continuum of sustained hypertension rather than an aberrant measurement artifact. Second, is his pivotal study on the important role of psychosocial factors as a potential mechanism for the development of masked hypertension. In this regard, he explained masked hypertension as a conditioned response to anxiety in office settings, and highlighted the role that diagnostic labeling plays in its development. His view of masked hypertension is that of a continuum from prehypertension (based on office BP measurement) to masked hypertension (based on ambulatory BP) and finally to sustained hypertension (based on both office and ambulatory BP). He strongly believes that it is the prehypertensive patients who progress to masked hypertension. Subsequently, patients who are prehypertensive should be screened for masked hypertension and treated. In this manuscript, we summarize his study as it relates to the definition of masked hypertension, the psychosocial characteristics, mechanisms and its clinical relevance.

  8. Ergonomic evaluation of pilot oxygen mask designs

    NARCIS (Netherlands)

    Lee, W.; Yang, Xiaopeng; Jung, Daehan; Park, Seikwon; Kim, Heeeun; You, Heecheon

    2018-01-01

    A revised pilot oxygen mask design was developed for better fit to the Korean Air Force pilots’ faces. The present study compared an existing pilot oxygen mask and a prototype of the revised mask design with 88 Korean Air Force pilots in terms of subjective discomfort, facial contact pressure,

  9. 21 CFR 868.5550 - Anesthetic gas mask.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  10. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    Science.gov (United States)

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  11. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    Science.gov (United States)

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  12. Age Differences in Attention Lapses Mask Age Differences in Memory Failures: A Methodological Note on Suppression

    OpenAIRE

    James Allan Cheyne; Jonathan S. A. Carriere; Dan eSmilek

    2013-01-01

    Although objective measures of memory performance typically indicate memory declines with age, self-reported memory failures often show no relation to age. In contrast, self-reported attention failures are reliably negatively correlated with age. This contrast suggests the possibility that age-related awareness and reporting of memory failures might be masked by a concurrent decrease in attention failures, which would reduce encoding failures with age and hence reduce perceived memory failure...

  13. Effect of alignment on adsorption characteristics of self-oriented multi-walled carbon nanotube arrays

    International Nuclear Information System (INIS)

    Zilli, D; Bonelli, P R; Cukierman, A L

    2006-01-01

    The adsorption characteristics of self-oriented multi-walled carbon nanotube (MWCNT) arrays are examined from N 2 (-196 deg. C) adsorption measurements. The arrays were synthesized in a laboratory by in situ chemical vapour deposition of iron or cobalt phthalocyanines at 880 and 950 deg. C, under otherwise constant conditions, in an attempt to obtain different morphological structures. For both precursors, increasing the temperature leads to MWCNT arrays with lower Brunauer-Emmett-Teller (BET) surface area and total pore volume, though the effect is more pronounced for those arising from the iron-based compound. Despite this, precursor yields of individual nanotubes of larger diameter, higher BET area and total pore volume characterize the resulting arrays compared to those arising from cobalt phthalocyanine for the same temperatures. As evidenced by SEM and TEM images, the arrays synthesized from iron phthalocyanine at 880 deg. C show better vertical alignment and denser structures than those obtained from this compound at 950 deg. C, and also from cobalt phthalocyanine at both temperatures. Further ultrasonication of the arrays produced from the iron compound brings about a significant reduction in their adsorption capacity, attributable to the pronounced disarrangement of the resulting structures. The present results demonstrate that the alignment of MWCNT arrays plays a crucial role in their N 2 adsorption characteristics

  14. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  15. A Masked Photocathode in a Photoinjector

    OpenAIRE

    Qiang, Ji

    2011-01-01

    In this paper, we propose a masked photocathode inside a photoinjector for generating high brightness electron beam. Instead of mounting the photocathode onto an electrode, an electrode with small hole is used as a mask to shield the photocathode from the accelerating vacuum chamber. Using such a masked photocathode will make the replacement of photocathode material easy by rotating the photocathode behind the electrode into the hole. Furthermore, this helps reduce the dark current or seconda...

  16. Price of forest chips decreasing

    International Nuclear Information System (INIS)

    Hakkila, P.

    2001-01-01

    Use of forest chips was studied in 1999 in the national Puuenergia (Wood Energy) research program. Wood combusting heating plants were questioned about are the main reasons restricting the increment of the use of forest chips. Heating plants, which did not use forest chips at all or which used less than 250 m 3 (625 bulk- m 3 ) in 1999 were excluded. The main restrictions for additional use of forest chips were: too high price of forest chips; lack of suppliers and/or uncertainty of deliveries; technical problems of reception and processing of forest chips; insufficiency of boiler output especially in winter; and unsatisfactory quality of chips. The price of forest chips becomes relatively high because wood biomass used for production of forest chips has to be collected from wide area. Heavy equipment has to be used even though small fragments of wood are processed, which increases the price of chips. It is essential for forest chips that the costs can be pressed down because competition with fossil fuels, peat and industrial wood residues is hard. Low market price leads to the situation in which forest owner gets no price of the raw material, the entrepreneurs operate at the limit of profitability and renovation of machinery is difficult, and forest chips suppliers have to sell the chips at prime costs. Price of forest chips has decreased significantly during the past decade. Nominal price of forest chips is now lower than two decades ago. The real price of chips has decreased even more than the nominal price, 35% during the past decade and 20% during the last five years. Chips, made of small diameter wood, are expensive because the price includes the felling costs and harvesting is carried out at thinning lots. Price is especially high if chips are made of delimbed small diameter wood due to increased the work and reduced amount of chips. The price of logging residue chips is most profitable because cutting does not cause additional costs. Recovery of chips is

  17. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    Science.gov (United States)

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  18. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  19. Study of a Microfluidic Chip Integrating Single Cell Trap and 3D Stable Rotation Manipulation

    Directory of Open Access Journals (Sweden)

    Liang Huang

    2016-08-01

    Full Text Available Single cell manipulation technology has been widely applied in biological fields, such as cell injection/enucleation, cell physiological measurement, and cell imaging. Recently, a biochip platform with a novel configuration of electrodes for cell 3D rotation has been successfully developed by generating rotating electric fields. However, the rotation platform still has two major shortcomings that need to be improved. The primary problem is that there is no on-chip module to facilitate the placement of a single cell into the rotation chamber, which causes very low efficiency in experiment to manually pipette single 10-micron-scale cells into rotation position. Secondly, the cell in the chamber may suffer from unstable rotation, which includes gravity-induced sinking down to the chamber bottom or electric-force-induced on-plane movement. To solve the two problems, in this paper we propose a new microfluidic chip with manipulation capabilities of single cell trap and single cell 3D stable rotation, both on one chip. The new microfluidic chip consists of two parts. The top capture part is based on the least flow resistance principle and is used to capture a single cell and to transport it to the rotation chamber. The bottom rotation part is based on dielectrophoresis (DEP and is used to 3D rotate the single cell in the rotation chamber with enhanced stability. The two parts are aligned and bonded together to form closed channels for microfluidic handling. Using COMSOL simulation and preliminary experiments, we have verified, in principle, the concept of on-chip single cell traps and 3D stable rotation, and identified key parameters for chip structures, microfluidic handling, and electrode configurations. The work has laid a solid foundation for on-going chip fabrication and experiment validation.

  20. Intradomain Textures in Block Copolymers: Multizone Alignment and Biaxiality

    Science.gov (United States)

    Prasad, Ishan; Seo, Youngmi; Hall, Lisa M.; Grason, Gregory M.

    2017-06-01

    Block copolymer (BCP) melt assembly has been studied for decades, focusing largely on self-organized spatial patterns of periodically ordered segment density. Here, we demonstrate that underlying the well-known composition profiles (i.e., ordered lamella, cylinders, spheres, and networks) are generic and heterogeneous patterns of segment orientation that couple strongly to morphology, even in the absence of specific factors that promote intra or interchain segment alignment. We employ both self-consistent field theory and coarse-grained simulation methods to measure polar and nematic order parameters of segments in a freely jointed chain model of diblock melts. We show that BCP morphologies have a multizone texture, with segments predominantly aligned normal and parallel to interdomain interfaces in the respective brush and interfacial regions of the microdomain. Further, morphologies with anisotropically curved interfaces (i.e., cylinders and networks) exhibit biaxial order that is aligned to the principal curvature axes of the interface.

  1. Fabrication and Characterization of Vertically Aligned ZnO Nanorod Arrays via Inverted Monolayer Colloidal Crystals Mask

    Science.gov (United States)

    Chen, Cheng; Ding, Taotao; Qi, Zhiqiang; Zhang, Wei; Zhang, Jun; Xu, Juan; Chen, Jingwen; Dai, Jiangnan; Chen, Changqing

    2018-04-01

    The periodically ordered ZnO nanorod (NR) arrays have been successfully synthesized via a hydrothermal approach on the silicon substrates by templating of the TiO2 ring deriving from the polystyrene (PS) nanosphere monolayer colloidal crystals (MCC). With the inverted MCC mask, sol-gel-derived ZnO seeds could serve as the periodic nucleation positions for the site-specific growth of ZnO NRs. The large-scale patterned arrays of single ZnO NR with good side-orientation can be readily produced. According to the experimental results, the as-integrated ZnO NR arrays showed an excellent crystal quality and optical property, very suitable for optoelectronic applications such as stimulated emitters and ZnO photonic crystal devices.

  2. Phase mask coronagraphy at JPL and Palomar

    Directory of Open Access Journals (Sweden)

    Serabyn E.

    2011-07-01

    Full Text Available For the imaging of faint companions, phase mask coronagraphy has the dual advantages of a small inner working angle and high throughput. This paper summarizes our recent work in developing phase masks and in demonstrating their capabilities at JPL. Four-quadrant phase masks have been manufactured at JPL by means of both evaporation and etching, and we have been developing liquid crystal vortex phase masks in partnership with a commercial vendor. Both types of mask have been used with our extreme adaptive optics well-corrected subaperture at Palomar to detect known brown dwarf companions as close as ~ 2.5 λ/D to stars. Moreover, our recent vortex masks perform very well in laboratory tests, with a demonstrated infrared contrast of about 10−6 at 3 λ/D, and contrasts of a few 10−7 with an initial optical wavelength device. The demonstrated performance already meets the needs of ground-based extreme adaptive optics coronagraphy, and further planned improvements are aimed at reaching the 10−10 contrast needed for terrestrial exoplanet detection with a space-based coronagraph.

  3. Simultaneous alignment and dispersion of carbon nanotubes with lyotropic liquid crystals

    NARCIS (Netherlands)

    Lagerwall, J.P.F.; Scalia, G.; Haluska, M.; Dettlaff-Weglikowska, U.; Giesselmann, F.; Roth, S.

    2006-01-01

    We demonstrate that single-wall carbon nanotubes (SWCNTs) can be macroscopically aligned by means of templating in a lyotropic nematic liquid crystal (LC), a self-assembling anisotropic fluid with orientational but no translational order. The CNTs spontaneously adopt the alignment of the host, as we

  4. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  5. Use of Respiratory Mask and Influencing Factors among Workers Who Worked in Welding Department at Automotive Sector

    Directory of Open Access Journals (Sweden)

    Melek Nihal Esin,A. Dilek Yilmaz

    2012-06-01

    Full Text Available AIM: This study was designed in a cross sectional pattern in order to determine workers’ behaviors about respiratory mask use and the factors involved. METHOD: The study was conducted with 100 workers in the welding department of a factory operating in automotive sector and employing approximately 1000 people. The data in the study was collected by means of ‘Form for the Assessment of Descriptive Features of Employees’ and ‘Respiratory Mask Use Behaviors Form”, developed by the researchers; the Multidimensional Health Locus of Control Scale, developed by Wallston and De Wellis; Self-Actualization Scale and Health Responsibility Scale, developed by Walker, Sechrist and Pender. RESULTS: It was found out that a majority of the workers are aged between 26 and 33 (32%, 76% of them are married, 37% are primary school graduates and 58% of them have been working for more than 11 years. It was also determined that 60% of the workers regularly wear respiratory mask. 14% of those using masks irregularly stated that they “used a respiratory mask for a short time” and 26% of them stated that they “never wore a respiratory mask”. The findings from the study showed that factors like the physical formation of the respiratory mask, the level of information about the mask, and the cognitive perceptual factors related to the employee’s health influenced respiratory mask use. Two variables with significant odds ratios were identified thorough logistic regression analysis. Worker who reported that they had inability to breathe when wearing a mask were over 9 times (OR= 9,48, %95 CI= 1,86- 16,52 and “the time spent putting on and removing a mask” were over 4 times (OR= 4,85, %95 CI= 0,03-8,81 more likely to have never wear a respiratory mask than those who used regularly wear mask . CONCLUSION: It is considered that the results from this study could form a set of basic data for “respiratory mask use improvement programs” to be designed by

  6. Attentional capture by masked colour singletons.

    Science.gov (United States)

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  7. STUDY OF CHIP IGNITION AND CHIP MORPHOLOGY AFTER MILLING OF MAGNESIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Ireneusz Zagórski

    2016-12-01

    Full Text Available The paper analyses the impact of specified technological parameters of milling (vc, fz, ap on time to ignition. Stages leading to chip ignition were analysed. Metallographic images of magnesium chip were presented. No significant difference was observed in time to ignition in different chip fractions. Moreover, the surface of chips was free of products of ignition and signs of strong oxidation.

  8. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    Science.gov (United States)

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  9. A rheo-optical apparatus for real time kinetic studies on shear-induced alignment of self-assembled soft matter with small sample volumes

    Science.gov (United States)

    Laiho, Ari; Ikkala, Olli

    2007-01-01

    In soft materials, self-assembled nanoscale structures can allow new functionalities but a general problem is to align such local structures aiming at monodomain overall order. In order to achieve shear alignment in a controlled manner, a novel type of rheo-optical apparatus has here been developed that allows small sample volumes and in situ monitoring of the alignment process during the shear. Both the amplitude and orientation angles of low level linear birefringence and dichroism are measured while the sample is subjected to large amplitude oscillatory shear flow. The apparatus is based on a commercial rheometer where we have constructed a flow cell that consists of two quartz teeth. The lower tooth can be set in oscillatory motion whereas the upper one is connected to the force transducers of the rheometer. A custom made cylindrical oven allows the operation of the flow cell at elevated temperatures up to 200 °C. Only a small sample volume is needed (from 9 to 25 mm3), which makes the apparatus suitable especially for studying new materials which are usually obtainable only in small quantities. Using this apparatus the flow alignment kinetics of a lamellar polystyrene-b-polyisoprene diblock copolymer is studied during shear under two different conditions which lead to parallel and perpendicular alignment of the lamellae. The open device geometry allows even combined optical/x-ray in situ characterization of the alignment process by combining small-angle x-ray scattering using concepts shown by Polushkin et al. [Macromolecules 36, 1421 (2003)].

  10. Anisotropic hypersonic phonon propagation in films of aligned ellipsoids.

    Science.gov (United States)

    Beltramo, Peter J; Schneider, Dirk; Fytas, George; Furst, Eric M

    2014-11-14

    A material with anisotropic elastic mechanical properties and a direction-dependent hypersonic band gap is fabricated using ac electric field-directed convective self-assembly of colloidal ellipsoids. The frequency of the gap, which is detected in the direction perpendicular to particle alignment and entirely absent parallel to alignment, and the effective sound velocities can be tuned by the particle aspect ratio. We hypothesize that the band gap originates from the primary eigenmode peak, the m-splitted (s,1,2) mode, of the particle resonating with the effective medium. These results reveal the potential for powerful control of the hypersonic phononic band diagram by combining anisotropic particles and self-assembly.

  11. The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems

    Directory of Open Access Journals (Sweden)

    Amlan Ganguly

    2018-02-01

    Full Text Available With aggressive scaling of device geometries, density of manufacturing faults is expected to increase. Therefore, yield of complex Multi-Processor Systems-on-Chips (MP-SoCs will decrease due to higher probability of manufacturing defects especially, in dies with large area. Therefore, disintegration of large SoCs into smaller chips called chiplets will improve yield and cost of complex platform-based systems. This will also provide functional flexibility, modular scalability as well as the capability to integrate heterogeneous architectures and technologies in a single unit. However, with scaling of the number of chiplets in such a system, the shared resources in the system such as the interconnection fabric and memory modules will become performance bottlenecks. Additionally, the integration of heterogeneous chiplets operating at different frequencies and voltages can be challenging. State-of-the-art inter-chip communication requires power-hungry high-speed I/O circuits and data transfer over long wired traces on substrates. This increases energy consumption and latency while decreasing data bandwidth for chip-to-chip communication. In this paper, we explore the advances and the challenges of interconnecting a multi-chip system with millimeter-wave (mm-wave wireless interconnects from a variety of perspectives spanning multiple aspects of the wireless interconnection design. Our discussion on the recent advances include aspects such as interconnection topology, physical layer, Medium Access Control (MAC and routing protocols. We also present some potential paradigm-shifting applications as well as complementary technologies of wireless inter-chip communications.

  12. Effect of Ibuprofen on masking endodontic diagnosis.

    Science.gov (United States)

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  13. Fabless company mask technology approach: fabless but not fab-careless

    Science.gov (United States)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  14. Field emission from carbon nanotube bundle arrays grown on self-aligned ZnO nanorods

    International Nuclear Information System (INIS)

    Li Chun; Fang Guojia; Yuan Longyan; Liu Nishuang; Ai Lei; Xiang Qi; Zhao Dongshan; Pan Chunxu; Zhao Xingzhong

    2007-01-01

    The field emission (FE) properties of carbon nanotube (CNT) bundle arrays grown on vertically self-aligned ZnO nanorods (ZNRs) are reported. The ZNRs were first synthesized on ZnO-seed-coated Si substrate by the vapour phase transport method, and then the radically grown CNTs were grown directly on the surface of the ZNRs from ethanol flames. The CNT/ZNR composite showed a turn-on field of 1.5 V μm -1 (at 0.1 μA cm -2 ), a threshold field of 4.5 V μm -1 (at 1 mA cm -2 ) and a stable emission current with fluctuations of 5%, demonstrating significantly enhanced FE of ZNRs due to the low work function and high aspect ratio of the CNTs, and large surface-to-volume ratio of the underlying ZNRs

  15. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    Science.gov (United States)

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  16. Synthesis, transfer printing, electrical and optical properties, and applications of materials composed of self-assembled, aligned single-walled carbon nanotubes

    Science.gov (United States)

    Pint, Cary L.

    Super growth of single-walled carbon nanotubes (SWNTs) has emerged as a unique method for synthesizing self-assembled, pristine, aligned SWNT materials composed of ultra-long (millimeter-long) nanotubes. This thesis focuses on novel routes of synthesizing such self-assembled SWNTs and the challenges that arise in integrating this material into next-generation applications. First of all, this work provides unique insight into growth termination of aligned SWNTs, emphasizing the mechanism that inhibits the growth of infinitely long nanotubes. Exhaustive real-time growth studies, combined with ex-situ and in-situ TEM characterization emphasizes that Ostwald ripening and subsurface diffusion of catalyst particles play a key role in growth termination. As a result, rational steps to solving this problem can enhance growth, and may ultimately lead to the meter or kilometer-long SWNTs that are necessary for a number of applications. In addition, other novel synthesis routes are discussed, such as the ability to form macroscopic fibrils of SWNTs, called "flying carpets" from 40 nm thick substrates, and the ability to achieve supergrowth of SWNTs that are controllably doped with nitrogen. In the latter case, molecular heterojunctions of doped and undoped sections in a single strand of ultralong SWNTs are demonstrated Secondly, as supergrowth is conducted on alumina coated SiO2 substrates, any applications will require that one can transfer the SWNTs to host surfaces with minimal processing. This work demonstrates a unique contact transfer route by which both patterned arrays of SWNTs, or homogenous SWNT carpets, can be transferred to any host surface. In the first case, the SWNTs are grown vertically aligned, and transferred in patterns of horizontally aligned SWNT. This transfer process relies on simple water-vapor etching of amorphous carbons at the catalyst following growth, and strong van der Waals adhesion of the high surface-area SWNT to host surfaces (gecko effect

  17. Thermal activation of catalytic microjets in blood samples using microfluidic chips.

    Science.gov (United States)

    Soler, Lluís; Martínez-Cisneros, Cynthia; Swiersy, Anka; Sánchez, Samuel; Schmidt, Oliver G

    2013-11-21

    We demonstrate that catalytic microjet engines can out-swim high complex media composed of red blood cells and serum. Despite the challenge presented by the high viscosity of the solution at room temperature, the catalytic microjets can be activated at physiological temperature and, consequently, self-propel in diluted solutions of blood samples. We prove that these microjets self-propel in 10× diluted blood samples using microfluidic chips.

  18. The DIALOG Chip in the Front-End Electronics of the LHCb Muon Detector

    CERN Document Server

    Cadeddu, S; Lai, A

    2004-01-01

    We present a custom integrated circuit, named DIALOG, which is a fundamental building block in the front-end architecture of the LHCb Muon detector. DIALOG is realized in IBM 0.25 um technology, using radiation hardening layout techniques. DIALOG integrates important tools for detector time alignment procedures and time alignment monitoring on the front- end system. In particular, it integrates 16 programmable delays, which can be regulated in steps of 1 ns. Many other features, necessary for the Muon trigger operation and for a safe front-end monitoring are integrated: DIALOG generates the information used by the trigger as a combination of its 16 inputs from the Amplifier-Shaper-Discriminator (ASD) chips, it generates the thresholds of the ASD, it monitors the rate of all its input channels. We describe the circuit architecture, its internal blocks and its main modes of operation.

  19. 42 CFR 84.117 - Gas mask containers; minimum requirements.

    Science.gov (United States)

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Gas mask containers; minimum requirements. 84.117... SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE DEVICES Gas Masks § 84.117 Gas mask containers; minimum requirements. (a) Gas masks shall be equipped with a substantial...

  20. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  1. Towards co-packaging of photonics and microelectronics in existing manufacturing facilities

    Science.gov (United States)

    Janta-Polczynski, Alexander; Cyr, Elaine; Bougie, Jerome; Drouin, Alain; Langlois, Richard; Childers, Darrell; Takenobu, Shotaro; Taira, Yoichi; Lichoulas, Ted W.; Kamlapurkar, Swetha; Engelmann, Sebastian; Fortier, Paul; Boyer, Nicolas; Barwicz, Tymon

    2018-02-01

    The impact of integrated photonics on optical interconnects is currently muted by challenges in photonic packaging and in the dense integration of photonic modules with microelectronic components on printed circuit boards. Single mode optics requires tight alignment tolerance for optical coupling and maintaining this alignment in a cost-efficient package can be challenging during thermal excursions arising from downstream microelectronic assembly processes. In addition, the form factor of typical fiber connectors is incompatible with the dense module integration expected on printed circuit boards. We have implemented novel approaches to interfacing photonic chips to standard optical fibers. These leverage standard high throughput microelectronic assembly tooling and self-alignment techniques resulting in photonic packaging that is scalable in manufacturing volume and in the number of optical IOs per chip. In addition, using dense optical fiber connectors with space-efficient latching of fiber patch cables results in compact module size and efficient board integration, bringing the optics closer to the logic chip to alleviate bandwidth bottlenecks. This packaging direction is also well suited for embedding optics in multi-chip modules, including both photonic and microelectronic chips. We discuss the challenges and rewards in this type of configuration such as thermal management and signal integrity.

  2. FE-I2 a front-end readout chip designed in a commercial 025- mu m process for the ATLAS pixel detector at LHC

    CERN Document Server

    Blanquart, L; Einsweiler, Kevin F; Fischer, P; Mandelli, E; Meddeler, G; Peric, I

    2004-01-01

    A new front-end chip (FE-I2) has been developed for the ATLAS pixel detector at the future Large Hadron Collider (LHC) accelerator facility of the European Laboratory for Particle Physics (CERN). This chip has been submitted in a commercial 0.25- mu m CMOS process using special layout techniques for radiation tolerance. It comprises 2880 pixels arranged into 18 columns of 160 channels. Each pixel element of dimension 50 mu m * 400 mu m is composed of a charge- sensitive amplifier followed by a fast discriminator with a detection threshold adjustable within a range of 0-6000 electrons and slow control logic incorporating a wired-hit-Or, preamplifier-kill, readout mask, and automatic threshold tuning circuitry. There are two single-event- upset (SEU)-tolerant DACs for reducing threshold (7-b) and recovery- time (3-b) mismatches from pixel to pixel along with digital hit emulation and a differential readout circuit aimed at transporting time-stamped data from each pixel to buffers at the bottom of the chip. In c...

  3. Born not breathing: A randomised trial comparing two self-inflating bag-masks during newborn resuscitation in Tanzania.

    Science.gov (United States)

    Thallinger, Monica; Ersdal, Hege Langli; Francis, Fortunata; Yeconia, Anita; Mduma, Estomih; Kidanto, Hussein; Linde, Jørgen Erland; Eilevstjønn, Joar; Gunnes, Nina; Størdal, Ketil

    2017-07-01

    Effective ventilation is crucial to save non-breathing newborns. We compared standard equipment for newborn resuscitation to a new Upright bag, in an area with high neonatal mortality. Newborns requiring resuscitation at Haydom Lutheran Hospital, Tanzania, were ventilated with 230ml standard or 320ml Upright bag-mask by weekly non-blinded block randomisation. A Laerdal Newborn Resuscitation Monitor collected ventilation data through a flow sensor between mask and bag and heart rate with electrocardiography electrodes. Primary outcome was expiratory tidal volume per birth weight. Of 6110 babies born, 136 randomised to standard bag-mask and 192 to Upright, both groups had similar birth weight, gestational age, Apgar scores, gender, and mode of delivery. Compared to standard bag-mask, Upright gave higher median expiratory tidal volume (8.6ml/kg (IQR: 3.5-13.8) vs. 10.0ml/kg (IQR: 4.3-16.8) difference ratio 1.29, 95%CI 1.05, 1.58, p=0.014)), increased mean airway and peak inspiratory pressures, and higher early expired CO 2 (median at 20s 4.2% vs. 3.2%, p=0.0099). Clinical outcome 30min post-delivery was normal in 44% with standard versus 57% with Upright (p=0.016), but similar at 24h. Upright provided higher expired tidal volume, MAP, PIP and early ECO 2 than the standard bag. Clinical outcome differed at 30min, but not at 24h. Larger volume of Upright than standard bag can be an important factor. The results are relevant for low- and high-income settings as ventilatory and heart rate parameters during resuscitation of newborns are rarely reported. Trial registered at www.ClinicalTrials.gov, NCT01869582. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Measuring the visual salience of alignments by their non-accidentalness.

    Science.gov (United States)

    Blusseau, S; Carboni, A; Maiche, A; Morel, J M; Grompone von Gioi, R

    2016-09-01

    Quantitative approaches are part of the understanding of contour integration and the Gestalt law of good continuation. The present study introduces a new quantitative approach based on the a contrario theory, which formalizes the non-accidentalness principle for good continuation. This model yields an ideal observer algorithm, able to detect non-accidental alignments in Gabor patterns. More precisely, this parameterless algorithm associates with each candidate percept a measure, the Number of False Alarms (NFA), quantifying its degree of masking. To evaluate the approach, we compared this ideal observer with the human attentive performance on three experiments of straight contours detection in arrays of Gabor patches. The experiments showed a strong correlation between the detectability of the target stimuli and their degree of non-accidentalness, as measured by our model. What is more, the algorithm's detection curves were very similar to the ones of human subjects. This fact seems to validate our proposed measurement method as a convenient way to predict the visibility of alignments. This framework could be generalized to other Gestalts. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. Analysis and test of laws for backward (metacontrast) masking

    NARCIS (Netherlands)

    Francis, G.; Rothmayer, M.; Hermens, F.

    2004-01-01

    In backward visual masking, it is common to find that the mask has its biggest effect when it follows the target by several tens of milliseconds. Research in the 1960s and 1970s suggested that masking effects were best characterized by the stimulus onset asynchrony (SOA) between the target and mask.

  6. Chip-to-Chip Half Duplex Spiking Data Communication over Power Supply Rails

    Science.gov (United States)

    Hashida, Takushi; Nagata, Makoto

    Chip-to-chip serial data communication is superposed on power supply over common Vdd/Vss connections through chip, package, and board traces. A power line transceiver demonstrates half duplex spiking communication at more than 100Mbps. A pair of transceivers consumes 1.35mA from 3.3V, at 130Mbps. On-chip power line LC low pass filter attenuates pseudo-differential communication spikes by 30dB, purifying power supply current for internal circuits. Bi-directional spiking communication was successfully examined in a 90-nm CMOS prototype setup of on-chip waveform capturing. A micro controller forwards clock pulses to and receives data streams from a comparator based waveform capturer formed on a different chip, through a single pair of power and ground traces. The bit error rate is small enough not to degrade waveform acquisition capability, maintaining the spurious free dynamic range of higher than 50dB.

  7. Individual differences in metacontrast masking regarding sensitivity and response bias.

    Science.gov (United States)

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  8. 2 GHz self-aligning tandem A/D converter for SAR

    DEFF Research Database (Denmark)

    Søbjærg, Sten Schmidl; Christensen, Erik Lintz

    2001-01-01

    digitizing, and the other is to digitize the signal before digital I/Q demodulation. In both cases the digitizing may be performed by a digital front end (DFE) with two parallel analog-to-digital-converters (ADCs) sampling at 1 GHz in phase or in anti-phase respectively, provided the analog bandwidth...... of the ADC is sufficient. In the first case each ADC has to digitize a 0-400 MHz signal, and in the second case both ADCs have to digitize a 100-900 MHz signal. In both cases the sampling time alignment is a critical parameter. The paper addresses some aspects of ADC alignment in the implementation of a DFE...

  9. The GEM Detector projective alignment simulation system

    International Nuclear Information System (INIS)

    Wuest, C.R.; Belser, F.C.; Holdener, F.R.; Roeben, M.D.; Paradiso, J.A.; Mitselmakher, G.; Ostapchuk, A.; Pier-Amory, J.

    1993-01-01

    Precision position knowledge (< 25 microns RMS) of the GEM Detector muon system at the Superconducting Super Collider Laboratory (SSCL) is an important physics requirement necessary to minimize sagitta error in detecting and tracking high energy muons that are deflected by the magnetic field within the GEM Detector. To validate the concept of the sagitta correction function determined by projective alignment of the muon detectors (Cathode Strip Chambers or CSCs), the basis of the proposed GEM alignment scheme, a facility, called the ''Alignment Test Stand'' (ATS), is being constructed. This system simulates the environment that the CSCs and chamber alignment systems are expected to experience in the GEM Detector, albeit without the 0.8 T magnetic field and radiation environment. The ATS experimental program will allow systematic study and characterization of the projective alignment approach, as well as general mechanical engineering of muon chamber mounting concepts, positioning systems and study of the mechanical behavior of the proposed 6 layer CSCs. The ATS will consist of a stable local coordinate system in which mock-ups of muon chambers (i.e., non-working mechanical analogs, representing the three superlayers of a selected barrel and endcap alignment tower) are implemented, together with a sufficient number of alignment monitors to overdetermine the sagitta correction function, providing a self-consistency check. This paper describes the approach to be used for the alignment of the GEM muon system, the design of the ATS, and the experiments to be conducted using the ATS

  10. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  11. An investigation into the efficiency of disposable face masks.

    Science.gov (United States)

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  12. A monolithic glass chip for active single-cell sorting based on mechanical phenotyping.

    Science.gov (United States)

    Faigle, Christoph; Lautenschläger, Franziska; Whyte, Graeme; Homewood, Philip; Martín-Badosa, Estela; Guck, Jochen

    2015-03-07

    The mechanical properties of biological cells have long been considered as inherent markers of biological function and disease. However, the screening and active sorting of heterogeneous populations based on serial single-cell mechanical measurements has not been demonstrated. Here we present a novel monolithic glass chip for combined fluorescence detection and mechanical phenotyping using an optical stretcher. A new design and manufacturing process, involving the bonding of two asymmetrically etched glass plates, combines exact optical fiber alignment, low laser damage threshold and high imaging quality with the possibility of several microfluidic inlet and outlet channels. We show the utility of such a custom-built optical stretcher glass chip by measuring and sorting single cells in a heterogeneous population based on their different mechanical properties and verify sorting accuracy by simultaneous fluorescence detection. This offers new possibilities of exact characterization and sorting of small populations based on rheological properties for biological and biomedical applications.

  13. A respiratory mask for resting and exercising dogs.

    Science.gov (United States)

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  14. Communication masking in marine mammals: A review and research strategy.

    Science.gov (United States)

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  15. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  16. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  17. A proposed holistic approach to on-chip, off-chip, test, and package interconnections

    Science.gov (United States)

    Bartelink, Dirk J.

    1998-11-01

    The term interconnection has traditionally implied a `robust' connection from a transistor or a group of transistors in an IC to the outside world, usually a PC board. Optimum system utilization is done from outside the IC. As an alternative, this paper addresses `unimpeded' transistor-to-transistor interconnection aimed at reaching the high circuit densities and computational capabilities of neighboring IC's. In this view, interconnections are not made to some human-centric place outside the IC world requiring robustness—except for system input and output connections. This unimpeded interconnect style is currently available only through intra-chip signal traces in `system-on-a-chip' implementations, as exemplified by embedded DRAMs. Because the traditional off-chip penalty in performance and wiring density is so large, a merging of complex process technologies is the only option today. It is suggested that, for system integration to move forward, the traditional robustness requirement inherited from conventional packaging interconnect and IC manufacturing test must be discarded. Traditional system assembly from vendor parts requires robustness under shipping, inspection and assembly. The trend toward systems on a chip signifies willingness by semiconductor companies to design and fabricate whole systems in house, so that `in-house' chip-to-chip assembly is not beyond reach. In this scenario, bare chips never leave the controlled environment of the IC fabricator while the two major contributors to off-chip signal penalty, ESD protection and the need to source a 50-ohm test head, are avoided. With in-house assembly, ESD protection can be eliminated with the precautions already familiar in plasma etching. Test interconnection impacts the fundamentals of IC manufacturing, particularly with clock speeds approaching 1GHz, and cannot be an afterthought. It should be an integral part of the chip-to-chip interconnection bandwidth optimization, because—as we must

  18. Production of dry wood chips in connection with a district heating plant

    Directory of Open Access Journals (Sweden)

    Yrjölä Jukka

    2004-01-01

    Full Text Available Moisture and its variation in wood chips make the control of burning in small scale heating appliances difficult resulting in emissions and loss of efficiency. If the quality of wood chips would be better, i. e. dried and sieved fuel with more uniform size distribution would be avail able, the burning could be much cleaner and efficiency higher. In addition higher power out put could be obtained and the investment costs of the burning appliances would be lower. The production of sieved and dried wood chip with good quality could be accomplished in connection with a district heating plant. Then the plant would make profit, in addition to the district heat, from the dried wood chips sold to the neighboring buildings and enterprises sep a rated from the district heating net using wood chips in energy production. The peak power of a district heating plant is required only a short time during the coldest days of the winter. Then the excess capacity during the milder days can be used as heat source for drying of wood chips to be marketed. Then wood chips are sieved and the fuel with best quality is sold and the reject is used as fuel in the plant it self. In a larger district heating plant, quality of the fuel does not need to be so high In this paper the effect of moisture on the fuel chain and on the boiler is discussed. Energy and mass balance calculations as a tool of system design is described and the characteristics of proposed dry chips production method is discussed.

  19. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  20. A scalable single-chip multi-processor architecture with on-chip RTOS kernel

    NARCIS (Netherlands)

    Theelen, B.D.; Verschueren, A.C.; Reyes Suarez, V.V.; Stevens, M.P.J.; Nunez, A.

    2003-01-01

    Now that system-on-chip technology is emerging, single-chip multi-processors are becoming feasible. A key problem of designing such systems is the complexity of their on-chip interconnects and memory architecture. It is furthermore unclear at what level software should be integrated. An example of a

  1. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  2. Rigorously modeling self-stabilizing fault-tolerant circuits: An ultra-robust clocking scheme for systems-on-chip.

    Science.gov (United States)

    Dolev, Danny; Függer, Matthias; Posch, Markus; Schmid, Ulrich; Steininger, Andreas; Lenzen, Christoph

    2014-06-01

    We present the first implementation of a distributed clock generation scheme for Systems-on-Chip that recovers from an unbounded number of arbitrary transient faults despite a large number of arbitrary permanent faults. We devise self-stabilizing hardware building blocks and a hybrid synchronous/asynchronous state machine enabling metastability-free transitions of the algorithm's states. We provide a comprehensive modeling approach that permits to prove, given correctness of the constructed low-level building blocks, the high-level properties of the synchronization algorithm (which have been established in a more abstract model). We believe this approach to be of interest in its own right, since this is the first technique permitting to mathematically verify, at manageable complexity, high-level properties of a fault-prone system in terms of its very basic components. We evaluate a prototype implementation, which has been designed in VHDL, using the Petrify tool in conjunction with some extensions, and synthesized for an Altera Cyclone FPGA.

  3. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Snakenborg, D; Dufva, M

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observation. The interconnection block method is scalable, flexible and supports high interconnection density. The average pressure limit of the interconnection block was near 5.5 bar and all individual results were well above the 2 bar threshold considered applicable to most microfluidic applications

  4. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  5. The fastest saccadic responses escape visual masking

    DEFF Research Database (Denmark)

    Crouzet, Sébastien M.; Overgaard, Morten; Busch, Niko A.

    2014-01-01

    Object-substitution masking (OSM) occurs when a briefly presented target in a search array is surrounded by small dots that remain visible after the target disappears. The reduction of target visibility occurring after OSM has been suggested to result from a specific interference with reentrant......, which gives access to very early stages of visual processing, target visibility was reduced either by OSM, conventional backward masking, or low stimulus contrast. A general reduction of performance was observed in all three conditions. However, the fastest saccades did not show any sign of interference...... under either OSM or backward masking, as they did under the low-contrast condition. This finding supports the hypothesis that masking interferes mostly with reentrant processing at later stages, while leaving early feedforward processing largely intact....

  6. Chip compacting press; Jido kirikuzu asshukuki

    Energy Technology Data Exchange (ETDEWEB)

    Oura, K. [Yuken Kogyo Co. Ltd., Kanagawa (Japan)

    1998-08-15

    The chips exhausted from various machine tools are massy, occupy much space and make working environment worse by staying added cutting oil to lower part. The chips are exhausted as a result of machining and have not constant quality. Even if used material is same the chips have various shapes and properties by kinds and machining methods of used machine tools, and are troublesome materials from a standpoint of their treatment. Pressing and solidification of the chips have frequently been tried. A chip compacting press introduced in this paper, a relatively cheap chip compacting press aimed for relatively small scale chip treatment, and has such characteristics and effects as follows. Chips are pressed and solidified by each raw material, so fractional management can be easily conducted. As casting metal chips and curled chips of iron and aluminum can be pressed to about 1/3 to 1/5 and about 1/40, respectively, space saving can be conducted. Chip compacting pressing upgrades its transporting efficiency to make possible to reduce its transporting cost. As chip solidification controls its oxidation and most cutting oil are removed, chips are easy to recycle. 2 figs., 1 tab.

  7. New method of contour-based mask-shape compiler

    Science.gov (United States)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  8. GLEBUS SAINCIUC’S PAPIER-MÂCHÉ PORTRAIT MASKS

    Directory of Open Access Journals (Sweden)

    MARIAN ANA

    2016-12-01

    Full Text Available The portrait masks created by Glebus Sainciuc (1919-2012 portray painters, sculptors, musicians, writers, actors, playwrights,filmmakers etc., and they are a proof of the fact that the individual contribution of these persons to the artistic development of our country has not been forgotten. Morphologically, the papier-mâché portrait masks of master Glebus Sainciuc are situated at the limit of painting, graphic drawing and sculpture; the masks were created by the master using the papier-mâché techniques. We should mention that the author’s predilection for the fauvist and naïve techniques in portrait representation, together with the style of his caricatures and small size drawings, infl uenced the caricatures style of his masks. The first portrait masks were created by Glebus Sainciuc in 1957, and by the end of his artistic activity his collection consisted of 350 masks – all depicting different persons, but all sharing the same portrait techniques and showing the inner charm of these persons.

  9. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    Science.gov (United States)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  10. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    Science.gov (United States)

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  11. 1995 mask industry quality assessment

    Science.gov (United States)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  12. Endogenous cueing attenuates object substitution masking.

    Science.gov (United States)

    Germeys, Filip; Pomianowska, I; De Graef, P; Zaenen, P; Verfaillie, K

    2010-07-01

    Object substitution masking (OSM) is a form of visual masking in which a briefly presented target surrounded by four small dots is masked by the continuing presence of the four dots after target offset. A major parameter in the prediction of OSM is the time required for attention to be directed to the target following its onset. Object substitution theory (Di Lollo et al. in J Exp Psychol Gen 129:481-507, 2000) predicts that the sooner attention can be focused at the target's location, the less masking will ensue. However, recently Luiga and Bachmann (Psychol Res 71:634-640, 2007) presented evidence that precueing of attention to the target location prior to target-plus-mask onset by means of a central (endogenous) arrow cue does not reduce OSM. When attention was cued exogenously, OSM was attenuated. Based on these results, Luiga and Bachmann argued that object substitution theory should be adapted by differentiating the ways of directing attention to the target location. The goal of the present study was to further examine the dissociation between the effects of endogenous and exogenous precueing on OSM. Contrary to Luiga and Bachmann, our results show that prior shifts of attention to the target location initiated by both exogenous and endogenous cues reduce OSM as predicted by object substitution theory and its computational model CMOS.

  13. On-chip electrochromic micro display for a disposable bio-sensor chip

    Science.gov (United States)

    Zhu, Yanjun; Tsukamoto, Takashiro; Tanaka, Shuji

    2017-12-01

    This paper reports an on-chip electrochromic micro display made of polyaniline (PANi) which can be easily made on a CMOS chip. Micro-patterned PANi thin films were selectively deposited on pre-patterned microelectrodes by using electrodeposition. The optimum conditions for deposition and electrochromism were investigated. An 8-pixel on-chip micro display was made on a Si chip. The color of each PANi film could be independently but simultaneously controlled, which means any 1-byte digital data could be displayed on the display. The PANi display had a response time as fast as about 100 ms, which means the transfer data rate was as fast as 80 bits per second.

  14. A novel anti-influenza copper oxide containing respiratory face mask.

    Science.gov (United States)

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk of hand or environmental contamination, and thereby subsequent infection, due to improper handling and disposal of the masks.

  15. Development of movable mask system to cope with high beam current

    International Nuclear Information System (INIS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-01-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator

  16. Binocular contrast-gain control for natural scenes: Image structure and phase alignment.

    Science.gov (United States)

    Huang, Pi-Chun; Dai, Yu-Ming

    2018-05-01

    In the context of natural scenes, we applied the pattern-masking paradigm to investigate how image structure and phase alignment affect contrast-gain control in binocular vision. We measured the discrimination thresholds of bandpass-filtered natural-scene images (targets) under various types of pedestals. Our first experiment had four pedestal types: bandpass-filtered pedestals, unfiltered pedestals, notch-filtered pedestals (which enabled removal of the spatial frequency), and misaligned pedestals (which involved rotation of unfiltered pedestals). Our second experiment featured six types of pedestals: bandpass-filtered, unfiltered, and notch-filtered pedestals, and the corresponding phase-scrambled pedestals. The thresholds were compared for monocular, binocular, and dichoptic viewing configurations. The bandpass-filtered pedestal and unfiltered pedestals showed classic dipper shapes; the dipper shapes of the notch-filtered, misaligned, and phase-scrambled pedestals were weak. We adopted a two-stage binocular contrast-gain control model to describe our results. We deduced that the phase-alignment information influenced the contrast-gain control mechanism before the binocular summation stage and that the phase-alignment information and structural misalignment information caused relatively strong divisive inhibition in the monocular and interocular suppression stages. When the pedestals were phase-scrambled, the elimination of the interocular suppression processing was the most convincing explanation of the results. Thus, our results indicated that both phase-alignment information and similar image structures cause strong interocular suppression. Copyright © 2018 Elsevier Ltd. All rights reserved.

  17. Evaluation of Criteria to Detect Masked Hypertension

    Science.gov (United States)

    Booth, John N.; Muntner, Paul; Diaz, Keith M.; Viera, Anthony J.; Bello, Natalie A.; Schwartz, Joseph E.; Shimbo, Daichi

    2016-01-01

    The prevalence of masked hypertension, out-of-clinic daytime systolic/diastolic blood pressure (SBP/DBP)≥135/85 mmHg on ambulatory blood pressure monitoring (ABPM) among adults with clinic SBP/DBPABPM testing criterion. In a derivation cohort (n=695), the index was clinic SBP+1.3*clinic DBP. In an external validation cohort (n=675), the sensitivity for masked hypertension using an index ≥190 mmHg and ≥217 mmHg and prehypertension status was 98.5%, 71.5% and 82.5%, respectively. Using NHANES data (n=11,778), we estimated that these thresholds would refer 118.6, 44.4 and 59.3 million US adults, respectively, to ABPM screening for masked hypertension. In conclusion, the CBP index provides a useful approach to identify candidates for masked hypertension screening using ABPM. PMID:27126770

  18. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    Science.gov (United States)

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  19. [Patients' reaction to pharmacists wearing a mask during their consultations].

    Science.gov (United States)

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  20. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    Science.gov (United States)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  1. The effect of masking in the attentional dwell time paradigm

    DEFF Research Database (Denmark)

    Petersen, Anders

    2009-01-01

    , 1994). In most studies of attentional dwell time, two masked targets have been used. Moore et al. (1996) have criticised the masking of the first target when measuring the attentional dwell time, finding a shorter attentional dwell time when the first mask was omitted. In the presented work, the effect...... of the first mask is further investigated by including a condition where the first mask is presented without a target. The results from individual subjects show that the findings of Moore et al. can be replicated. The results also suggest that presenting the first mask without a target is enough to produce...... an impairment of the second target. Hence, the attentional dwell time may be a combined effect arising from attending to both the first target and its mask....

  2. Critical thresholds in flocking hydrodynamics with non-local alignment.

    Science.gov (United States)

    Tadmor, Eitan; Tan, Changhui

    2014-11-13

    We study the large-time behaviour of Eulerian systems augmented with non-local alignment. Such systems arise as hydrodynamic descriptions of agent-based models for self-organized dynamics, e.g. Cucker & Smale (2007 IEEE Trans. Autom. Control 52, 852-862. (doi:10.1109/TAC.2007.895842)) and Motsch & Tadmor (2011 J. Stat. Phys. 144, 923-947. (doi:10.1007/s10955-011-0285-9)) models. We prove that, in analogy with the agent-based models, the presence of non-local alignment enforces strong solutions to self-organize into a macroscopic flock. This then raises the question of existence of such strong solutions. We address this question in one- and two-dimensional set-ups, proving global regularity for subcritical initial data. Indeed, we show that there exist critical thresholds in the phase space of the initial configuration which dictate the global regularity versus a finite-time blow-up. In particular, we explore the regularity of non-local alignment in the presence of vacuum. © 2014 The Author(s) Published by the Royal Society. All rights reserved.

  3. Aligning the unalignable: bacteriophage whole genome alignments.

    Science.gov (United States)

    Bérard, Sèverine; Chateau, Annie; Pompidor, Nicolas; Guertin, Paul; Bergeron, Anne; Swenson, Krister M

    2016-01-13

    In recent years, many studies focused on the description and comparison of large sets of related bacteriophage genomes. Due to the peculiar mosaic structure of these genomes, few informative approaches for comparing whole genomes exist: dot plots diagrams give a mostly qualitative assessment of the similarity/dissimilarity between two or more genomes, and clustering techniques are used to classify genomes. Multiple alignments are conspicuously absent from this scene. Indeed, whole genome aligners interpret lack of similarity between sequences as an indication of rearrangements, insertions, or losses. This behavior makes them ill-prepared to align bacteriophage genomes, where even closely related strains can accomplish the same biological function with highly dissimilar sequences. In this paper, we propose a multiple alignment strategy that exploits functional collinearity shared by related strains of bacteriophages, and uses partial orders to capture mosaicism of sets of genomes. As classical alignments do, the computed alignments can be used to predict that genes have the same biological function, even in the absence of detectable similarity. The Alpha aligner implements these ideas in visual interactive displays, and is used to compute several examples of alignments of Staphylococcus aureus and Mycobacterium bacteriophages, involving up to 29 genomes. Using these datasets, we prove that Alpha alignments are at least as good as those computed by standard aligners. Comparison with the progressive Mauve aligner - which implements a partial order strategy, but whose alignments are linearized - shows a greatly improved interactive graphic display, while avoiding misalignments. Multiple alignments of whole bacteriophage genomes work, and will become an important conceptual and visual tool in comparative genomics of sets of related strains. A python implementation of Alpha, along with installation instructions for Ubuntu and OSX, is available on bitbucket (https://bitbucket.org/thekswenson/alpha).

  4. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    Energy Technology Data Exchange (ETDEWEB)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H. [Universitaetsklinik Bonn, Radiologische Klinik, FE Strahlentherapie, Bonn (Germany)

    2014-04-15

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal {sup registered} or Open Face {sup registered} mask, Orfit) or the standard three-point mask (Raycast {sup registered} -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  5. Quality of patient positioning during cerebral tomotherapy irradiation using different mask systems

    International Nuclear Information System (INIS)

    Leitzen, C.; Wilhelm-Buchstab, T.; Garbe, S.; Luetter, C.; Muedder, T.; Simon, B.; Schild, H.H.; Schueller, H.

    2014-01-01

    Patient immobilization during brain tumor radiotherapy is achieved by employing different mask systems. Two innovative mask systems were developed to minimize the problems of claustrophobic patients. Our aim was to evaluate whether the quality of patient immobilization using the new mask systems was equivalent to the standard mask system currently in use. Thirty-three patients with cerebral target volumes were irradiated using the Hi-Art II tomotherapy system between 2010 and 2012. Each group of 11 patients was fitted with one of the two new mask systems (Crystal registered or Open Face registered mask, Orfit) or the standard three-point mask (Raycast registered -HP, Orfit) and a total of 557 radiotherapy fractions were evaluated. After positioning was checked by MV-CT, the necessary table adjustments were noted. Data were analyzed by comparing the groups, and safety margins were calculated for nonimage-guided irradiation. The mean values of the table adjustments were: (a) lateral (mm): -0.22 (mask 1, standard deviation (σ): 2.15); 1.1 (mask 2, σ: 2.4); -0.64 (mask 3, σ: 2.9); (b) longitudinal (mm): -1 (mask 1, σ: 2.57); -0.5 (mask 2, σ: 4.7); -1.22 (mask 3, σ: 2.52); (c) vertical (mm): 0.62 (mask 1, σ: 0.63); 1.2 (mask 2, σ: 1.0); 0.57 (mask 3, σ: 0.28); (d) roll: 0.35 (mask 1, σ: 0.75); 0 (mask 2, σ: 0.8); 0.02 (mask 3, σ: 1.12). The outcomes suggest necessary safety margins of 5.49-7.38 mm (lateral), 5.4-6.56 mm (longitudinal), 0.82-3.9 mm (vertical), and 1.93-4.5 (roll). There were no significant differences between the groups. The new mask systems improve patient comfort while providing consistent patient positioning. (orig.)

  6. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  7. Study on Dynamic Alignment Technology of COIL Resonator

    International Nuclear Information System (INIS)

    Xiong, M D; Zou, X J; Guo, J H; Jia, S N; Zhang, Z B

    2006-01-01

    The performance of great power chemical oxygen-iodine laser (COIL) beam is decided mostly by resonator mirror maladjustment and environment vibration. To improve the performance of light beam, an auto-alignment device is used in COIL resonator, the device can keep COIL resonator collimating by adjusting the optical components of resonator. So the coupling model of COIL resonator is present. The multivariable self study fuzzy uncoupling arithmetic and six-dimensional micro drive technology are used to design a six-input-three-output uncoupling controller, resulting in the realization of the high precision dynamic alignment. The experiments indicate that the collimating range of this system is 8 mrad, precision is 5 urad and frequency response is 20Hz, which meet the demand of resonator alignment system

  8. Counteracting Power Analysis Attacks by Masking

    Science.gov (United States)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  9. Differential effect of visual masking in perceptual categorization.

    Science.gov (United States)

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  10. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  11. Dense Vertically Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials.

    Science.gov (United States)

    Barako, Michael T; Isaacson, Scott G; Lian, Feifei; Pop, Eric; Dauskardt, Reinhold H; Goodson, Kenneth E; Tice, Jesse

    2017-12-06

    Thermal interface materials (TIMs) are essential for managing heat in modern electronics, and nanocomposite TIMs can offer critical improvements. Here, we demonstrate thermally conductive, mechanically compliant TIMs based on dense, vertically aligned copper nanowires (CuNWs) embedded into polymer matrices. We evaluate the thermal and mechanical characteristics of 20-25% dense CuNW arrays with and without polydimethylsiloxane infiltration. The thermal resistance achieved is below 5 mm 2 K W -1 , over an order of magnitude lower than commercial heat sink compounds. Nanoindentation reveals that the nonlinear deformation mechanics of this TIM are influenced by both the CuNW morphology and the polymer matrix. We also implement a flip-chip bonding protocol to directly attach CuNW composites to copper surfaces, as required in many thermal architectures. Thus, we demonstrate a rational design strategy for nanocomposite TIMs that simultaneously retain the high thermal conductivity of aligned CuNWs and the mechanical compliance of a polymer.

  12. A conceptual approach to the masking effect of measures of disproportionality.

    Science.gov (United States)

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  13. X-ray face mask and chest shield device

    International Nuclear Information System (INIS)

    Moti, S.

    1981-01-01

    A protective face mask is designed to shield an x-ray technician or machine operator primarily from random secondary or scatter x-rays deflected towards his face, head and neck by the table, walls, equipment and other reflecting elements in an x-ray room or chamber. The face mask and chest shield device can be mounted on a patient's shoulders in reverse attitude to protect the back of a patient's head and neck from the x-ray beam. The face mask is relatively or substantially transparent and contains lead in combination with a plastic ionomer or comonomer, which to a degree absorbs or resists penetration of the random deflected secondary or scatter x-rays or the x-ray beam through the mask. The face mask is removably attachable to the chest shield for easy application of the device to and support upon the shoulders of the technician or the patient. (author)

  14. Random mask optimization for fast neutron coded aperture imaging

    Energy Technology Data Exchange (ETDEWEB)

    McMillan, Kyle [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Univ. of California, Los Angeles, CA (United States); Marleau, Peter [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Brubaker, Erik [Sandia National Lab. (SNL-CA), Livermore, CA (United States)

    2015-05-01

    In coded aperture imaging, one of the most important factors determining the quality of reconstructed images is the choice of mask/aperture pattern. In many applications, uniformly redundant arrays (URAs) are widely accepted as the optimal mask pattern. Under ideal conditions, thin and highly opaque masks, URA patterns are mathematically constructed to provide artifact-free reconstruction however, the number of URAs for a chosen number of mask elements is limited and when highly penetrating particles such as fast neutrons and high-energy gamma-rays are being imaged, the optimum is seldom achieved. In this case more robust mask patterns that provide better reconstructed image quality may exist. Through the use of heuristic optimization methods and maximum likelihood expectation maximization (MLEM) image reconstruction, we show that for both point and extended neutron sources a random mask pattern can be optimized to provide better image quality than that of a URA.

  15. Perception of Scary Halloween Masks by Zoo Animals and Humans

    OpenAIRE

    Sinnott, Joan M.; Speaker, H. Anton; Powell, Laura A.; Mosteller, Kelly W.

    2012-01-01

    Zoo animals were tested to see if they perceived the scary nature of Halloween masks, using a procedure that measured the avoidance response latency to take food from a masked human experimenter. Human perception of the masks was also assessed using a rating scale, with results showing that a Bill Clinton mask was rated not scary, while a Vampire mask was rated very scary. Animal results showed that primate latencies correlated significantly with the human ratings, while non-primate latencies...

  16. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  17. Contact printed masks for 3D microfabrication in negative resists

    DEFF Research Database (Denmark)

    Häfliger, Daniel; Boisen, Anja

    2005-01-01

    We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...

  18. Masking interrupts figure-ground signals in V1.

    Science.gov (United States)

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  19. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  20. Improvement of radiographs by means of optical masks

    International Nuclear Information System (INIS)

    Shishov, B.A.; Tereshenko, O.I.; Tyurin, E.I.

    1985-01-01

    High-gradient photographic material improves contrast and detectability of small details. Parts of the radiographs will however tend to be over- or underexposed. The recorded information can be improved by optical masks that modify the light in various parts of the image according to film sensitivity. For screen-film systems an immediate correction of the image by inserted masks results in a better recording of details while the well known detail filtering process improves only the visual detectability of the already recorded information. A special cassette for the generation of masks and a method for the calculation of correction factors for various screen combinations and masks types are described. (author)

  1. Active mask segmentation of fluorescence microscope images.

    Science.gov (United States)

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  2. Dead space variability of face masks for valved holding chambers.

    Science.gov (United States)

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  3. Modulating the forces between self-assembling molecules to control the shape of vesicles and the mechanics and alignment of nanofiber networks

    Science.gov (United States)

    Greenfield, Megan Ann

    One of the great challenges in supramolecular chemistry is the design of molecules that can self-assemble into functional aggregates with well-defined three-dimensional structures and bulk material properties. Since the self-assembly of nanostructures is greatly influenced by both the nature of the self-assembling components and the environmental conditions in which the components assemble, this work explores how changes in the molecular design and the environment affect the properties of self-assembled structures. We first explore how to control the mechanical properties of self-assembled fibrillar networks by changing environmental conditions. We report here on how changing pH, screening ions, and solution temperature affect the gelation, stiffness, and response to deformation of peptide amphiphile gels. Although the morphology of PA gels formed by charge neutralization and salt-mediated charge screening are similar by electron microscopy, rheological measurements indicate that the calcium-mediated ionic bridges in CaCl2-PA gels form stronger intra- and inter-fiber crosslinks than the hydrogen bonds formed by the protonated carboxylic acid residues in HCl-PA gels. In contrast, the structure of PA gels changes drastically when the PA solution is annealed prior to gel formation. Annealed PA solutions are birefringent and can form viscoelastic strings of aligned nanofibers when manually dragged across a thin film of CaCl2. These aligned arrays of PA nanofibers hold great promise in controlling the orientation of cells in three-dimensions. Separately, we applied the principles of molecular design to create buckled membrane nanostructures that mimic the shape of viruses. When oppositely charged amphiphilic molecules are mixed they can form vesicles with a periodic two-dimensional ionic lattice that opposes the membrane's natural curvature and can result in vesicle buckling. Our results demonstrate that a large +3 to -1 charge imbalance between the cationic and anionic

  4. A novel anti-influenza copper oxide containing respiratory face mask.

    Directory of Open Access Journals (Sweden)

    Gadi Borkow

    Full Text Available BACKGROUND: Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10TCID(50 of human influenza A virus (H1N1 and avian influenza virus (H9N2, respectively, under simulated breathing conditions (28.3 L/min. Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10TCID(50, while 4.67+/-1.35 log(10TCID(50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10TCID(50 and from the control masks 5.03+/-0.54 log(10TCID(50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. CONCLUSIONS/SIGNIFICANCE: Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical

  5. Vertically aligned nanowires from boron-doped diamond.

    Science.gov (United States)

    Yang, Nianjun; Uetsuka, Hiroshi; Osawa, Eiji; Nebel, Christoph E

    2008-11-01

    Vertically aligned diamond nanowires with controlled geometrical properties like length and distance between wires were fabricated by use of nanodiamond particles as a hard mask and by use of reactive ion etching. The surface structure, electronic properties, and electrochemical functionalization of diamond nanowires were characterized by atomic force microscopy (AFM) and scanning tunneling microscopy (STM) as well as electrochemical techniques. AFM and STM experiments show that diamond nanowire etched for 10 s have wire-typed structures with 3-10 nm in length and with typically 11 nm spacing in between. The electrode active area of diamond nanowires is enhanced by a factor of 2. The functionalization of nanowire tips with nitrophenyl molecules is characterized by STM on clean and on nitrophenyl molecule-modified diamond nanowires. Tip-modified diamond nanowires are promising with respect to biosensor applications where controlled biomolecule bonding is required to improve chemical stability and sensing significantly.

  6. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  7. Negative ion source improvement by introduction of a shutter mask

    International Nuclear Information System (INIS)

    Belchenko, Yu.I.; Oka, Y.; Kaneko, O.; Takeiri, Y.; Tsumori, K.; Osakabe, M.; Ikeda, K.; Asano, E.; Kawamoto, T.

    2004-01-01

    Studies of a multicusp source were recently done at the National Institute for Fusion Science by plasma grid masking. The maximal H - ion yield is ∼1.4 times greater for the shutter mask case than that for the standard source. Negative ion current evolution during the cesium feed to the masked plasma grid evidenced that about 60% of negative ions are produced on the shutter mask surface, while about 30% are formed on the plasma grid emission hole edges, exposed by cesium with the mask open

  8. A nanoporous alumina microelectrode array for functional cell–chip coupling

    International Nuclear Information System (INIS)

    Wesche, Manuel; Hüske, Martin; Yakushenko, Alexey; Brüggemann, Dorothea; Mayer, Dirk; Offenhäusser, Andreas; Wolfrum, Bernhard

    2012-01-01

    The design of electrode interfaces has a strong impact on cell-based bioelectronic applications. We present a new type of microelectrode array chip featuring a nanoporous alumina interface. The chip is fabricated in a combination of top-down and bottom-up processes using state-of-the-art clean room technology and self-assembled generation of nanopores by aluminum anodization. The electrode characteristics are investigated in phosphate buffered saline as well as under cell culture conditions. We show that the modified microelectrodes exhibit decreased impedance compared to planar microelectrodes, which is caused by a nanostructuring effect of the underlying gold during anodization. The stability and biocompatibility of the device are demonstrated by measuring action potentials from cardiomyocyte-like cells growing on top of the chip. Cross sections of the cell–surface interface reveal that the cell membrane seals the nanoporous alumina layer without bending into the sub-50 nm apertures. The nanoporous microelectrode array device may be used as a platform for combining extracellular recording of cell activity with stimulating topographical cues. (paper)

  9. Airflow-Restricting Mask Reduces Acute Performance in Resistance Exercise

    Directory of Open Access Journals (Sweden)

    Yuri L. Motoyama

    2016-09-01

    Full Text Available Background: The aim of this study was to compare the number of repetitions to volitional failure, the blood lactate concentration, and the perceived exertion to resistance training with and without an airflow-restricting mask. Methods: Eight participants participated in a randomized, counterbalanced, crossover study. Participants were assigned to an airflow-restricting mask group (MASK or a control group (CONT and completed five sets of chest presses and parallel squats until failure at 75% one-repetition-maximum test (1RM with 60 s of rest between sets. Ratings of perceived exertion (RPEs, blood lactate concentrations (Lac−, and total repetitions were taken after the training session. Results: MASK total repetitions were lower than those of the CONT, and (Lac− and MASK RPEs were higher than those of the CONT in both exercises. Conclusions: We conclude that an airflow-restricting mask in combination with resistance training increase perceptions of exertion and decrease muscular performance and lactate concentrations when compared to resistance training without this accessory. This evidence shows that the airflow-restricting mask may change the central nervous system and stop the exercise beforehand to prevent some biological damage.

  10. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    Science.gov (United States)

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  11. "Hook"-calibration of GeneChip-microarrays: Chip characteristics and expression measures

    Directory of Open Access Journals (Sweden)

    Krohn Knut

    2008-08-01

    Full Text Available Abstract Background Microarray experiments rely on several critical steps that may introduce biases and uncertainty in downstream analyses. These steps include mRNA sample extraction, amplification and labelling, hybridization, and scanning causing chip-specific systematic variations on the raw intensity level. Also the chosen array-type and the up-to-dateness of the genomic information probed on the chip affect the quality of the expression measures. In the accompanying publication we presented theory and algorithm of the so-called hook method which aims at correcting expression data for systematic biases using a series of new chip characteristics. Results In this publication we summarize the essential chip characteristics provided by this method, analyze special benchmark experiments to estimate transcript related expression measures and illustrate the potency of the method to detect and to quantify the quality of a particular hybridization. It is shown that our single-chip approach provides expression measures responding linearly on changes of the transcript concentration over three orders of magnitude. In addition, the method calculates a detection call judging the relation between the signal and the detection limit of the particular measurement. The performance of the method in the context of different chip generations and probe set assignments is illustrated. The hook method characterizes the RNA-quality in terms of the 3'/5'-amplification bias and the sample-specific calling rate. We show that the proper judgement of these effects requires the disentanglement of non-specific and specific hybridization which, otherwise, can lead to misinterpretations of expression changes. The consequences of modifying probe/target interactions by either changing the labelling protocol or by substituting RNA by DNA targets are demonstrated. Conclusion The single-chip based hook-method provides accurate expression estimates and chip-summary characteristics

  12. Development and characterisation of a radiation hard readout chip for the LHCb experiment

    CERN Document Server

    Baumeister, Daniel; Stachel, Johanna

    2003-01-01

    Within this doctoral thesis parts of the radiation hard readout chip Beetle have been developed and characterised, before and after irradiation. The design work included the analogue memory with the corresponding readout amplifier as well as components of the digital control circuitry. An interface compatible with the I2C-standard and the control logic for event readout have been implemented. A scheme has been developed which ensures the robustness of the Beetle chip against Single-Event Upset (SEU). This includes the consistent use of triple-redundant memory devices together with a self-triggered correction in parts of the circuit. The Beetle ASIC is a 128 channel pipelined readout chip for silicon strip detectors. The front-end consists of a charge-sensitive preamplifier and a CR-RC pulse shaper. It features an equivalent noise charge of ENC = 497 e− +48.3 e−/pF·Cin. The analogue memory is a switched capacitor array, which provides a latency of max. 4 µs. The 128 channels are transmitted off chip in 9...

  13. Optical performances of the FM JEM-X masks

    Science.gov (United States)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  14. Comodulation masking release in bit-rate reduction systems

    DEFF Research Database (Denmark)

    Vestergaard, Martin David; Rasmussen, Karsten Bo; Poulsen, Torben

    1999-01-01

    It has been suggested that the level dependence of the upper masking slope be utilized in perceptual models in bit-rate reduction systems. However, comodulation masking release (CMR) phenomena lead to a reduction of the masking effect when a masker and a probe signal are amplitude modulated...... with the same frequency. In bit-rate reduction systems the masker would be the audio signal and the probe signal would represent the quantization noise. Masking curves have been determined for sinusoids and 1-Bark-wide noise maskers in order to investigate the risk of CMR, when quantizing depths are fixed...... in accordance with psycho-acoustical principles. Masker frequencies of 500 Hz, 1 kHz, and 2 kHz have been investigated, and the masking of pure tone probes has been determined in the first four 1/3 octaves above the masker. Modulation frequencies between 6 and 20 Hz were used with a modulation depth of 0...

  15. Pixel detector readout chip

    CERN Multimedia

    1991-01-01

    Close-up of a pixel detector readout chip. The photograph shows an aera of 1 mm x 2 mm containing 12 separate readout channels. The entire chip contains 1000 readout channels (around 80 000 transistors) covering a sensitive area of 8 mm x 5 mm. The chip has been mounted on a silicon detector to detect high energy particles.

  16. Masking responses to light in period mutant mice.

    Science.gov (United States)

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  17. Micro-‘‘factory’’ for self-assembled peptide nanostructures

    DEFF Research Database (Denmark)

    Castillo, Jaime; Rodriguez-Trujíllo, Romén; Gauthier, Sébastian

    2011-01-01

    This study describes an integrated micro ‘‘factory’’ for the preparation of biological self-assembled peptide nanotubes and nanoparticles on a polymer chip, yielding controlled growth conditions. Self-assembled peptides constitute attractive building blocks for the fabrication of biological...... nanostructures due to the mild conditions of their synthesis process. This biological material can form nanostructures in a rapid way and the synthesis method is less expensive as compared to that of carbon nanotubes or silicon nanowires. The present article thus reports on the on-chip fabrication of self-assembled...

  18. Electrostatic mask for active targets

    International Nuclear Information System (INIS)

    Pancin, J; Gangnant, P; Libin, J-F; Raabe, R; Roger, T; Roussel-Chomaz, P; Gibelin, J; Goth, M

    2012-01-01

    Active gas targets have been used in nuclear physics since 30 years. They are promising systems in view of the new exotic beams soon available at facilities like SPIRAL2 or FAIR, but the system can still be improved. One of the main limitation is the dynamic range in energy deposition. The energy deposited per unit length can be 3 decades higher for the beam than for the light reaction products and the risk to saturate the electronics or that the detector spark are not negligible. A simple solution using a wire plane to mask partially the beam is presented here. Some simulation has been realized and some experimental results are shown confirming the feasibility of this wire tunable mask. The mask can be used from full transparency to full opacity without degrading neither the drift electric field of the chamber nor the performances of detection of the beam or the light products.

  19. Filter penetration and breathing resistance evaluation of respirators and dust masks.

    Science.gov (United States)

    Ramirez, Joel; O'Shaughnessy, Patrick

    2017-02-01

    The primary objective of this study was to compare the filter performance of a representative selection of uncertified dust masks relative to the filter performance of a set of NIOSH-approved N95 filtering face-piece respirators (FFRs). Five different models of commercially available dust masks were selected for this study. Filter penetration of new dust masks was evaluated against a sodium chloride aerosol. Breathing resistance (BR) of new dust masks and FFRs was then measured for 120 min while challenging the dust masks and FFRs with Arizona road dust (ARD) at 25°C and 30% relative humidity. Results demonstrated that a wide range of maximum filter penetration was observed among the dust masks tested in this study (3-75% at the most penetrating particle size (p masks did not vary greatly (8-13 mm H 2 O) but were significantly different (p mask. Microscopic analysis of the external layer of each dust mask and FFR suggests that different collection media in the external layer influences the development of the dust layer and therefore affects the increase in BR differently between the tested models. Two of the dust masks had penetration values masks, those with penetration > 15%, had quality factors ranging between 0.04-0.15 primarily because their initial BR remained relatively high. These results indicate that some dust masks analysed during this research did not have an expected very low BR to compensate for their high penetration.

  20. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)