WorldWideScience

Sample records for self-aligned gaas jfets

  1. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  2. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  3. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  4. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  5. Monolithic JFET preamplifier for ionization chamber calorimeter

    International Nuclear Information System (INIS)

    Radeka, V.; Rescia, S.; Manfredi, P.F.; Speziali, V.

    1990-10-01

    A monolithic charge sensitive preamplifier using exclusively n-channel diffused JFETs has been designed and is now being fabricated by INTERFET Corp. by means of a dielectrically isolated process which allows preserving as much as possible the technology upon which discrete JFETs are based. A first prototype built by means of junction isolated process has been delivered. The characteristics of monolithically integrated JFETs compare favorably with discrete devices. First results of tests of a preamplifier which uses these devices are reported. 4 refs

  6. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  7. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  8. Radiation damage studies of detector-compatible Si JFETs

    International Nuclear Information System (INIS)

    Dalla Betta, Gian-Franco; Boscardin, Maurizio; Candelori, Andrea; Pancheri, Lucio; Piemonte, Claudio; Ratti, Lodovico; Zorzi, Nicola

    2007-01-01

    We have largely improved the performance of our detector-compatible Si JFETs by optimizing the fabrication technology. New devices feature thermal noise values close to the theoretical ones, and remarkably low 1/f noise figures. In view of adopting these JFETs for X-ray imaging and HEP applications, bulk and surface radiation damage tests have been carried out by irradiating single transistors and test structures with neutrons and X-rays. Selected results from static and noise characterization of irradiated devices are discussed in this paper, and the impact of radiation effects on the performance of JFET-based circuits is addressed

  9. Self-assembly of alkanethiolates directs sulfur bonding with GaAs(100)

    Energy Technology Data Exchange (ETDEWEB)

    Mancheno-Posso, Pablo; Muscat, Anthony J., E-mail: muscat@email.arizona.edu

    2017-03-01

    Highlights: • Alkanethiolate monolayers were formed on GaAs(100) using a 20 min liquid immersion. • The longest chain containing 20 CH{sub 2} groups protected the surface for 30 min from reoxidation. • A reaction-diffusion model shows that oxygen diffusion through the carbon chains is fast. • Alkanethiolates protect the surface by reducing the reaction rate of oxygen with the surface. • Assembly of the alkane chains directs sulfur atoms to bond to the surface. - Abstract: Molecules that contain linear alkane chains self-assemble on a variety of surfaces changing the degree of wetting, lubricity, and reactivity. We report on the reoxidation of GaAs(100) in air after adsorbing five alkanethiols (C{sub n}H{sub 2n+1}-SH where n = 3, 6, 12, 18, 20) and one alkanedithiol (HS-(CH{sub 2}){sub 8}-SH) deposited from the liquid phase. The alignment of the alkane chains forms a self-assembled layer, however, air diffuses readily through the carbon layer and reaches the surface. The impact of alignment is to improve the bonding of sulfur with the surface atoms which reduces the oxidation rate based on fitting the data to a reaction-diffusion model. The layer thickness and molecular density scale linearly with the number of carbon atoms in the alkane chain. The thickness of the alkanethiolate (RS{sup −}) layer grows by 0.87 ± 0.06 Å for each C atom in the chain and the surface density by 0.13 ± 0.03 molecule per nm{sup 2} per C atom up to a coverage of 5.0 molecules/nm{sup 2} for n = 20 or 0.8 monolayer. The surface coverage increases with length because interactions between methylene (CH{sub 2}) groups in neighboring chains reduce the tilt angle of the molecules with the surface normal. The tight packing yields areas per alkanethiolate as low as 20 Å{sup 2} for n = 20. The amount of C in the layer divided by the chain length is approximately constant up to n = 12 but increases sharply by a factor of 2–4× for n = 18 and 20 based on the C 1s X

  10. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  11. Total dose induced increase in input offset voltage in JFET input operational amplifiers

    International Nuclear Information System (INIS)

    Pease, R.L.; Krieg, J.; Gehlhausen, M.; Black, J.

    1999-01-01

    Four different types of commercial JFET input operational amplifiers were irradiated with ionizing radiation under a variety of test conditions. All experienced significant increases in input offset voltage (Vos). Microprobe measurement of the electrical characteristics of the de-coupled input JFETs demonstrates that the increase in Vos is a result of the mismatch of the degraded JFETs. (authors)

  12. The influence of operational conditions on radiation damage in JFET-input operational amplifiers

    International Nuclear Information System (INIS)

    Zheng Yuzhan; Wang Yiyuan; Chen Rui; Fei Wuxiong; Lu Wu; Ren Diyuan

    2010-01-01

    High- and low-dose-rate irradiation have been performed on JFET-input operational amplifiers (op-amps) with normal operational and zero biased conditions, respectively. The experimental results show that operational conditions have a great influence on the radiation effects and damage in JFET-input operational amplifiers. Under normal condition, the JFET-input op-amps have exhibited time-dependent effect (TDE); while they show enhanced low-dose-rate sensitivity (ELDRS) at zero biased condition. Compared with zero biased condition, the JFET-input op-amps would degrade more severely at normal condition for high-dose-rate irradiation; while for the low-dose-rate case, they have more degradation at normal condition. Irradiation would induce positive oxide-trapped charge and interface traps in bipolar transistors, which are the basic components in JFET-input op-amps. From the dependence of oxide trapped charge and interface traps on operational conditions, the degradation behavior is discussed. (authors)

  13. Cryogenic readout integrated circuits for submillimeter-wave camera

    International Nuclear Information System (INIS)

    Nagata, H.; Kobayashi, J.; Matsuo, H.; Akiba, M.; Fujiwara, M.

    2006-01-01

    The development of cryogenic readout circuits for Superconducting Tunneling Junction (Sj) direct detectors for submillimeter wave is presented. A SONY n-channel depletion-mode GaAs Junction Field Effect Transistor (JFET) is a candidate for circuit elements of the preamplifier. We measured electrical characteristics of the GaAs JFETs in the temperature range between 0.3 and 4.2K, and found that the GaAs JFETs work with low power consumption of a few microwatts, and show good current-voltage characteristics without cryogenic anomalies such as kink phenomena or hysteresis behaviors. Furthermore, measurements at 0.3K show that the input referred noise is as low as 0.6μV/Hz at 1Hz. Based on these results and noise calculations, we estimate that a Capacitive Transimpedance Amplifier with the GaAs JFETs will have low noise and STJ detectors will operate below background noise limit

  14. Cryogenic readout integrated circuits for submillimeter-wave camera

    Energy Technology Data Exchange (ETDEWEB)

    Nagata, H. [National Astronomical Observatory of Japan, Mitaka, Tokyo 181-8588 (Japan) and National Astronomical Observatory of Japan, Mitaka, Tokyo 181-8588 (Japan)]. E-mail: hirohisa.nagata@nao.ac.jp; Kobayashi, J. [National Astronomical Observatory of Japan, Mitaka, Tokyo 181-8588 (Japan); The Graduate University for Advanced Studies, Shonan Village, Hayama, Kanagawa 240-0193 (Japan); Matsuo, H. [National Astronomical Observatory of Japan, Mitaka, Tokyo 181-8588 (Japan); Akiba, M. [National Institute of Information and Communications Technology, Koganei, Tokyo 184-8795 (Japan); Fujiwara, M. [National Institute of Information and Communications Technology, Koganei, Tokyo 184-8795 (Japan)

    2006-04-15

    The development of cryogenic readout circuits for Superconducting Tunneling Junction (Sj) direct detectors for submillimeter wave is presented. A SONY n-channel depletion-mode GaAs Junction Field Effect Transistor (JFET) is a candidate for circuit elements of the preamplifier. We measured electrical characteristics of the GaAs JFETs in the temperature range between 0.3 and 4.2K, and found that the GaAs JFETs work with low power consumption of a few microwatts, and show good current-voltage characteristics without cryogenic anomalies such as kink phenomena or hysteresis behaviors. Furthermore, measurements at 0.3K show that the input referred noise is as low as 0.6{mu}V/Hz at 1Hz. Based on these results and noise calculations, we estimate that a Capacitive Transimpedance Amplifier with the GaAs JFETs will have low noise and STJ detectors will operate below background noise limit.

  15. An improved fabrication process for Si-detector-compatible JFETs

    International Nuclear Information System (INIS)

    Piemonte, Claudio; Dalla Betta, Gian-Franco; Boscardin, Maurizio; Gregori, Paolo; Zorzi, Nicola; Ratti, Lodovico

    2006-01-01

    We report on JFET devices fabricated on high-resistivity silicon with a radiation detector technology. The problems affecting previous versions of these devices have been thoroughly investigated and solved by developing an improved fabrication process, which allows for a sizeable enhancement in the JFET performance. In this paper, the main features of the fabrication technology are presented and selected results from the electrical and noise characterization of transistors are discussed

  16. Applications, Prospects and Challenges of Silicon Carbide Junction Field Effect Transistor (SIC JFET

    Directory of Open Access Journals (Sweden)

    Frederick Ojiemhende Ehiagwina

    2016-09-01

    Full Text Available Properties of Silicon Carbide Junction Field Effect Transistor (SiC JFET such as high switching speed, low forward voltage drop and high temperature operation have attracted the interest of power electronic researchers and technologists, who for many years developed devices based on Silicon (Si.  A number of power system Engineers have made efforts to develop more robust equipment including circuits or modules with higher power density. However, it was realized that several available power semiconductor devices were approaching theoretical limits offered by Si material with respect to capability to block high voltage, provide low on-state voltage drop and switch at high frequencies. This paper presents an overview of the current applications of SiC JFET in circuits such as inverters, rectifiers and amplifiers. Other areas of application reviewed include; usage of the SiC JFET in pulse signal circuits and boost converters. Efforts directed toward mitigating the observed increase in electromagnetic interference were also discussed. It also presented some areas for further research, such as having more applications of SiC JFET in harsh, high temperature environment. More work is needed with regards to SiC JFET drivers so as to ensure stable and reliable operation, and reduction in the prices of SiC JFETs through mass production by industries.

  17. Recovery of the irradiated JFETs by thermal annealing

    International Nuclear Information System (INIS)

    Assaf, J.

    2007-10-01

    Study about the recovering of irradiated JFET transistors has been reported. The JFETs were damaged totally or partially by exposition to Gamma ray and neutrons. Electronics noise has used to evaluate the effect of radiation and the recovery. The study focused on the recovery by thermal annealing, where samples have been heated gradually until 140 centigrade degree (410 K). The recovery ratio given by this method was higher than that resulted from the relaxation method (time recovery) carried out in the room temperature (300 K), especially for Gamma irradiated samples.(author)

  18. Self-healing in fractured GaAs nanowires

    International Nuclear Information System (INIS)

    Wang Jun; Lu Chunsheng; Wang Qi; Xiao Pan; Ke Fujiu; Bai Yilong; Shen Yaogen; Wang Yanbo; Chen Bin; Liao Xiaozhou; Gao Huajian

    2012-01-01

    Molecular dynamics simulations are performed to investigate a spontaneous self-healing process in fractured GaAs nanowires with a zinc blende structure. The results show that such self-healing can indeed occur via rebonding of Ga and As atoms across the fracture surfaces, but it can be strongly influenced by several factors, including wire size, number of healing cycles, temperature, fracture morphology, oriented attachment and atomic diffusion. For example, it is found that the self-healing capacity is reduced by 46% as the lateral dimension of the wire increases from 2.3 to 9.2 nm, and by 64% after 24 repeated cycles of fracture and healing. Other factors influencing the self-healing behavior are also discussed.

  19. Nanoscale footprints of self-running gallium droplets on GaAs surface.

    Directory of Open Access Journals (Sweden)

    Jiang Wu

    Full Text Available In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001 surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems.

  20. A double-gate double-feedback JFET charge-sensitive preamplifier

    International Nuclear Information System (INIS)

    Fazzi, A.

    1996-01-01

    A new charge-sensitive preamplifier (CSP) without a physical resistance in the feedback is presented. The input device has to be a double-gate JFET. In this new preamplifier configuration the feedback capacitor is continuously discharged by means of a second DC current feedback loop closed through the bottom gate of the input JFET. The top gate-channel junction works as usual in reverse bias, the bottom gate-channel is forward biased. A fraction of the current injected by the bottom gate reaches the top gate discharging the feedback capacitor. The n-channel double-gate JFET is considered from the viewpoint of the restoring action as a parasitic p-n-p ''transversal'' bipolar junction transistor. The new preamplifier is also suited for detectors operating at room temperature with leakage current which may vary with time. The DC behaviour and the dynamic behaviour of the circuit is analyzed and new measurements presented. (orig.)

  1. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  2. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  3. Constant-resistance deep-level transient spectroscopy in Si and Ge JFET's

    International Nuclear Information System (INIS)

    Kolev, P.V.; Deen, J.

    1999-01-01

    The recently introduced constant-resistance deep-level transient spectroscopy (CR-DLTS) was successfully applied to study virgin and radiation-damaged junction field-effect transistors (JFET's). The authors have studied three groups of devices: commercially available-discrete silicon JFET's; virgin and exposed to high-level neutron radiation silicon JFET's, custom-made by using a monolithic technology; and commercially available discrete germanium p-channel JFET's. CR-DLTS is similar to both the conductance DLTs and to the constant-capacitance variation (CC-DLTS). Unlike the conductance and current DLTS, it is independent of the transistor size and does not require simultaneous measurement of the transconductance or the free-carrier mobility for calculation of the trap concentration. Compared to the CC-DLTS, it measures only the traps inside the gate-controlled part of the space charge region. Comparisons have also been made with the CC-DLTS and standard capacitance DLTS. In addition, possibilities for defect profiling in the channel have been demonstrated. CR-DLTS was found to be a simple, very sensitive, and device area-independent technique which is well suited for measurement of a wide range of deep level concentrations in transistors

  4. Radiation sensitivity of noise in monolithic JFET circuits exposed to 60Co γ - rays

    International Nuclear Information System (INIS)

    Cesura, G.; Re, V.; Tomasini, A.

    1993-01-01

    The spectral density of channel current noise in JFET's is governed in the low-frequency region by Lorentzian terms. Ordinarily, their influence on the equivalent noise charge of preamplifiers for radiation detectors is negligible, as these terms vanish as soon as the frequency exceeds a few Hz. Exposure of JFET's to γ - rays has proved, however, that these noise contributions are enhanced by the absorbed radiation and may invade a much broader frequency range, thereby degrading the equivalent noise charge even at comparatively short processing times. The extent to which the noise behaviour of JFET's is affected by radiation-enhanced Lorentzian terms is analysed in this paper. Results of measurements, carried out after exposure to 60 Co γ - rays of JFET parts and monolithic circuits realised in two different technologies, are discussed and compared with the theoretical predictions. (orig.)

  5. An improved PIN photodetector with integrated JFET on high-resistivity silicon

    International Nuclear Information System (INIS)

    Dalla Betta, Gian-Franco; Piemonte, Claudio; Boscardin, Maurizio; Gregori, Paolo; Zorzi, Nicola; Fazzi, Alberto; Pignatel, Giorgio U.

    2006-01-01

    We report on a PIN photodetector integrated with a Junction Field Effect Transistor (JFET) on a high-resistivity silicon substrate. Owing to a modified fabrication technology, the electrical and noise characteristics of the JFET transistor have been enhanced with respect to the previous versions of the device, allowing the performance to be significantly improved. In this paper, the main design and technological aspects relevant to the proposed structure are addressed and experimental results from the electrical characterization are discussed

  6. Strain-driven alignment of In nanocrystals on InGaAs quantum dot arrays and coupled plasmon-quantum dot emission

    International Nuclear Information System (INIS)

    Urbanczyk, A.; Hamhuis, G. J.; Noetzel, R.

    2010-01-01

    We report the alignment of In nanocrystals on top of linear InGaAs quantum dot (QD) arrays formed by self-organized anisotropic strain engineering on GaAs (100) by molecular beam epitaxy. The alignment is independent of a thin GaAs cap layer on the QDs revealing its origin is due to local strain recognition. This enables nanometer-scale precise lateral and vertical site registration between the QDs and the In nanocrystals and arrays in a single self-organizing formation process. The plasmon resonance of the In nanocrystals overlaps with the high-energy side of the QD emission leading to clear modification of the QD emission spectrum.

  7. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  8. A fully integrated, monolithic, cryogenic charge sensitive preamplifier using N-channel JFETs and polysilicon resistors

    International Nuclear Information System (INIS)

    Jung, T.S.; Guckel, H.; Seefeldt, J.; Ott, G.; Ahn, Y.C.

    1994-01-01

    In this paper, an integrated charge preamplifier to be used with small (10--30 mm 2 ) Si(Li) and Ge(Li) X-ray detectors is described. The preamplifier is designed to operate at cryogenic temperatures (∼100 K to 160 K) for the best performance. An N-channel JFET process technology for integrated charge sensitive preamplifiers has been developed. The process integrates multiple pinch-off voltage JFETs fabricated in an n-type epitaxial layer on a low resistivity p-type substrate. The process also incorporates polysilicon resistors integrated on the same die as the JFETs. The optimized polysilicon resistors exhibit 1/f noise nearly as good as metal film resistors at the same current. Results for integrated amplifier are discussed

  9. First fabrication of a silicon vertical JFET for power distribution in high energy physics applications

    Science.gov (United States)

    Fernández-Martínez, Pablo; Flores, D.; Hidalgo, S.; Quirion, D.; Durà, R.; Ullán, M.

    2018-01-01

    A new vertical JFET transistor has been recently developed at the IMB-CNM, taking advantage of a deep-trenched 3D technology to achieve vertical conduction and low switch-off voltage. The silicon V-JFET transistors were mainly conceived to work as rad-hard protection switches for the renewed HV powering scheme (HV-MUX) of the ATLAS upgraded tracker. This work presents the features of the first batch of V-JFETs produced at the IMB-CNM clean room, together with the results of a full pre-irradiation characterization of the fabricated prototypes. Details of the technological process are provided and the outcome quality is also evaluated with the aid of reverse engineering techniques. Concerning the electrical performance of the prototypes, promising results were obtained, already meeting most of the HV-MUX specifications, both at room and below-zerotemperatures.

  10. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  11. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  12. Orthodontics Align Crooked Teeth and Boost Self-Esteem

    Science.gov (United States)

    ... desktop! more... Orthodontics Align Crooked Teeth and Boost Self- esteem Article Chapters Orthodontics Align Crooked Teeth and Boost Self- esteem print full article print this chapter email this ...

  13. Capillary Self-Alignment of Microchips on Soft Substrates

    Directory of Open Access Journals (Sweden)

    Bo Chang

    2016-03-01

    Full Text Available Soft micro devices and stretchable electronics have attracted great interest for their potential applications in sensory skins and wearable bio-integrated devices. One of the most important steps in building printed circuits is the alignment of assembled micro objects. Previously, the capillary self-alignment of microchips driven by surface tension effects has been shown to be able to achieve high-throughput and high-precision in the integration of micro parts on rigid hydrophilic/superhydrophobic patterned surfaces. In this paper, the self-alignment of microchips on a patterned soft and stretchable substrate, which consists of hydrophilic pads surrounded by a superhydrophobic polydimethylsiloxane (PDMS background, is demonstrated for the first time. A simple process has been developed for making superhydrophobic soft surface by replicating nanostructures of black silicon onto a PDMS surface. Different kinds of PDMS have been investigated, and the parameters for fabricating superhydrophobic PDMS have been optimized. A self-alignment strategy has been proposed that can result in reliable self-alignment on a soft PDMS substrate. Our results show that capillary self-alignment has great potential for building soft printed circuits.

  14. Multichannel monolithic front-end system design. Part II. Microwave bipolar-JFET process for low-noise charge-sensitive preamplifiers

    International Nuclear Information System (INIS)

    Baturitsky, M.A.; Reutovich, S.I.; Solomashenko, N.F.

    1996-01-01

    For pt. I see ibid., vol.378, p.564-569, 1996. New monolithic low-noise process has been developed for simultaneous fabrication of high-speed low-noise 4-terminal and 3-terminal pJFETs and microwave low-noise npn BJTs. A new ion-implanted 4-terminal structure of JFET having 300 MHz cut-off frequency is designed. The process provides direct contact to a top gate and independent access to the top and bottom gates. Application of p-channel implant makes it possible to optimize the JFET pinch-off voltage without deterioration of bipolar transistor characteristics: f T ≥3 GHz, current gain β≥150, R bb' ≤15-40 Ω. (orig.)

  15. First-Order SPICE Modeling of Extreme-Temperature 4H-SiC JFET Integrated Circuits

    Science.gov (United States)

    Neudeck, Philip G.; Spry, David J.; Chen, Liang-Yu

    2016-01-01

    A separate submission to this conference reports that 4H-SiC Junction Field Effect Transistor (JFET) digital and analog Integrated Circuits (ICs) with two levels of metal interconnect have reproducibly demonstrated electrical operation at 500 C in excess of 1000 hours. While this progress expands the complexity and durability envelope of high temperature ICs, one important area for further technology maturation is the development of reasonably accurate and accessible computer-aided modeling and simulation tools for circuit design of these ICs. Towards this end, we report on development and verification of 25 C to 500 C SPICE simulation models of first order accuracy for this extreme-temperature durable 4H-SiC JFET IC technology. For maximum availability, the JFET IC modeling is implemented using the baseline-version SPICE NMOS LEVEL 1 model that is common to other variations of SPICE software and importantly includes the body-bias effect. The first-order accuracy of these device models is verified by direct comparison with measured experimental device characteristics.

  16. Electrical and optical analyses of low fluence fast neutron damage to JFETs

    International Nuclear Information System (INIS)

    Hoffmann, A.; Charles, J.P.; Kerns, S.E.; Kerns, D.V. Jr.; Bardonnie, M. de la; Mialhe, P.

    1999-01-01

    The effects of fast neutron irradiation (30 MeV) on silicon n-channel JFETs are studied. Electrical parameters of the gate-channel junction are analysed at 3 fluences: 4,06*10 10 , 8,12*10 10 and 1,22*10 11 n/cm 2 for a flux of 2,82*10 6 n/s*cm 2 and using a custom software. Electrical parameter changes are attributed to bulk semi-conductor defects. Irradiation effects on passivation overlayers are evacuate using analysis of gate-channel junction electroluminescence. This study shows that even for low neutron fluences (10 11 n/cm 2 ), n-channel JFETs, characterized in direct conducting mode and submitted to neutron radiation, present a decrease in the reverse saturation current associated with recombination. (A.C.)

  17. Rad-hard vertical JFET switch for the HV-MUX system of the ATLAS upgrade Inner Tracker

    CERN Document Server

    Fernandez-Martinez, Pablo; Flores, David; Hidalgo, Salvador; Quirion, David; Lynn, David

    2016-01-01

    This work presents a new silicon vertical JFET (V-JFET) device, based on the trenched 3D-detector technology developed at IMB-CNM, to be used as switches for the High-Voltage powering scheme of the ATLAS upgrade Inner Tracker. The optimization of the device characteristics is performed by 2D and 3D TCAD simulations. Special attention has been paid to the on-resistance and the switch-off and breakdown voltages to meet the specific requirements of the system. In addition, a set of parameter values has been extracted from the simulated curves to implement a SPICE model of the proposed V-JFET transistor. As these devices are expected to operate under very high radiation conditions during the whole experiment life-time, a study of the radiation damage effects and the expected degradation on the device performance is also presented at the end of the paper.

  18. SPICE-aided modeling of high-voltage silicon carbide JFETs

    International Nuclear Information System (INIS)

    Bargieł, Kamil; Zarębski, Janusz; Bisewski, Damian

    2016-01-01

    The paper presents the static characteristics of the SiC transistor SJEP170R550 offered by SemiSouth obtained from simulations using JFET model built-in in PSPICE. Values of the model parameters were estimated using MODEL EDITOR, as well as procedure described in the literature. Simulation results were verified experimentally by comparison of results of measurements

  19. Self-Aligned van der Waals Heterojunction Diodes and Transistors.

    Science.gov (United States)

    Sangwan, Vinod K; Beck, Megan E; Henning, Alex; Luo, Jiajia; Bergeron, Hadallia; Kang, Junmo; Balla, Itamar; Inbar, Hadass; Lauhon, Lincoln J; Hersam, Mark C

    2018-02-14

    A general self-aligned fabrication scheme is reported here for a diverse class of electronic devices based on van der Waals materials and heterojunctions. In particular, self-alignment enables the fabrication of source-gated transistors in monolayer MoS 2 with near-ideal current saturation characteristics and channel lengths down to 135 nm. Furthermore, self-alignment of van der Waals p-n heterojunction diodes achieves complete electrostatic control of both the p-type and n-type constituent semiconductors in a dual-gated geometry, resulting in gate-tunable mean and variance of antiambipolar Gaussian characteristics. Through finite-element device simulations, the operating principles of source-gated transistors and dual-gated antiambipolar devices are elucidated, thus providing design rules for additional devices that employ self-aligned geometries. For example, the versatility of this scheme is demonstrated via contact-doped MoS 2 homojunction diodes and mixed-dimensional heterojunctions based on organic semiconductors. The scalability of this approach is also shown by fabricating self-aligned short-channel transistors with subdiffraction channel lengths in the range of 150-800 nm using photolithography on large-area MoS 2 films grown by chemical vapor deposition. Overall, this self-aligned fabrication method represents an important step toward the scalable integration of van der Waals heterojunction devices into more sophisticated circuits and systems.

  20. Atomic-scale structure of self-assembled In(Ga)As quantum rings in GaAs

    NARCIS (Netherlands)

    Offermans, P.; Koenraad, P.M.; Wolter, J.H.; Granados, D.; Garcia, J.M.; Fomin, V.; Gladilin, V.N.; Devreese, J.T.

    2005-01-01

    We present an atomic-scale analysis of the indium distribution of self-assembled In(Ga)As quantum rings (QRs) which are formed from InAs quantum dots by capping with a thin layer of GaAs and subsequent annealing. We find that the size and shape of QRs as observed by cross-sectional scanning

  1. Experimental Durability Testing of 4H SiC JFET Integrated Circuit Technology at 727 C

    Science.gov (United States)

    Spry, David; Neudeck, Phil; Chen, Liangyu; Chang, Carl; Lukco, Dorothy; Beheim, Glenn M

    2016-01-01

    We have reported SiC integrated circuits (IC's) with two levels of metal interconnect that have demonstrated prolonged operation for thousands of hours at their intended peak ambient operational temperature of 500 C [1, 2]. However, it is recognized that testing of semiconductor microelectronics at temperatures above their designed operating envelope is vital to qualification. Towards this end, we previously reported operation of a 4H-SiC JFET IC ring oscillator on an initial fast thermal ramp test through 727 C [3]. However, this thermal ramp was not ended until a peak temperature of 880 C (well beyond failure) was attained. Further experiments are necessary to better understand failure mechanisms and upper temperature limit of this extreme-temperature capable 4H-SiC IC technology. Here we report on additional experimental testing of custom-packaged 4H-SiC JFET IC devices at temperatures above 500 C. In one test, the temperature was ramped and then held at 727 C, and the devices were periodically measured until electrical failure was observed. A 4H-SiC JFET on this chip electrically functioned with little change for around 25 hours at 727 C before rapid increases in device resistance caused failure. In a second test, devices from our next generation 4H-SiC JFET ICs were ramped up and then held at 700 C (which is below the maximum deposition temperature of the dielectrics). Three ring oscillators functioned for 8 hours at this temperature before degradation. In a third experiment, an alternative die attach of gold paste and package lid was used, and logic circuit operation was demonstrated for 143.5 hours at 700 C.

  2. Wave drag reduction due to a self-aligning aerodisk

    Science.gov (United States)

    Schnepf, Ch.; Wysocki, O.; Schülein, E.

    2015-06-01

    The effect of a self-aligning aerodisk on the wave drag of a blunt slender body in a pitching maneuver has been numerically investigated. The self-alignment was realized by a coupling of the flow solver and a flight mechanics tool. The slender body was pitched with high repetition rate between α = 0° and 20° at M = 1.41. Even at high α, the concept could align the aerodisk to the oncoming flow. In comparison to the reference body without a self-aligning aerodisk, a distinct drag reduction is achieved. A comparison with existing experimental data shows a qualitatively good agreement considering the shock and separation structure and the kinematics of the aerodisk.

  3. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  4. Self-alignment of RFID dies on four-pad patterns with water droplet for sparse self-assembly

    International Nuclear Information System (INIS)

    Chang, Bo; Routa, Iiris; Sariola, Veikko; Zhou, Quan

    2011-01-01

    This paper reports an in-depth study of a water-droplet-assisted self-alignment technique that self-aligns radio frequency identification (RFID) dies on four-pad patterns. The segmented structure of four hydrophilic pads on a hydrophobic substrate brings freedom to the design of the electrical functionality and the surface functionality. The paper investigates the influence of the key parameters that may affect the self-alignment in theory and experiment. The theoretical model justifies that RFID dies can be reliably aligned on the segmented four-pad pattern even when the initial placement error is as large as 50% of the size of the die and the gap between the four pads is about 10% of the size of the die. A method has been introduced to estimate the sufficient droplet volume for self-alignment. A series of experiments have been carried out to verify the results of the model. The experiments indicate that the self-alignment between the 730 × 730 µm RFID dies and the pattern occurs reliably when the releasing bias between the RFID die and antenna is less than 400 µm for patterns with 50 and 100 µm gaps, and successful self-alignment is possible even with greater bias of 500 µm

  5. SOI Fully complementary BI-JFET-MOS technology for analog-digital applications with vertical BJT's

    International Nuclear Information System (INIS)

    Delevoye, E.; Blanc, J.P.; Bonaime, J.; Pontcharra, J. de; Gautier, J.; Martin, F.; Truche, R.

    1993-01-01

    A silicon-on-insulator, fully complementary, Bi-JFET-MOS technology has been developed for realizing multi-megarad hardened mixed analog-digital circuits. The six different active components plus resistors and capacitors have been successfully integrated in a 25-mask process using SIMOX substrate and 1 μm thick epitaxial layer. Different constraints such as device compatibility, complexity not higher than BiCMOS technology and breakdown voltages suitable for analog applications have been considered. Several process splits have been realized and all the characteristics presented here have been measured on the same split. P + gate is used for PMOS transistor to get N and PMOST symmetrical characteristics. Both NPN and PNP vertical bipolar transistors with poly-emitters show f T > 5 GHz. 2-separated gate JFET's need no additional mask. (authors). 9 figs., 1 tab

  6. Radial Growth of Self-Catalyzed GaAs Nanowires and the Evolution of the Liquid Ga-Droplet Studied by Time-Resolved in Situ X-ray Diffraction.

    Science.gov (United States)

    Schroth, Philipp; Jakob, Julian; Feigl, Ludwig; Mostafavi Kashani, Seyed Mohammad; Vogel, Jonas; Strempfer, Jörg; Keller, Thomas F; Pietsch, Ullrich; Baumbach, Tilo

    2018-01-10

    We report on a growth study of self-catalyzed GaAs nanowires based on time-resolved in situ X-ray structure characterization during molecular-beam-epitaxy in combination with ex situ scanning-electron-microscopy. We reveal the evolution of nanowire radius and polytypism and distinguish radial growth processes responsible for tapering and side-wall growth. We interpret our results using a model for diameter self-stabilization processes during growth of self-catalyzed GaAs nanowires including the shape of the liquid Ga-droplet and its evolution during growth.

  7. Experimental Durability Testing of 4H SiC JFET Integrated Circuit Technology at 727 Degrees Centigrade

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Chang, Carl W.; Lukco, Dorothy; Beheim, Glenn M.

    2016-01-01

    We have reported SiC integrated circuits (ICs) with two levels of metal interconnect that have demonstrated prolonged operation for thousands of hours at their intended peak ambient operational temperature of 500 degrees Centigrade. However, it is recognized that testing of semiconductor microelectronics at temperatures above their designed operating envelope is vital to qualification. Towards this end, we previously reported operation of a 4H-SiC JFET IC ring oscillator on an initial fast thermal ramp test through 727 degrees Centigrade. However, this thermal ramp was not ended until a peak temperature of 880 degrees Centigrade (well beyond failure) was attained. Further experiments are necessary to better understand failure mechanisms and upper temperature limit of this extreme-temperature capable 4H-SiC IC technology.Here we report on additional experimental testing of custom-packaged 4H-SiC JFET IC devices at temperatures above 500 degrees Centigrade. In one test, the temperature was ramped and then held at 727 degrees Centigrade, and the devices were periodically measured until electrical failure was observed. A 4H-SiC JFET on this chip electrically functioned with little change for around 25 hours at 727 degrees Centigrade before rapid increases in device resistance caused failure. In a second test, devices from our next generation 4H-SiC JFET ICs were ramped up and then held at 700 degrees Centigrade (which is below the maximum deposition temperature of the dielectrics). Three ring oscillators functioned for 8 hours at this temperature before degradation. In a third experiment, an alternative die attach of gold paste and package lid was used, and logic circuit operation was demonstrated for 143.5 hours at 700 degrees Centigrade.

  8. Characterization of 6H-SiC JFET Integrated Circuits Over A Broad Temperature Range from -150 C to +500 C

    Science.gov (United States)

    Neudeck, Philip G.; Krasowski, Michael J.; Chen, Liang-Yu; Prokop, Norman F.

    2009-01-01

    The NASA Glenn Research Center has previously reported prolonged stable operation of simple prototype 6H-SiC JFET integrated circuits (logic gates and amplifier stages) for thousands of hours at +500 C. This paper experimentally investigates the ability of these 6H-SiC JFET devices and integrated circuits to also function at cold temperatures expected to arise in some envisioned applications. Prototype logic gate ICs experimentally demonstrated good functionality down to -125 C without changing circuit input voltages. Cascaded operation of gates at cold temperatures was verified by externally wiring gates together to form a 3-stage ring oscillator. While logic gate output voltages exhibited little change across the broad temperature range from -125 C to +500 C, the change in operating frequency and power consumption of these non-optimized logic gates as a function of temperature was much larger and tracked JFET channel conduction properties.

  9. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  10. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  11. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  12. A Kalman Filter for SINS Self-Alignment Based on Vector Observation.

    Science.gov (United States)

    Xu, Xiang; Xu, Xiaosu; Zhang, Tao; Li, Yao; Tong, Jinwu

    2017-01-29

    In this paper, a self-alignment method for strapdown inertial navigation systems based on the q -method is studied. In addition, an improved method based on integrating gravitational apparent motion to form apparent velocity is designed, which can reduce the random noises of the observation vectors. For further analysis, a novel self-alignment method using a Kalman filter based on adaptive filter technology is proposed, which transforms the self-alignment procedure into an attitude estimation using the observation vectors. In the proposed method, a linear psuedo-measurement equation is adopted by employing the transfer method between the quaternion and the observation vectors. Analysis and simulation indicate that the accuracy of the self-alignment is improved. Meanwhile, to improve the convergence rate of the proposed method, a new method based on parameter recognition and a reconstruction algorithm for apparent gravitation is devised, which can reduce the influence of the random noises of the observation vectors. Simulations and turntable tests are carried out, and the results indicate that the proposed method can acquire sound alignment results with lower standard variances, and can obtain higher alignment accuracy and a faster convergence rate.

  13. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  14. Self-Assembled Local Artificial Substrates of GaAs on Si Substrate

    Directory of Open Access Journals (Sweden)

    Frigeri C

    2010-01-01

    Full Text Available Abstract We propose a self-assembling procedure for the fabrication of GaAs islands by Droplet Epitaxy on silicon substrate. Controlling substrate temperature and amount of supplied gallium is possible to tune the base size of the islands from 70 up to 250 nm and the density from 107 to 109 cm−2. The islands show a standard deviation of base size distribution below 10% and their shape evolves changing the aspect ratio from 0.3 to 0.5 as size increases. Due to their characteristics, these islands are suitable to be used as local artificial substrates for the integration of III–V quantum nanostructures directly on silicon substrate.

  15. SEU-hardened silicon bipolar and GaAs MESFET SRAM cells using local redundancy techniques

    International Nuclear Information System (INIS)

    Hauser, J.R.

    1992-01-01

    Silicon bipolar and GaAs FET SRAM's have proven to be more difficult to harden with respect to single-event upset mechanisms than have silicon CMOS SRAM's. This is a fundamental property of bipolar and JFET or MESFET device technologies which do not have a high-impedance, nonactive isolation between the control electrode and the current or voltage being controlled. All SEU circuit level hardening techniques applied at the local level must use some type of information storage redundancy so that information loss on one node due to an SEU event can be recovered from information stored elsewhere in the cell. In CMOS technologies, this can be achieved by the use of simple cross-coupling resistors, whereas in bipolar and FET technologies, no such simple approach is possible. Several approaches to the use of local redundancy in bipolar and FET technologies are discussed in this paper. At the expense of increased cell complexity and increased power consumption and write time, several approaches are capable of providing complete SEU hardness at the local cell level

  16. Low noise monolithic Si JFETs for operation in the 90-300K Range and in high radiation environments

    International Nuclear Information System (INIS)

    Radeka, V.; Citterio, M.; Rescia, S.; Manfredi, P.F.; Speziali, V.

    1994-12-01

    Development of low noise preamplifters for large ionization chambers with liquid argon (LAr) and liquid krypton (LKr) used in high energy physics experiments for measurement of energy of charged particles and photons requires die choice of a technology able to withstand the environment: a temperature of 90 K -120 K; an ionizing radiation dose of 1-2 Mrad; a neutron fluence of 0.5 -1.10 14 n/cm 2 . Silicon JFETs by virtue of their reliable noise behavior and their intrinsic radiation hardness appear to be very suitable devices for applications both at room and cryogenic temperatures. We describe the noise properties of JFET devices and a monolithic preamplifier suitable for amplification of charge and current signals

  17. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  18. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  20. Self-aligning and compressed autosophy video databases

    Science.gov (United States)

    Holtz, Klaus E.

    1993-04-01

    Autosophy, an emerging new science, explains `self-assembling structures,' such as crystals or living trees, in mathematical terms. This research provides a new mathematical theory of `learning' and a new `information theory' which permits the growing of self-assembling data network in a computer memory similar to the growing of `data crystals' or `data trees' without data processing or programming. Autosophy databases are educated very much like a human child to organize their own internal data storage. Input patterns, such as written questions or images, are converted to points in a mathematical omni dimensional hyperspace. The input patterns are then associated with output patterns, such as written answers or images. Omni dimensional information storage will result in enormous data compression because each pattern fragment is only stored once. Pattern recognition in the text or image files is greatly simplified by the peculiar omni dimensional storage method. Video databases will absorb input images from a TV camera and associate them with textual information. The `black box' operations are totally self-aligning where the input data will determine their own hyperspace storage locations. Self-aligning autosophy databases may lead to a new generation of brain-like devices.

  1. Parallel-aligned GaAs nanowires with (110) orientation laterally grown on [311]B substrates via the gold-catalyzed vapor-liquid-solid mode

    International Nuclear Information System (INIS)

    Zhang Guoqiang; Tateno, Kouta; Gotoh, Hideki; Nakano, Hidetoshi

    2010-01-01

    We report parallel aligned GaAs nanowires (NWs) with (110) orientation laterally grown on [311]B substrates via the vapor-liquid-solid mode and demonstrate their controllability and growth mechanism. We control the size, density, and site of the lateral NWs by using size- and density-selective Au colloidal particles and Au dot arrays defined by electron-beam lithography. The lateral NWs grow only along the [110] and [1-bar 1-bar 0] directions and formation of the stable facets of (111)B and (001) on the sides of the lateral NWs is crucial for lateral NW growth. We clarify the growth mechanism by comparing the growth results on [311]B, (311)A, and (001) substrates and the surface energy change of lateral and freestanding NWs.

  2. Si3N4/Si/In0.05Ga0.95As/n endash GaAs metal endash insulator endash semiconductor devices

    International Nuclear Information System (INIS)

    Park, D.; Li, D.; Tao, M.; Fan, Z.; Botchkarev, A.E.; Mohammad, S.N.; Morkoc, H.

    1997-01-01

    We report a novel metal endash insulator endash semiconductor (MIS) structure exhibiting a pseudomorphic In 0.05 Ga 0.95 As layer on GaAs with interface state densities in the low 10 11 eV -1 cm -2 . The structure was grown by a combination of molecular beam epitaxy and chemical vapor deposition methods. The hysteresis and frequency dispersion of the MIS capacitor were lower than 100 mV, some of them as low as 30 mV under a field swing of about ±1.3 MV/cm. The 150-Angstrom-thick In 0.05 Ga 0.95 As channel between Si and GaAs is found to bring about a change in the minority carrier recombination behavior of the GaAs channel, in the same way as done by In 0.53 Ga 0.47 As channel MIS structures. Self-aligned gate depletion mode In 0.05 Ga 0.95 As metal endash insulator endash semiconductor field-effect transistors having 3 μm gate lengths exhibited field-effect bulk mobility of 1400 cm 2 /Vs and transconductances of about 170 mS/mm. copyright 1997 American Institute of Physics

  3. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  4. Gamma dose rate effect on JFET transistors

    International Nuclear Information System (INIS)

    Assaf, J.

    2011-04-01

    The effect of Gamma dose rate on JFET transistors is presented. The irradiation was accomplished at the following available dose rates: 1, 2.38, 5, 10 , 17 and 19 kGy/h at a constant dose of 600 kGy. A non proportional relationship between the noise and dose rate in the medium range (between 2.38 and 5 kGy/h) was observed. While in the low and high ranges, the noise was proportional to the dose rate as the case of the dose effect. This may be explained as follows: the obtained result is considered as the yield of a competition between many reactions and events which are dependent on the dose rate. At a given values of that events parameters, a proportional or a non proportional dose rate effects are generated. No dependence effects between the dose rate and thermal annealing recovery after irradiation was observed . (author)

  5. Capillary self-alignment of mesoscopic foil components for sensor-systems-in-foil

    International Nuclear Information System (INIS)

    Arutinov, Gari; Smits, Edsger C P; Van Heck, Gert; Van den Brand, Jeroen; Schoo, Herman F M; Mastrangeli, Massimo; Dietzel, Andreas

    2012-01-01

    This paper reports on the effective use of capillary self-alignment for low-cost and time-efficient assembly of heterogeneous foil components into a smart electronic identification label. Particularly, we demonstrate the accurate (better than 50 µm) alignment of cm-sized functional foil dies. We investigated the role played by the assembly liquid, by the size and the weight of assembling dies and by their initial offsets in the self-alignment performance. It was shown that there is a definite range of initial offsets allowing dies to align with high accuracy and within approximately the same time window, irrespective of their initial offset. (paper)

  6. Optical Properties of GaAs Quantum Dots Fabricated by Filling of Self-Assembled Nanoholes

    Directory of Open Access Journals (Sweden)

    Heyn Ch

    2009-01-01

    Full Text Available Abstract Experimental results of the local droplet etching technique for the self-assembled formation of nanoholes and quantum rings on semiconductor surfaces are discussed. Dependent on the sample design and the process parameters, filling of nanoholes in AlGaAs generates strain-free GaAs quantum dots with either broadband optical emission or sharp photoluminescence (PL lines. Broadband emission is found for samples with completely filled flat holes, which have a very broad depth distribution. On the other hand, partly filling of deep holes yield highly uniform quantum dots with very sharp PL lines.

  7. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  8. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  9. Switching Performance Evaluation of Commercial SiC Power Devices (SiC JFET and SiC MOSFET) in Relation to the Gate Driver Complexity

    DEFF Research Database (Denmark)

    Pittini, Riccardo; Zhang, Zhe; Andersen, Michael A. E.

    2013-01-01

    and JFETs. The recent introduction of SiC MOSFET has proved that it is possible to have highly performing SiC devices with a minimum gate driver complexity; this made SiC power devices even more attractive despite their device cost. This paper presents an analysis based on experimental results...... of the switching losses of various commercially available Si and SiC power devices rated at 1200 V (Si IGBTs, SiC JFETs and SiC MOSFETs). The comparison evaluates the reduction of the switching losses which is achievable with the introduction of SiC power devices; this includes analysis and considerations...

  10. Theory of electron energy spectrum and Aharonov-Bohm effect in self-assembled Inx Ga1-x As quantum rings in GaAs

    NARCIS (Netherlands)

    Fomin, V.M.; Gladilin, V.N.; Klimin, S.N.; Devreese, J.T.; Kleemans, N.A.J.M.; Koenraad, P.M.

    2007-01-01

    We analyze theoretically the electron energy spectrum and the magnetization of an electron in a strained Inx Ga1-x As GaAs self-assembled quantum ring (SAQR) with realistic parameters, determined from the cross-sectional scanning-tunneling microscopy characterization of that nanostructure. The SAQRs

  11. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  12. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    Science.gov (United States)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  13. Extracting the noise spectral densities parameters of JFET transistor by modeling a nuclear electronics channel response

    International Nuclear Information System (INIS)

    Assaf, J.

    2009-07-01

    Mathematical model for the RMS noise of JFET transistor has been realized. Fitting the model according to the experimental results gives the noise spectral densities values. Best fitting was for the model of three noise sources and real preamplifier transfer function. After gamma irradiation, an additional and important noise sources appeared and two point defects are estimated through the fitting process. (author)

  14. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  15. An array of cold-electron bolometers with SIN tunnel junctions and JFET readout for cosmology instruments

    International Nuclear Information System (INIS)

    Kuzmin, L

    2008-01-01

    A novel concept of the parallel/series array of Cold-Electron Bolometers (CEB) with Superconductor-Insulator-Normal (SIN) Tunnel Junctions has been proposed. The concept was developed specially for matching the CEB with JFET amplifier at conditions of high optical power load. The CEB is a planar antenna-coupled superconducting detector with high sensitivity. For combination of effective HF operation and low noise properties the current-biased CEBs are connected in series for DC and in parallel for HF signal. A signal is concentrated from an antenna to the absorber through the capacitance of the tunnel junctions and through additional capacitance for coupling of superconducting islands. Using array of CEBs the applications can be considerably extended to higher power load by distributing the power between N CEBs and decreasing the electron temperature. Due to increased responsivity the noise matching is so effective that photon NEP could be easily achieved at 300 mK with a room temperature JFET for wide range of optical power loads. The concept of the CEB array has been developed for the BOOMERanG balloon telescope and other Cosmology instruments

  16. Intradomain phase transitions in flexible block copolymers with self-aligning segments

    Science.gov (United States)

    Burke, Christopher J.; Grason, Gregory M.

    2018-05-01

    We study a model of flexible block copolymers (BCPs) in which there is an enlthalpic preference for orientational order, or local alignment, among like-block segments. We describe a generalization of the self-consistent field theory of flexible BCPs to include inter-segment orientational interactions via a Landau-de Gennes free energy associated with a polar or nematic order parameter for segments of one component of a diblock copolymer. We study the equilibrium states of this model numerically, using a pseudo-spectral approach to solve for chain conformation statistics in the presence of a self-consistent torque generated by inter-segment alignment forces. Applying this theory to the structure of lamellar domains composed of symmetric diblocks possessing a single block of "self-aligning" polar segments, we show the emergence of spatially complex segment order parameters (segment director fields) within a given lamellar domain. Because BCP phase separation gives rise to spatially inhomogeneous orientation order of segments even in the absence of explicit intra-segment aligning forces, the director fields of BCPs, as well as thermodynamics of lamellar domain formation, exhibit a highly non-linear dependence on both the inter-block segregation (χN) and the enthalpy of alignment (ɛ). Specifically, we predict the stability of new phases of lamellar order in which distinct regions of alignment coexist within the single mesodomain and spontaneously break the symmetries of the lamella (or smectic) pattern of composition in the melt via in-plane tilt of the director in the centers of the like-composition domains. We further show that, in analogy to Freedericksz transition confined nematics, the elastic costs to reorient segments within the domain, as described by the Frank elasticity of the director, increase the threshold value ɛ needed to induce this intra-domain phase transition.

  17. Temperature characterization of deep and shallow defect centers of low noise silicon JFETs

    International Nuclear Information System (INIS)

    Arnaboldi, Claudio; Fascilla, Andrea; Lund, M.W.; Pessina, Gianluigi

    2004-01-01

    We have selected different low noise JFET processes that have shown outstanding dynamic and noise performance at both room temperature and low temperatures. We have studied JFETs made with a process optimized for cryogenic operation, testing several devices of varying capacitance. For most of them, we have been able to detect the presence of shallow individual traps at low temperature which create low frequency (LF) Generation-Recombination (G-R) noise. For one device type no evidence of traps has been observed at the optimum temperature of operation (around 100 K). It had a very small residual LF noise. This device has been cooled down to 14 K. From below 100 K down to 14 K the noise was observed to increase due to G-R noise originating from donor atoms (dopants) inside the channel. A very simple theoretical interpretation confirms the nature of G-R noise from these very shallow trapping centers. We also studied devices from a process optimized for room temperature operation and found noise corresponding to the presence of a single deep level trap. Even for this circumstance the theory was experimentally confirmed. The measurement approach we used allowed us to achieve a very high accuracy in the modeling of the measured G-R noise. The ratio of the density of the atoms responsible for G-R noise above the doping concentration, N T /N d , has been verified with a sensitivity around 10 -7

  18. Deterministic self-organization: Ordered positioning of InAs quantum dots by self-organized anisotropic strain engineering on patterned GaAs(311)B

    International Nuclear Information System (INIS)

    Selcuk, E.; Hamhuis, G.J.; Noetzel, R.

    2009-01-01

    Laterally ordered InGaAs quantum dot (QD) arrays, InAs QD molecules, and single InAs QDs in a spot-like periodic arrangement are created by self-organized anisotropic strain engineering of InGaAs/GaAs superlattice (SL) templates on planar GaAs (311)B substrates in molecular beam epitaxy. On shallow- and deep-patterned substrates the respectively generated steps and facets guide the self-organization process during SL template formation to create more complex ordering such as periodic stripes, depending on pattern design. Here we demonstrate for patterns such as shallow- and deepetched round holes and deep-etched zigzag mesas that the self-organized periodic arrangement of QD molecules and single QDs is spatially locked to the pattern sidewalls and corners. This extends the concept of guided self-organization to deterministic self-organization. Absolute position control of the QDs is achieved without one-to-one pattern definition. This guarantees the excellent arrangement control of the ordered QD molecules and single QDs with strong photoluminescence emission up to room temperature, which is required for future quantum functional devices. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Laser micro-machining of hydrophobic-hydrophilic patterns for fluid driven self-alignment in micro-assembly

    NARCIS (Netherlands)

    Römer, Gerardus Richardus, Bernardus, Engelina; Jorritsma, Mark; Arnaldo del Cerro, D.; Chang, Bo; Liimatainen, Ville; Zhou, Quan; Huis in 't Veld, Bert

    2011-01-01

    Fluid driven self-alignment is a low cost alternative to fast but relatively inaccurate robotic pickand-place assembly of micro-fabricated components. This fluidic self-alignment technique relies on a hydrophobic-hydrophilic pattern on the surface of the receiving substrate, which confines a fluid

  20. In-Flight Self-Alignment Method Aided by Geomagnetism for Moving Basement of Guided Munitions

    Directory of Open Access Journals (Sweden)

    Shuang-biao Zhang

    2015-01-01

    Full Text Available Due to power-after-launch mode of guided munitions of high rolling speed, initial attitude of munitions cannot be determined accurately, and this makes it difficult for navigation and control system to work effectively and validly. An in-flight self-alignment method aided by geomagnetism that includes a fast in-flight coarse alignment method and an in-flight alignment model based on Kalman theory is proposed in this paper. Firstly a fast in-flight coarse alignment method is developed by using gyros, magnetic sensors, and trajectory angles. Then, an in-flight alignment model is derived by investigation of the measurement errors and attitude errors, which regards attitude errors as state variables and geomagnetic components in navigation frame as observed variables. Finally, fight data of a spinning projectile is used to verify the performance of the in-flight self-alignment method. The satisfying results show that (1 the precision of coarse alignment can attain below 5°; (2 the attitude errors by in-flight alignment model converge to 24′ at early of the latter half of the flight; (3 the in-flight alignment model based on Kalman theory has better adaptability, and show satisfying performance.

  1. Ultrafast self-modulation of the optical absorption spectrum under conditions of both the ultrashort optical pumping and superluminescence in GaAs

    International Nuclear Information System (INIS)

    Ageeva, N. N.; Bronevoi, I. L.; Krivonosov, A. N.; Stegantsov, S. V.

    2006-01-01

    Self-modulation of the optical absorption spectrum is observed during the picosecond photogeneration of charge carriers and intense superluminescence in GaAs. As the picosecond delay τ of the probing pulse with respect to the pump pulse is varied in the region of τ < 0, the local points of the absorption intensification (juts) shift along the spectrum (the modulation resembles a running wave). As the value of τ is varied in the vicinity of τ = 0, the juts in the spectrum arise and disappear at approximately fixed photon energies (the modulation resembles a standing wave). At certain photon energies, the dependence of the rate of variation in the absorption coefficient dα/dτ on τ is found to be modulated by pulsations, similarly to the previously observed modulation of the picosecond stimulated emission from GaAs. Presumably, the spectrum self-modulation represents (and, thus, reveals) the modulation of the electron distribution in the conduction band. This modulation is caused by the fact that the evolution of the electron-population depletion at the bottom of the conduction band during superluminescence reflects (due to the electron-phonon interaction) on the population of the upper energy levels in the band

  2. Self-aligning fixture used in lathe chuck jaw refacing

    Science.gov (United States)

    Linn, C. C.

    1965-01-01

    Self-aligning tool positions and rigidly holds lathe chuck jaws for refacing and truing of the clamping surface. The jaws clamp the fixture in the manner of clamping a workpiece. The fixture can be modified to accommodate four-jawed checks.

  3. Design of monolithic preamplifiers employing diffused n-JFETs for ionization chamber colorimeters

    International Nuclear Information System (INIS)

    Demicheli, M.; Manfredi, P.F.; Speziali, V.; Radeka, V.; Rescia, S.

    1990-01-01

    Silicon n-channel JFETs obtained by diffusing the gate into the epitaxial layer which contains the channel still feature unsurpassed noise performances in charge measurements with radiation detectors. Compared to implanted-gate junction field-effect devices, they have a better behaviour in the low-frequency noise, while the thermal noise in the channel more closely conforms to the expected g m -dependence. With respect to MOSFETs they feature, besides lower noise, superior radiation hardness and resistance to electrostatic discharges into the gate. The actual paper discusses the basic design considerations of a preamplifier for ionization chamber calorimeters, which is intended for monolithic integration based on a dielectrically isolated process. (orig.)

  4. Self-aligned inkjet printing of highly conducting gold electrodes with submicron resolution

    Science.gov (United States)

    Zhao, Ni; Chiesa, Marco; Sirringhaus, Henning; Li, Yuning; Wu, Yiliang; Ong, Beng

    2007-03-01

    Self-aligned printing is a recently developed bottom-up printing technique which utilizes the unique droplet motion on heterogeneous surfaces to define sub-100-nm critical features and surpasses the resolution which can commonly be achieved by direct printing by two orders of magnitude. Here we extend this method, which was originally implemented with conductive polymer inks, to fabrication of functional conductive nanostructures with gold nanoparticle ink. We also designed a configuration where the ink was printed between two lithographically defined patterns to facilitate the study of the channel formation. Channel lengths from 4μm down to 60nm were achieved by controlling the surface tension and drying time of the ink. A fluid dynamical model is presented to explain the mechanism by which the channel forms in the self-aligned printing technique. Field-effect transistors fabricated using gold self-aligned printed source-drain electrodes exhibit significantly improved output currents than those using conducting polymers. Unambiguous evidence for the submicrometer channel dimension is obtained by imaging the potential drop along the channel using scanning Kelvin probe microscopy.

  5. A self-aligned gate definition process with submicron gaps

    NARCIS (Netherlands)

    Warmerdam, L.F.P.; Aarnink, Antonius A.I.; Holleman, J.; Wallinga, Hans

    1989-01-01

    A self-aligned gate definition process is proposed. Spacings between adjacent gates of 0.5 µm and smaller are fabricated. The spacing is realized by an edge-etch technique, combined with anisotropic plasma etching of the single poly-silicon layer. Straight gaps with minor width variation are

  6. Planar self-aligned ion implanted InP MISFETS for fast logic applications

    International Nuclear Information System (INIS)

    Cameron, D.C.; Irving, L.D.; Whitehouse, C.R.; Woodward, J.; Lee, D.

    1983-01-01

    The first successful use of ion implantation to fabricate truly self-aligned planar n-channel enhancement-mode indium phosphide MISFITS is reported. The transistors have been fabricated on iron-doped semi-insulating material using PECVD-deposited SiO 2 as the gate dielectric and molybdenum gate electrodes. The self-aligned source and drain contact regions were produced by Si 29 ion implantation using each gate stripe as an implant mask. The devices fabricated to date have exhibited channel mobilities up to value of 2400 cm 2 v -1 s -1 , with excellent uniformity and stability of the device characteristics also being observed. (author)

  7. Attractive interaction between Mn atoms on the GaAs(110) surface observed by scanning tunneling microscopy.

    Science.gov (United States)

    Taninaka, Atsushi; Yoshida, Shoji; Kanazawa, Ken; Hayaki, Eiko; Takeuchi, Osamu; Shigekawa, Hidemi

    2016-06-16

    Scanning tunneling microscopy/spectroscopy (STM/STS) was carried out to investigate the structures of Mn atoms deposited on a GaAs(110) surface at room temperature to directly observe the characteristics of interactions between Mn atoms in GaAs. Mn atoms were paired with a probability higher than the random distribution, indicating an attractive interaction between them. In fact, re-pairing of unpaired Mn atoms was observed during STS measurement. The pair initially had a new structure, which was transformed during STS measurement into one of those formed by atom manipulation at 4 K. Mn atoms in pairs and trimers were aligned in the direction, which is theoretically predicted to produce a high Curie temperature.

  8. A novel micromachined shadow mask system with self-alignment and gap control capability

    International Nuclear Information System (INIS)

    Hong, Jung Moo; Zou Jun

    2008-01-01

    We present a novel micromachined shadow mask system, which is capable of accurate self-alignment and mask-substrate gap control. The shadow mask system consists of a silicon shadow mask and a silicon carrier wafer with pyramidal cavities fabricated with bulk micromachining. Self-alignment and gap control of the shadow mask and the fabrication substrate can readily be achieved by using matching pairs of pyramidal cavities and steel spheres placed between. The layer-to-layer alignment accuracy of the new shadow mask system has been experimentally characterized and verified using both optical and atomic force microscopic measurements. As an application of this new shadow mask system, an organic thin-film transistor (OTFT) using pentacene as the semiconductor layer has been successfully fabricated and tested

  9. Single-dot Spectroscopy of GaAs Quantum Dots Fabricated by Filling of Self-assembled Nanoholes

    Directory of Open Access Journals (Sweden)

    Heyn Ch

    2010-01-01

    Full Text Available Abstract We study the optical emission of single GaAs quantum dots (QDs. The QDs are fabricated by filling of nanoholes in AlGaAs and AlAs which are generated in a self-assembled fashion by local droplet etching with Al droplets. Using suitable process parameters, we create either uniform QDs in partially filled deep holes or QDs with very broad size distribution in completely filled shallow holes. Micro photoluminescence measurements of single QDs of both types establish sharp excitonic peaks. We measure a fine-structure splitting in the range of 22–40μeV and no dependence on QD size. Furthermore, we find a decrease in exciton–biexciton splitting with increasing QD size.

  10. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  11. Tailoring broadband light trapping of GaAs and Si substrates by self-organised nanopatterning

    Energy Technology Data Exchange (ETDEWEB)

    Martella, C.; Chiappe, D.; Mennucci, C.; Buatier de Mongeot, F. [Dipartimento di Fisica, Università di Genova, via Dodecaneso 33, I-16146 Genova (Italy)

    2014-05-21

    We report on the formation of high aspect ratio anisotropic nanopatterns on crystalline GaAs (100) and Si (100) substrates exploiting defocused Ion Beam Sputtering assisted by a sacrificial self-organised Au stencil mask. The tailored optical properties of the substrates are characterised in terms of total reflectivity and haze by means of integrating sphere measurements as a function of the morphological modification at increasing ion fluence. Refractive index grading from sub-wavelength surface features induces polarisation dependent anti-reflection behaviour in the visible-near infrared (VIS-NIR) range, while light scattering at off-specular angles from larger structures leads to very high values of the haze functions in reflection. The results, obtained for an important class of technologically relevant materials, are appealing in view of photovoltaic and photonic applications aiming at photon harvesting in ultrathin crystalline solar cells.

  12. 5kW phase-shifted full-bridge converter with current doubler using normally-off SiC JFETs designed for 98% efficiency

    DEFF Research Database (Denmark)

    Török, Lajos; Beczkowski, Szymon; Munk-Nielsen, Stig

    2013-01-01

    In this paper a 5kW step-down converter for low-voltage high-current application is presented using normally-off SiC JFETs as high voltage power switches, operating with efficiency close to 98%. Different low voltage side rectification solutions and loss estimations are also presented. As results...

  13. Self-assembly of single "square" quantum rings in gold-free GaAs nanowires.

    Science.gov (United States)

    Zha, Guowei; Shang, Xiangjun; Su, Dan; Yu, Ying; Wei, Bin; Wang, Li; Li, Mifeng; Wang, Lijuan; Xu, Jianxing; Ni, Haiqiao; Ji, Yuan; Sun, Baoquan; Niu, Zhichuan

    2014-03-21

    Single nanostructures embedded within nanowires (NWs) represent one of the most promising technologies for applications in quantum photonics. However, fabrication imperfections and etching-induced defects are inevitable for top-down fabrications, whereas self-assembly bottom-up approaches cannot avoid the difficulties of its stochastic nature and are limited to restricted heterogeneous material systems. Here we demonstrate the versatile self-assembly of single "square" quantum rings (QR) on the sidewalls of gold-free GaAs NWs for the first time. By tuning the deposition temperature, As overpressure and amount of gallium-droplets, we were able to control the density and morphology of the structure, yielding novel single quantum dots, QR, coupled QRs, and nano-antidots. A proposed model based on a strain-driven, transport-dependent nucleation of gallium droplets at high temperature accounts for the formation mechanism of these structures. We achieved a single-QR-in-NW structure, of which the optical properties were analyzed using micro-photoluminescence at 10 K and a spatially resolved cathodoluminescence technique at 77 K. The spectra show sharp discrete peaks; of these peaks, the narrowest linewidth (separation) was 578 μeV (1-3 meV), reflecting the quantized nature of the ring-type electronic states.

  14. The precise self-assembly of individual carbon nanotubes using magnetic capturing and fluidic alignment

    Energy Technology Data Exchange (ETDEWEB)

    Shim, Joon S; Rust, Michael J; Do, Jaephil; Ahn, Chong H [Department of Electrical and Computer Engineering, Microsystems and BioMEMS Laboratory, University of Cincinnati, Cincinnati, OH 45221 (United States); Yun, Yeo-Heung; Schulz, Mark J [Department of Mechanical Engineering, University of Cincinnati, 45221 (United States); Shanov, Vesselin, E-mail: chong.ahn@uc.ed [Department of Chemical and Materials Engineering, University of Cincinnati, 45221 (United States)

    2009-08-12

    A new method for the self-assembly of a carbon nanotube (CNT) using magnetic capturing and fluidic alignment has been developed and characterized in this work. In this new method, the residual iron (Fe) catalyst positioned at one end of the CNT was utilized as a self-assembly driver to attract and position the CNT, while the assembled CNT was aligned by the shear force induced from the fluid flow through the assembly channel. The self-assembly procedures were successfully developed and the electrical properties of the assembled multi-walled carbon nanotube (MWNT) and single-walled carbon nanotube (SWNT) were fully characterized. The new assembly method developed in this work shows its feasibility for the precise self-assembly of parallel CNTs for electronic devices and nanobiosensors.

  15. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  16. Self-optimizing approach for automated laser resonator alignment

    Science.gov (United States)

    Brecher, C.; Schmitt, R.; Loosen, P.; Guerrero, V.; Pyschny, N.; Pavim, A.; Gatej, A.

    2012-02-01

    Nowadays, the assembly of laser systems is dominated by manual operations, involving elaborate alignment by means of adjustable mountings. From a competition perspective, the most challenging problem in laser source manufacturing is price pressure, a result of cost competition exerted mainly from Asia. From an economical point of view, an automated assembly of laser systems defines a better approach to produce more reliable units at lower cost. However, the step from today's manual solutions towards an automated assembly requires parallel developments regarding product design, automation equipment and assembly processes. This paper introduces briefly the idea of self-optimizing technical systems as a new approach towards highly flexible automation. Technically, the work focuses on the precision assembly of laser resonators, which is one of the final and most crucial assembly steps in terms of beam quality and laser power. The paper presents a new design approach for miniaturized laser systems and new automation concepts for a robot-based precision assembly, as well as passive and active alignment methods, which are based on a self-optimizing approach. Very promising results have already been achieved, considerably reducing the duration and complexity of the laser resonator assembly. These results as well as future development perspectives are discussed.

  17. Self-aligned photolithography for the fabrication of fully transparent high-voltage devices

    Science.gov (United States)

    Zhang, Yonghui; Mei, Zengxia; Huo, Wenxing; Wang, Tao; Liang, Huili; Du, Xiaolong

    2018-05-01

    High-voltage devices, working in the range of hundreds of volts, are indispensable elements in the driving or readout circuits for various kinds of displays, integrated microelectromechanical systems and x-ray imaging sensors. However, the device performances are found hardly uniform or repeatable due to the misalignment issue, which are extremely common for offset drain high-voltage devices. To resolve this issue, this article reports a set of self-aligned photolithography technology for the fabrication of high-voltage devices. High-performance fully-transparent high-voltage thin film transistors, diodes and logic inverters are successfully fabricated with this technology. Unlike other self-aligned routes, opaque masks are introduced on the backside of the transparent substrate to facilitate proximity exposure method. The photolithography process is simulated and analyzed with technology computer aided design simulation to explain the working principle of the proximity exposure method. The substrate thickness is found to be vital for the implementation of this technology based on both simulation and experimental results. The electrical performance of high-voltage devices is dependent on the offset length, which can be delicately modulated by changing the exposure dose. The presented self-aligned photolithography technology is proved to be feasible in high-voltage circuits, demonstrating its huge potential in practical industrial applications.

  18. High-frequency self-aligned graphene transistors with transferred gate stacks

    Science.gov (United States)

    Cheng, Rui; Bai, Jingwei; Liao, Lei; Zhou, Hailong; Chen, Yu; Liu, Lixin; Lin, Yung-Chen; Jiang, Shan; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Graphene has attracted enormous attention for radio-frequency transistor applications because of its exceptional high carrier mobility, high carrier saturation velocity, and large critical current density. Herein we report a new approach for the scalable fabrication of high-performance graphene transistors with transferred gate stacks. Specifically, arrays of gate stacks are first patterned on a sacrificial substrate, and then transferred onto arbitrary substrates with graphene on top. A self-aligned process, enabled by the unique structure of the transferred gate stacks, is then used to position precisely the source and drain electrodes with minimized access resistance or parasitic capacitance. This process has therefore enabled scalable fabrication of self-aligned graphene transistors with unprecedented performance including a record-high cutoff frequency up to 427 GHz. Our study defines a unique pathway to large-scale fabrication of high-performance graphene transistors, and holds significant potential for future application of graphene-based devices in ultra–high-frequency circuits. PMID:22753503

  19. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  20. Cleaved-edge overgrowth of aligned quantum dots on strained layers of InGaAs

    International Nuclear Information System (INIS)

    Wasserman, D.; Lyon, S.A.

    2004-01-01

    Strain aligned InAs quantum dots were grown on the cleaved edges of first growth samples containing strained In x Ga (1-x) As layers of varying thickness and indium fraction. The formation of the cleaved-edge quantum dots was observed by means of atomic force microscopy. 100% linear alignment of InAs quantum dots over the InGaAs strain layers of the first growth sample is demonstrated. Linear density of the aligned dots was found to depend on the properties of the underlying InGaAs strain layers. Vertical alignment of an additional InAs quantum dot layer over the buried, linearly aligned, initial dot layer was observed for thin GaAs spacer layers

  1. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  2. Scalable fabrication of self-aligned graphene transistors and circuits on glass.

    Science.gov (United States)

    Liao, Lei; Bai, Jingwei; Cheng, Rui; Zhou, Hailong; Liu, Lixin; Liu, Yuan; Huang, Yu; Duan, Xiangfeng

    2012-06-13

    Graphene transistors are of considerable interest for radio frequency (rf) applications. High-frequency graphene transistors with the intrinsic cutoff frequency up to 300 GHz have been demonstrated. However, the graphene transistors reported to date only exhibit a limited extrinsic cutoff frequency up to about 10 GHz, and functional graphene circuits demonstrated so far can merely operate in the tens of megahertz regime, far from the potential the graphene transistors could offer. Here we report a scalable approach to fabricate self-aligned graphene transistors with the extrinsic cutoff frequency exceeding 50 GHz and graphene circuits that can operate in the 1-10 GHz regime. The devices are fabricated on a glass substrate through a self-aligned process by using chemical vapor deposition (CVD) grown graphene and a dielectrophoretic assembled nanowire gate array. The self-aligned process allows the achievement of unprecedented performance in CVD graphene transistors with a highest transconductance of 0.36 mS/μm. The use of an insulating substrate minimizes the parasitic capacitance and has therefore enabled graphene transistors with a record-high extrinsic cutoff frequency (> 50 GHz) achieved to date. The excellent extrinsic cutoff frequency readily allows configuring the graphene transistors into frequency doubling or mixing circuits functioning in the 1-10 GHz regime, a significant advancement over previous reports (∼20 MHz). The studies open a pathway to scalable fabrication of high-speed graphene transistors and functional circuits and represent a significant step forward to graphene based radio frequency devices.

  3. Structural hierarchy in flow-aligned hexagonally self-organized microphases with parallel polyelectrolytic structures

    NARCIS (Netherlands)

    Ruotsalainen, T; Torkkeli, M; Serimaa, R; Makela, T; Maki-Ontto, R; Ruokolainen, J; ten Brinke, G; Ikkala, O; Mäkelä, Tapio; Mäki-Ontto, Riikka

    2003-01-01

    We report a novel structural hierarchy where a flow-aligned hexagonal self-organized structure is combined with a polyelectrolytic self-organization on a smaller length scale and where the two structures are mutually parallel. Polystyrene-block-poly(4-vinylpyridine) (PS-block-P4VP) is selected with

  4. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  5. SiC JFET Cascode Loss Dependency on the MOSFET Output Capacitance and Performance Comparison with Trench IGBTs

    DEFF Research Database (Denmark)

    Pittini, Riccardo; Zhang, Zhe; Andersen, Michael A. E.

    2013-01-01

    output capacitance on the switching performance of the SiC Cascode connection in terms of switching energy loss, dV/dt and dI/dt stresses. The Cascode connection switching performances are compared with the switching performance latest Trench IGBTs. The analysis is based on a set of several laboratory...... measurements and data post-processing in order to properly characterize the devices and quantify whether the SiC JFET Cascode connection can provide good performances with a simple MOSFET gate driver....

  6. Self-adapting denoising, alignment and reconstruction in electron tomography in materials science

    Energy Technology Data Exchange (ETDEWEB)

    Printemps, Tony, E-mail: tony.printemps@cea.fr [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Mula, Guido [Dipartimento di Fisica, Università di Cagliari, Cittadella Universitaria, S.P. 8km 0.700, 09042 Monserrato (Italy); Sette, Daniele; Bleuet, Pierre; Delaye, Vincent; Bernier, Nicolas; Grenier, Adeline; Audoit, Guillaume; Gambacorti, Narciso; Hervé, Lionel [Université Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France)

    2016-01-15

    An automatic procedure for electron tomography is presented. This procedure is adapted for specimens that can be fashioned into a needle-shaped sample and has been evaluated on inorganic samples. It consists of self-adapting denoising, automatic and accurate alignment including detection and correction of tilt axis, and 3D reconstruction. We propose the exploitation of a large amount of information of an electron tomography acquisition to achieve robust and automatic mixed Poisson–Gaussian noise parameter estimation and denoising using undecimated wavelet transforms. The alignment is made by mixing three techniques, namely (i) cross-correlations between neighboring projections, (ii) common line algorithm to get a precise shift correction in the direction of the tilt axis and (iii) intermediate reconstructions to precisely determine the tilt axis and shift correction in the direction perpendicular to that axis. Mixing alignment techniques turns out to be very efficient and fast. Significant improvements are highlighted in both simulations and real data reconstructions of porous silicon in high angle annular dark field mode and agglomerated silver nanoparticles in incoherent bright field mode. 3D reconstructions obtained with minimal user-intervention present fewer artefacts and less noise, which permits easier and more reliable segmentation and quantitative analysis. After careful sample preparation and data acquisition, the denoising procedure, alignment and reconstruction can be achieved within an hour for a 3D volume of about a hundred million voxels, which is a step toward a more routine use of electron tomography. - Highlights: • Goal: perform a reliable and user-independent 3D electron tomography reconstruction. • Proposed method: self-adapting denoising and alignment prior to 3D reconstruction. • Noise estimation and denoising are performed using wavelet transform. • Tilt axis determination is done automatically as well as projection alignment.

  7. Complex laterally ordered InGaAs and InAs quantum dots by guided self-organized anisotropic strain engineering on artificially patterned GaAs (3 1 1)B substrates

    NARCIS (Netherlands)

    Selçuk, E.; Hamhuis, G.J.; Nötzel, R.

    2009-01-01

    Self-organized anisotropic strain engineering is combined with growth on artificially patterned GaAs (3 1 1)B substrates to realize complex lateral ordering of InGaAs and InAs quantum dots (QDs) guided by steps and facets generated along the pattern sidewalls. Depending on the pattern design, size,

  8. Capillary self-alignment dynamics for R2R manufacturing of mesoscopic system-in-foil devices

    NARCIS (Netherlands)

    Arutinov, G.; Quintero, A.V.; Smits, E.C.P.; Remoortere, B. van; Brand, J. van den; Schoo, H.F.M.; Briand, D.; Rooij, N.F. de; Dietzel, A.H.

    2012-01-01

    This paper reports a study on the dynamics of foil-based functional component self-alignment onto patterned test substrates and its demonstration when integrating a flexible sensor onto a printed circuitry. We investigate the dependence of alignment time and final precision of stacking of mm- and

  9. Mechanical Design, Simulation, and Testing of Self-Aligning Gaussian Telescope and Stand for ITER LFS Reflectometer Diagnostic

    Science.gov (United States)

    Broughton, Rachel; Gomez, Michael; Zolfaghari, Ali; Morris, Lewis

    2016-10-01

    A self-aligning Gaussian telescope has been designed to compensate for the effect of movement in the ITER vacuum vessel on the transmission line. The purpose of the setup is to couple microwaves into and out of the vessel across the vacuum windows while allowing for both slow movements of the vessel, due to thermal growth, and rapid movements, due to vibrations and disruptions. Additionally, a test stand has been designed specifically to hold this telescope in order to imitate these movements. Consequently, this will allow for the assessment of the efficacy in applying the self-aligning Gaussian telescope approach. The motions of the test stand, as well as the stress on the telescope mechanism, have been virtually simulated using ANSYS workbench. A prototype of this test stand and self-aligning telescope will be built using a combination of custom machined parts and ordered parts. The completed mechanism will be tested at the lab in four different ways: slow single- and multi-direction movements, rapid multi-direction movement, functional laser alignment and self-aligning tests, and natural frequency tests. Once the prototype successfully passes all requirements, it will be tested with microwaves in the LFSR transmission line test stand at General Atomics. This work is supported by US DOE Contract No. DE-AC02-09CH11466.

  10. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  11. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  12. 4H-SiC JFET Multilayer Integrated Circuit Technologies Tested Up to 1000 K

    Science.gov (United States)

    Spry, D. J.; Neudeck, P. G.; Chen, L.; Chang, C. W.; Lukco, D.; Beheim, G. M.

    2015-01-01

    Testing of semiconductor electronics at temperatures above their designed operating envelope is recognized as vital to qualification and lifetime prediction of circuits. This work describes the high temperature electrical testing of prototype 4H silicon carbide (SiC) junction field effect transistor (JFET) integrated circuits (ICs) technology implemented with multilayer interconnects; these ICs are intended for prolonged operation at temperatures up to 773K (500 C). A 50 mm diameter sapphire wafer was used in place of the standard NASA packaging for this experiment. Testing was carried out between 300K (27 C) and 1150K (877 C) with successful electrical operation of all devices observed up to 1000K (727 C).

  13. Self-aligned periodic Ni nano dots embedded in nano-oxide layer

    International Nuclear Information System (INIS)

    Doi, M.; Izumi, M.; Kawasaki, S.; Miyake, K.; Sahashi, M.

    2007-01-01

    The Ni nano constriction dots embedded in the Ta-nano-oxide layer (NOL) was prepared by the ion beam sputtering (IBS) method. After the various conditions of the oxidations, the structural analyses of the NOL were performed by RHEED, AES and in situ STM/AFM observations. From the current image of the conductive AFM for NOL, the periodically aligned metallic dots with the size around 5-10 nm were successfully observed. The mechanism of the formation of the self-organized aligned Ni nano constriction dots is discussed from the standpoint of the grain size, the crystal orientation, the preferred oxidation of Ta at the diffused interface

  14. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  15. Tunneling spectroscopy of a germanium quantum dot in single-hole transistors with self-aligned electrodes

    International Nuclear Information System (INIS)

    Chen, G-L; Kuo, David M T; Lai, W-T; Li, P-W

    2007-01-01

    We have fabricated a Ge quantum dot (QD) (∼10 nm) single-hole transistor with self-aligned electrodes using thermal oxidation of a SiGe-on-insulator nanowire based on FinFET technology. This fabricated device exhibits clear Coulomb blockade oscillations with large peak-to-valley ratio (PVCR) of 250-750 and negative differential conductance with PVCR of ∼12 at room temperature. This reveals that the gate-induced tunneling barrier lowering is effectively suppressed due to the self-aligned electrode structure. The magnitude of tunneling current spectra also reveals the coupling strengths between the energy levels of the Ge QD and electrodes

  16. Energy Band Structure Studies Of Zinc-Blende GaAs and InAs ...

    African Journals Online (AJOL)

    A self-consistent calculation of the structural and electronic properties of zinc blende GaAs and InAs has been carried out. The calculations were done using the full potential-linearized augmented plane wave (FPLAPW) method within the density functional theory (DFT). The exchange-correlation energy used is the ...

  17. Superstructure of self-aligned hexagonal GaN nanorods formed on nitrided Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Praveen; Tuteja, Mohit; Kesaria, Manoj; Waghmare, U. V.; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur, Bangalore 560 064 (India)

    2012-09-24

    We present here the spontaneous formation of catalyst-free, self-aligned crystalline (wurtzite) nanorods on Si(111) surfaces modified by surface nitridation. Nanorods grown by molecular beam epitaxy on bare Si(111) and non-stoichiometric silicon nitride interface are found to be single crystalline but disoriented. Those grown on single crystalline Si{sub 3}N{sub 4} intermediate layer are highly dense c-oriented hexagonal shaped nanorods. The morphology and the self-assembly of the nanorods shows an ordered epitaxial hexagonal superstructure, suggesting that they are nucleated at screw dislocations at the interface and grow spirally in the c-direction. The aligned nanorod assembly shows high-quality structural and optical emission properties.

  18. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  19. Thin concentrator photovoltaic module with micro-solar cells which are mounted by self-align method using surface tension of melted solder

    Science.gov (United States)

    Hayashi, Nobuhiko; Terauchi, Masaharu; Aya, Youichirou; Kanayama, Shutetsu; Nishitani, Hikaru; Nakagawa, Tohru; Takase, Michihiko

    2017-09-01

    We are developing a thin and lightweight CPV module using small size lens system made from poly methyl methacrylate (PMMA) with a short focal length and micro-solar cells to decrease the transporting and the installing costs of CPV systems. In order to achieve high conversion efficiency in CPV modules using micro-solar cells, the micro-solar cells need to be mounted accurately to the irradiated region of the concentrated sunlight. In this study, we have successfully developed self-align method thanks to the surface tension of the melted solder even utilizing commercially available surface-mounting technology (SMT). Solar cells were self-aligned to the specified positions of the circuit board by this self-align method with accuracy within ±10 µm. We actually fabricated CPV modules using this self-align method and demonstrated high conversion efficiency of our CPV module.

  20. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  1. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  2. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  3. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Science.gov (United States)

    Angly, Florent E; Willner, Dana; Prieto-Davó, Alejandra; Edwards, Robert A; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A; Barott, Katie; Cottrell, Matthew T; Desnues, Christelle; Dinsdale, Elizabeth A; Furlan, Mike; Haynes, Matthew; Henn, Matthew R; Hu, Yongfei; Kirchman, David L; McDole, Tracey; McPherson, John D; Meyer, Folker; Miller, R Michael; Mundt, Egbert; Naviaux, Robert K; Rodriguez-Mueller, Beltran; Stevens, Rick; Wegley, Linda; Zhang, Lixin; Zhu, Baoli; Rohwer, Forest

    2009-12-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites) suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and environmental conditions.

  4. High dose effect of gamma and neutrons on the N-JFET electronic components

    International Nuclear Information System (INIS)

    Assaf, Jamal-Eddin

    2006-11-01

    Two types of N-JFET components have been irradiated by high doses of thermal neutrons and gamma rays up to 2000x10 12 n/cm 2 and 1000 kGy, respectively. The static tests show a decrease of the g m and I d s parameters. The behaviour of electronic noise on the output was the principal dynamic test after irradiation. The result of this test gives an increase of the noise with radiation dose increasing. The noise was described as the Equivalent Noise of Charge (ENC) at the output of the measurements set-up. The quantities and the qualities of the noise depend on the N-JEET type and the type of radiation (neutrons or gamma). Other tests were carried out like the relaxation or recovery phenomena after radiation, and the superposed effects of gamma and neutrons.(author)

  5. Design and fabrication of a self-aligned parallel-plate-type silicon micromirror minimizing the effect of misalignment

    International Nuclear Information System (INIS)

    Yoo, Byung-Wook; Jin, Joo-Young; Jang, Yun-Ho; Kim, Yong-Kweon; Park, Jae-Hyoung

    2009-01-01

    This paper describes a self-alignment method whereby a mirror actuation voltage, corresponding to a specific tilting angle, is unvarying in terms of misalignment during fabrication. A deep silicon etching process is proposed to penetrate the top silicon layer (the micromirror layer) and an amorphous silicon layer (the addressing electrode layer) together, through an aluminum mask pattern, in order to minimize the misalignment effect on the micromirror actuation. The size of a fabricated mirror plate is 250 × 250 × 4 µm 3 . A pair of amorphous silicon electrodes under the mirror plate is about half the size of the mirror plate individually. Numerical analysis associated with calculating the pull-in voltage and the bonding misalignment is performed to verify the self-alignment concepts focused upon in this paper. Curves of the applied voltage versus the tilt angle of the self-aligned micromirror are observed using a position sensing detector in order to compare the measurement results with MATLAB analysis of the expected static deflections. Although a 3.7 µm misalignment is found between the mirror plate and the electrodes, in the direction perpendicular to the shallow trench of the electrodes, before the self-alignment process, the measured pull-in voltage has been found to be 103.4 V on average; this differs from the pull-in voltage of a perfectly aligned micromirror by only 0.67%. Regardless of the unpredictable misalignments in repetitive photolithography and bonding, the tilting angles corresponding to the driving voltages are proved to be uniform along the single axis as well as conform to the results of analytical analysis

  6. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  7. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  8. Flow-driven alignment of carbon nanotubes during floating evaporative self assembly

    Science.gov (United States)

    Berson, Arganthael; Jinkins, Katherine; Chan, Jason; Brady, Gerald; Gronski, Kjerstin; Gopalan, Padma; Evensen, Harold; Arnold, Michael

    2017-11-01

    Individual semi-conducting single-wall carbon nanotubes (s-SWCNTs) exhibit exceptional electronic properties, which makes them promising candidates for the next generation of semi-conductor electronics. In practice, field-effect transistors (FETs) are fabricated from arrays of s-SWCNTs deposited onto a substrate. In order to achieve high electronic performance, the s-SWCNTs in these arrays must be densely packed and well aligned. Floating Evaporative Self Assembly (FESA) is a new deposition technique developed at the UW-Madison that can achieve such high-quality s-SWCNT alignment. For example, it was used to fabricate the first s-SWCNT-based FETs to outperform gallium arsenide and silicon FETs. In FESA, a droplet of ink containing the s-SWCNTs is deposited onto a pool of water. The ink spreads on the water surface towards a substrate that is vertically pulled out of the water. A band of aligned s-SWCNTs is deposited with each drop of ink. High-speed imaging is combined with cross-polarized microscopy to elucidate the mechanisms behind the exceptional alignment of s-SWCNTs. Two key mechanisms are 1) the collection of s-SWCNTs at the ink-water interface and 2) the depinning of the air-ink-substrate contact line. Avenues for scaling up FESA will be presented.

  9. Fabrication and optical properties of multishell InAs quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Xin; Zhang, Xia, E-mail: xzhang@bupt.edu.cn; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-02-07

    Hybrid nanostructures combining nanowires with quantum dots promote the development of nanoelectronic and nanophotonic devices with integrated functionalities. In this work, we present a complex nanostructure with multishell quantum dots grown on nanowires. 1–4 shells of Stranski-Krastanov InAs quantum dots are grown on the sidewalls of GaAs nanowires by metal organic chemical vapor deposition. Different dot shells are separated by 8 nm GaAs spacer shells. With increasing the number of shells, the quantum dots become sparser and tend to align in one array, which is caused by the shrinkage of facets on which dots prefer to grow as well as the strain fields produced by the lower set of dots which influences the migration of In adatoms. The size of quantum dots increases with the increase of shell number due to enhanced strain fields coupling. The spectra of multishell dots exhibit multiwavelength emission, and each peak corresponds to a dot shell. This hybrid structure may serve as a promising element in nanowire intermediate band solar cells, infrared nanolasers, and photodetectors.

  10. A Framework for Evaluating and Enhancing Alignment in Self-Regulated Learning Research

    Science.gov (United States)

    Dent, Amy L.; Hoyle, Rick H.

    2015-01-01

    We discuss the articles of this special issue with reference to an important yet previously only implicit dimension of study quality: alignment across the theoretical and methodological decisions that collectively define an approach to self-regulated learning. Integrating and extending work by leaders in the field, we propose a framework for…

  11. Pattern optimizing verification of self-align quadruple patterning

    Science.gov (United States)

    Yamato, Masatoshi; Yamada, Kazuki; Oyama, Kenichi; Hara, Arisa; Natori, Sakurako; Yamauchi, Shouhei; Koike, Kyohei; Yaegashi, Hidetami

    2017-03-01

    Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.

  12. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  14. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  15. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  16. A graphene/single GaAs nanowire Schottky junction photovoltaic device.

    Science.gov (United States)

    Luo, Yanbin; Yan, Xin; Zhang, Jinnan; Li, Bang; Wu, Yao; Lu, Qichao; Jin, Chenxiaoshuai; Zhang, Xia; Ren, Xiaomin

    2018-05-04

    A graphene/nanowire Schottky junction is a promising structure for low-cost high-performance optoelectronic devices. Here we demonstrate a graphene/single GaAs nanowire Schottky junction photovoltaic device. The Schottky junction is fabricated by covering a single layer graphene onto an n-doped GaAs nanowire. Under 532 nm laser excitation, the device exhibits a high responsivity of 231 mA W-1 and a short response/recover time of 85/118 μs at zero bias. Under AM 1.5 G solar illumination, the device has an open-circuit voltage of 75.0 mV and a short-circuit current density of 425 mA cm-2, yielding a remarkable conversion efficiency of 8.8%. The excellent photovoltaic performance of the device is attributed to the strong built-in electric field in the Schottky junction as well as the transparent property of graphene. The device is promising for self-powered high-speed photodetectors and low-cost high-efficiency solar cells.

  17. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Directory of Open Access Journals (Sweden)

    Florent E Angly

    2009-12-01

    Full Text Available Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS, a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and

  18. Self-Aligned Metal Electrodes in Fully Roll-to-Roll Processed Organic Transistors

    Directory of Open Access Journals (Sweden)

    Marja Vilkman

    2016-01-01

    Full Text Available We demonstrate the production of organic bottom gate transistors with self-aligned electrodes, using only continuous roll-to-roll (R2R techniques. The self-alignment allows accurate <5 µm layer-to-layer registration, which is usually a challenge in high-speed R2R environments as the standard registration methods are limited to the millimeter range—or, at best, to tens of µm if online cameras and automatic web control are utilized. The improved registration enables minimizing the overlap between the source/drain electrodes and the gate electrode, which is essential for minimizing the parasitic capacitance. The complete process is a combination of several techniques, including evaporation, reverse gravure, flexography, lift-off, UV exposure and development methods—all transferred to a continuous R2R pilot line. Altogether, approximately 80 meters of devices consisting of thousands of transistors were manufactured in a roll-to-roll fashion. Finally, a cost analysis is presented in order to ascertain the main costs and to predict whether the process would be feasible for the industrial production of organic transistors.

  19. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  20. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  1. Uncertainty evaluation in the self-alignment test of the upper plate of a press

    International Nuclear Information System (INIS)

    Lourenço, Alexandre S; E Sousa, J Alves

    2015-01-01

    This paper describes a method to evaluate uncertainty of the self-alignment test of the upper plate of a press according to EN 12390-4:2000. The method, the algorithms and the sources of uncertainty are described

  2. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  3. First-principles electronic structure of Mn-doped GaAs, GaP, and GaN semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Schulthess, T C [Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6164 (United States); Temmerman, W M [Daresbury Laboratory, Daresbury, Warrington WA4 4AD (United Kingdom); Szotek, Z [Daresbury Laboratory, Daresbury, Warrington WA4 4AD (United Kingdom); Svane, A [Department of Physics and Astronomy, University of Aarhus, DK-8000 Aarhus C (Denmark); Petit, L [Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6164 (United States)

    2007-04-23

    We present first-principles electronic structure calculations of Mn-doped III-V semiconductors based on the local spin-density approximation (LSDA) as well as the self-interaction corrected local spin-density method (SIC-LSD). We find that it is crucial to use a self-interaction free approach to properly describe the electronic ground state. The SIC-LSD calculations predict the proper electronic ground state configuration for Mn in GaAs, GaP, and GaN. Excellent quantitative agreement with experiment is found for the magnetic moment and p-d exchange in (GaMn)As. These results allow us to validate commonly used models for magnetic semiconductors. Furthermore, we discuss the delicate problem of extracting binding energies of localized levels from density functional theory calculations. We propose three approaches to take into account final state effects to estimate the binding energies of the Mn d levels in GaAs. We find good agreement between computed values and estimates from photoemission experiments.

  4. First-principles electronic structure of Mn-doped GaAs, GaP, and GaN semiconductors

    International Nuclear Information System (INIS)

    Schulthess, T C; Temmerman, W M; Szotek, Z; Svane, A; Petit, L

    2007-01-01

    We present first-principles electronic structure calculations of Mn-doped III-V semiconductors based on the local spin-density approximation (LSDA) as well as the self-interaction corrected local spin-density method (SIC-LSD). We find that it is crucial to use a self-interaction free approach to properly describe the electronic ground state. The SIC-LSD calculations predict the proper electronic ground state configuration for Mn in GaAs, GaP, and GaN. Excellent quantitative agreement with experiment is found for the magnetic moment and p-d exchange in (GaMn)As. These results allow us to validate commonly used models for magnetic semiconductors. Furthermore, we discuss the delicate problem of extracting binding energies of localized levels from density functional theory calculations. We propose three approaches to take into account final state effects to estimate the binding energies of the Mn d levels in GaAs. We find good agreement between computed values and estimates from photoemission experiments

  5. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  6. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  7. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  8. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  9. Fitting in and feeling good: the relationships among peer alignment, instructor connectedness, and self-efficacy in undergraduate satisfaction with engineering

    Science.gov (United States)

    Micari, Marina; Pazos, Pilar

    2016-07-01

    This study examined the relationships among peer alignment (the feeling that one is similar in important ways to one's engineering peers), instructor connectedness (the sense that one knows and looks up to academic staff/faculty members in the department), self-efficacy for engineering class work (confidence in one's ability to successfully complete engineering class work), and engineering students' satisfaction with the major. A total of 135 sophomore (second-year university students) and junior (third-year students) engineering students were surveyed to measure these three variables. A multiple regression analysis showed that self-efficacy, peer alignment, and instructor connectedness predicted student satisfaction with the major, and that self-efficacy acted as a mediator between both peer alignment and instructor connectedness on the one hand, and satisfaction on the other. The authors offer suggestions for practice based on the results.

  10. Processing and Characterization of Thousand-Hour 500 C Durable 4H-SiC JFET Integrated Circuits

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.; Krasowski, Michael J.; Prokop, Norman F.

    2016-01-01

    This work reports fabrication and testing of integrated circuits (ICs) with two levels of interconnect that consistently achieve greater than 1000 hours of stable electrical operation at 500 C in air ambient. These ICs are based on 4H-SiC junction field effect transistor (JFET) technology that integrates hafnium ohmic contacts with TaSi2 interconnects and SiO2 and Si3N4 dielectric layers over 1-m scale vertical topology. Following initial burn-in, important circuit parameters remain stable for more than 1000 hours of 500 C operational testing. These results advance the technology foundation for realizing long-term durable 500 C ICs with increased functional capability for sensing and control combustion engine, planetary, deep-well drilling, and other harsh-environment applications.

  11. Anion-antisite defects in GaAs: As and Sb

    International Nuclear Information System (INIS)

    Caldas, M.J.; Fazzio, A.; Dabrowski, J.; Scheffler, M.

    1990-01-01

    We present results of self-consistent, first-principles calculations of total energies for As Ga and Sb Ga in GaAs. We confirm that both impurities in the substitutional T d site behave as double donors, and the first internal excitation appears at around 1 eV. For the neutral systems we obtain a metastable minimum in the total energy surface in a configuration with the impurity atom displaced toward the interstitial site; the transformation to this metastable configuration, however, is not expected to be operative for the Sb Ga defect

  12. Size quantization patterns in self-assembled InAs/GaAs quantum dots

    Science.gov (United States)

    Colocci, M.; Bogani, F.; Carraresi, L.; Mattolini, R.; Bosacchi, A.; Franchi, S.; Frigeri, P.; Taddei, S.; Rosa-Clot, M.

    1997-07-01

    Molecular beam epitaxy has been used for growing self-assembled InAs quantum dots. A continuous variation of the InAs average coverage across the sample has been obtained by properly aligning the (001) GaAs substrate with respect to the molecular beam. Excitation of a large number of dots (laser spot diameter ≈ 100 μm) results in structured photoluminescence spectra; a clear quantization of the dot sizes is deduced from the distinct luminescence bands separated in energy by an average spacing of 20-30 meV. We ascribe the individual bands of the photoluminescence spectrum after low excitation to families of dots with roughly the same diameter and heights differing by one monolayer.

  13. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  14. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  15. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  16. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  17. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  18. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  19. Method of making a self-aligned schottky metal semi-conductor field effect transistor with buried source and drain

    International Nuclear Information System (INIS)

    Bol, I.

    1984-01-01

    A semi-conductor structure and particularly a high speed VLSI Self-Aligned Schottky Metal Semi-Conductor Field Effect Transistor with buried source and drain, fabricated by the ion implantation of source and drain areas at a predetermined range of depths followed by very localized laser annealing to electrically reactivate the amorphous buried source and drain areas thereby providing effective vertical separation of the channel from the buried source and drain respectively. Accordingly, spatial separations between the self-aligned gate-to-drain, and gate-to-source can be relatively very closely controlled by varying the doping intensity and duration of the implantation thereby reducing the series resistance and increasing the operating speed

  20. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  1. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  2. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  3. Self-learning computers for surgical planning and prediction of postoperative alignment.

    Science.gov (United States)

    Lafage, Renaud; Pesenti, Sébastien; Lafage, Virginie; Schwab, Frank J

    2018-02-01

    In past decades, the role of sagittal alignment has been widely demonstrated in the setting of spinal conditions. As several parameters can be affected, identifying the driver of the deformity is the cornerstone of a successful treatment approach. Despite the importance of restoring sagittal alignment for optimizing outcome, this task remains challenging. Self-learning computers and optimized algorithms are of great interest in spine surgery as in that they facilitate better planning and prediction of postoperative alignment. Nowadays, computer-assisted tools are part of surgeons' daily practice; however, the use of such tools remains to be time-consuming. NARRATIVE REVIEW AND RESULTS: Computer-assisted methods for the prediction of postoperative alignment consist of a three step analysis: identification of anatomical landmark, definition of alignment objectives, and simulation of surgery. Recently, complex rules for the prediction of alignment have been proposed. Even though this kind of work leads to more personalized objectives, the number of parameters involved renders it difficult for clinical use, stressing the importance of developing computer-assisted tools. The evolution of our current technology, including machine learning and other types of advanced algorithms, will provide powerful tools that could be useful in improving surgical outcomes and alignment prediction. These tools can combine different types of advanced technologies, such as image recognition and shape modeling, and using this technique, computer-assisted methods are able to predict spinal shape. The development of powerful computer-assisted methods involves the integration of several sources of information such as radiographic parameters (X-rays, MRI, CT scan, etc.), demographic information, and unusual non-osseous parameters (muscle quality, proprioception, gait analysis data). In using a larger set of data, these methods will aim to mimic what is actually done by spine surgeons, leading

  4. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  5. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  6. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  7. EPE analysis of sub-N10 BEoL flow with and without fully self-aligned via using Coventor SEMulator3D

    Science.gov (United States)

    Franke, Joern-Holger; Gallagher, Matt; Murdoch, Gayle; Halder, Sandip; Juncker, Aurelie; Clark, William

    2017-03-01

    During the last few decades, the semiconductor industry has been able to scale device performance up while driving costs down. What started off as simple geometrical scaling, driven mostly by advances in lithography, has recently been accompanied by advances in processing techniques and in device architectures. The trend to combine efforts using process technology and lithography is expected to intensify, as further scaling becomes ever more difficult. One promising component of future nodes are "scaling boosters", i.e. processing techniques that enable further scaling. An indispensable component in developing these ever more complex processing techniques is semiconductor process modeling software. Visualization of complex 3D structures in SEMulator3D, along with budget analysis on film thicknesses, CD and etch budgets, allow process integrators to compare flows before any physical wafers are run. Hundreds of "virtual" wafers allow comparison of different processing approaches, along with EUV or DUV patterning options for defined layers and different overlay schemes. This "virtual fabrication" technology produces massively parallel process variation studies that would be highly time-consuming or expensive in experiment. Here, we focus on one particular scaling booster, the fully self-aligned via (FSAV). We compare metal-via-metal (mevia-me) chains with self-aligned and fully-self-aligned via's using a calibrated model for imec's N7 BEoL flow. To model overall variability, 3D Monte Carlo modeling of as many variability sources as possible is critical. We use Coventor SEMulator3D to extract minimum me-me distances and contact areas and show how fully self-aligned vias allow a better me-via distance control and tighter via-me contact area variability compared with the standard self-aligned via (SAV) approach.

  8. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  9. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  10. (C-V) and y-parameters determination of JFETs under different environmental conditions

    Energy Technology Data Exchange (ETDEWEB)

    El-Ghanam, S.M., E-mail: safaaghanam@yahoo.co [Women' s College for Art, Science and Education, Ain-Shams University, Heliopolis, Cairo (Egypt)

    2010-10-01

    The C-V characteristics of n-channel JFET have been measured under different environmental conditions of temperature up to 140 deg. C and {gamma}-rays up to 100 kGy. For low bias voltage and frequency, the input capacitance, C{sub iss}, is shown to be a direct function of temperature. On the other hand, its value was shown to decrease from 11.68 down to 8.17 nF due to {gamma}-exposure up to 100 kGy. The y-parameters of common source amplifier were calculated under the influence of temperature and {gamma}-rays. The results show that the susceptance component of the admittance increases due to increase in temperature, while decreasing after {gamma}-exposure. Considering the cutoff frequency f{sub T0}, it is clear that as the temperature increases from 30 up to 140 deg. C, f{sub T0} dropped from 47 MHz down to 5 MHz, measured at 0.8 V. On the other hand its value was shown to increase from 43 MHz up to 102 MHz, measured at the same bias voltage, due to {gamma}-exposure up to 100 kGy.

  11. Polymeric and Molecular Materials for Advanced Organic Electronics

    Science.gov (United States)

    2011-07-25

    C8H17) films on SiO2 exhibit electron mobilities in air > 0.2 cm 2 /Vs. Electrochemistry reveals reduction potentials ~ 0.0 V vs. S.C.E.; hence...enable low-voltage single-walled carbon nanotube and ZnO nanowire transistors, and can be integrated with GaAs JFETs (Fig. 9). Because of the very...large mobilities achieved in such devices (e ~ 3000 cm 2 V -1 s -1 for ZnO Figure 9. Top. Schematic representation of the TFT components of an

  12. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  13. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  14. Transport tensors in perfectly aligned low-density fluids: Self-diffusion and thermal conductivity

    International Nuclear Information System (INIS)

    Singh, G. S.; Kumar, B.

    2001-01-01

    The modified Taxman equation for the kinetic theory of low-density fluids composed of rigid aspherical molecules possessing internal degrees of freedom is generalized to obtain the transport tensors in a fluid of aligned molecules. The theory takes care of the shape of the particles exactly but the solution has been obtained only for the case of perfectly aligned hard spheroids within the framework of the first Sonine polynomial approximation. The expressions for the thermal-conductivity components have been obtained for the first time whereas the self-diffusion components obtained here turn out to be exactly the same as those derived by Kumar and Masters [Mol. Phys. >81, 491 (1994)] through the solution of the Lorentz-Boltzmann equation. All our expressions yield correct results in the hard-sphere limit

  15. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  16. Self-centering fiber alignment structures for high-precision field installable single-mode fiber connectors

    Science.gov (United States)

    Van Erps, Jürgen; Ebraert, Evert; Gao, Fei; Vervaeke, Michael; Berghmans, Francis; Beri, Stefano; Watté, Jan; Thienpont, Hugo

    2014-05-01

    There is a steady increase in the demand for internet bandwidth, primarily driven by cloud services and high-definition video streaming. Europe's Digital Agenda states the ambitious objective that by 2020 all Europeans should have access to internet at speeds of 30Mb/s or above, with 50% or more of households subscribing to connections of 100Mb/s. Today however, internet access in Europe is mainly based on the first generation of broadband, meaning internet accessed over legacy telephone copper and TV cable networks. In recent years, Fiber-To-The-Home (FTTH) networks have been adopted as a replacement of traditional electrical connections for the `last mile' transmission of information at bandwidths over 1Gb/s. However, FTTH penetration is still very low (economies. The main reason for this is the high deployment cost of FTTH networks. Indeed, the success and adoption of optical access networks critically depend on the quality and reliability of connections between optical fibers. In particular a further reduction of insertion loss of field- installable connectors must be achieved without a significant increase in component cost. This requires precise alignment of fibers that can differ in terms of ellipticity, eccentricity or diameter and seems hardly achievable using today's widespread ferrule-based alignment systems. In this paper, we present a field-installable connector based on deflectable/compressible spring structures, providing a self-centering functionality for the fiber. This way, it can accommodate for possible fiber cladding diameter variations (the tolerance on the cladding diameter of G.652 fiber is typically +/-0.7μm). The mechanical properties of the cantilever are derived through an analytical approximation and a mathematical model of the spring constant, and finite element-based simulations are carried out to find the maximum first principal stress as well as the stress distribution distribution in the fiber alignment structure. Elastic

  17. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  19. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  20. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  1. Processing and Prolonged 500 C Testing of 4H-SiC JFET Integrated Circuits with Two Levels of Metal Interconnect

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.; Krasowski, Michael J.; Prokop, Norman F.

    2015-01-01

    Complex integrated circuit (IC) chips rely on more than one level of interconnect metallization for routing of electrical power and signals. This work reports the processing and testing of 4H-SiC junction field effect transistor (JFET) prototype IC's with two levels of metal interconnect capable of prolonged operation at 500 C. Packaged functional circuits including 3- and 11-stage ring oscillators, a 4-bit digital to analog converter, and a 4-bit address decoder and random access memory cell have been demonstrated at 500 C. A 3-stage oscillator functioned for over 3000 hours at 500 C in air ambient. Improved reproducibility remains to be accomplished.

  2. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  3. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  4. Belt Aligning Revisited

    Directory of Open Access Journals (Sweden)

    Yurchenko Vadim

    2017-01-01

    parts of the conveyor, the sides of the belt wear intensively. This results in reducing the life of the belt. The reasons for this phenomenon are well investigated, but the difficulty lies in the fact that they all act simultaneously. The belt misalignment prevention can be carried out in two ways: by minimizing the effect of causes and by aligning the belt. The construction of aligning devices and errors encountered in practice are considered in this paper. Self-aligning roller supports rotational in plan view are recommended as a means of combating the belt misalignment.

  5. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  6. Low-temperature formation of source–drain contacts in self-aligned amorphous oxide thin-film transistors

    NARCIS (Netherlands)

    Nag, M.; Muller, R.N.; Steudel, S.; Smout, S.; Bhoolokam, A.; Myny, K.; Schols, S.; Genoe, J.; Cobb, B.; Kumar, Abhishek; Gelinck, G.H.; Fukui, Y.; Groeseneken, G.; Heremans, P.

    2015-01-01

    We demonstrated self-aligned amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistors (TFTs) where the source–drain (S/D) regions were made conductive via chemical reduction of the a-IGZO via metallic calcium (Ca). Due to the higher chemical reactivity of Ca, the process can be operated at

  7. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  8. Self-organized strain engineering on GaAs (311)B : template formation for quantum dot nucleation control

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2002-01-01

    A matrix of closely packed cells develops during molecular-beam epitaxy of In/sub 0.35/Ga/sub 0.65/As on GaAs (311)B, due to strain-driven growth instability. The established lateral strain distribution generates a unique template that controls the nucleation and growth of InAs quantum dots (QDs).

  9. Self-synchronization of the modulation of energy-levels population with electrons in GaAs induced by picosecond pulses of probe radiation and intrinsic stimulated emission

    Energy Technology Data Exchange (ETDEWEB)

    Ageeva, N. N.; Bronevoi, I. L., E-mail: bil@cplire.ru; Zabegaev, D. N.; Krivonosov, A. N. [Russian Academy of Sciences, Kotel’nikov Institute of Radioengineering and Electronics (Russian Federation)

    2016-10-15

    Picosecond optical pumping leads to the initiation of intrinsic picosecond stimulated emission in GaAs. As was established previously, due to the interaction of pulses of probe radiation with those of intrinsic emission, the dependence of the absorption α of the probe pulse on its delay τ with respect to the pump pulse is modulated with oscillations. It is found that the oscillatory dependences α(τ) have a similar shape only in the case of certain combinations of energies of the interacting pulses. As a result, it is assumed that the above interaction is, in fact, a synchronization of modulations (formed by pulses) of charge-carrier populations at energy levels; this synchronization occurs in the direction of the reconstruction of detailed equilibrium. The real-time picosecond self-modulation of the absorption α is measured for the first time. The characteristics of this self-modulation as well as absorption α and intrinsic emission self-modulation characteristics measured previously by correlation methods are now accounted for by the concept of synchronization.

  10. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  11. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  12. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  13. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  14. Aligned Layers of Silver Nano-Fibers

    Directory of Open Access Journals (Sweden)

    Andrii B. Golovin

    2012-02-01

    Full Text Available We describe a new dichroic polarizers made by ordering silver nano-fibers to aligned layers. The aligned layers consist of nano-fibers and self-assembled molecular aggregates of lyotropic liquid crystals. Unidirectional alignment of the layers is achieved by means of mechanical shearing. Aligned layers of silver nano-fibers are partially transparent to a linearly polarized electromagnetic radiation. The unidirectional alignment and density of the silver nano-fibers determine degree of polarization of transmitted light. The aligned layers of silver nano-fibers might be used in optics, microwave applications, and organic electronics.

  15. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  16. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  17. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  18. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  19. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  20. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  1. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  2. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  3. Self-aligned metallization on organic semiconductor through 3D dual-layer thermal nanoimprint

    International Nuclear Information System (INIS)

    Jung, Y; Cheng, X

    2014-01-01

    High-resolution patterning of metal structures on organic semiconductors is important to the realization of high-performance organic transistors for organic integrated circuit applications. The traditional shadow mask technique has a limited resolution, precluding sub-micron metal structures on organic semiconductors. Thus organic transistors cannot benefit from scaling into the deep sub-micron region to improve their dc and ac performances. In this work, we report an efficient multiple-level metallization on poly (3-hexylthiophene) (P3HT) with a deep sub-micron lateral gap. By using a 3D nanoimprint mold in a dual-layer thermal nanoimprint process, we achieved self-aligned two-level metallization on P3HT. The 3D dual-layer thermal nanoimprint enables the first metal patterns to have suspending side-wings that can clearly define a distance from the second metal patterns. Isotropic and anisotropic side-wing structures can be fabricated through two different schemes. The process based on isotropic side-wings achieves a lateral-gap in the order of 100 nm (scheme 1). A gap of 60 nm can be achieved from the process with anisotropic side-wings (scheme 2). Because of the capability of nanoscale metal patterning on organic semiconductors with high overlay accuracy, this self-aligned metallization technique can be utilized to fabricate high-performance organic metal semiconductor field-effect transistor. (paper)

  4. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  5. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Detection and Control of Spin-Orbit Interactions in a GaAs Hole Quantum Point Contact

    Science.gov (United States)

    Srinivasan, A.; Miserev, D. S.; Hudson, K. L.; Klochan, O.; Muraki, K.; Hirayama, Y.; Reuter, D.; Wieck, A. D.; Sushkov, O. P.; Hamilton, A. R.

    2017-04-01

    We investigate the relationship between the Zeeman interaction and the inversion-asymmetry-induced spin-orbit interactions (Rashba and Dresselhaus SOIs) in GaAs hole quantum point contacts. The presence of a strong SOI results in the crossing and anticrossing of adjacent spin-split hole subbands in a magnetic field. We demonstrate theoretically and experimentally that the anticrossing energy gap depends on the interplay between the SOI terms and the highly anisotropic hole g tensor and that this interplay can be tuned by selecting the crystal axis along which the current and magnetic field are aligned. Our results constitute the independent detection and control of the Dresselhaus and Rashba SOIs in hole systems, which could be of importance for spintronics and quantum information applications.

  7. Structural and electronic properties of GaAs and GaP semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Rani, Anita [Guru Nanak College for girls, Sri Muktsar Sahib, Punjab (India); Kumar, Ranjan [Department of Physics, Panjab University, Chandigarh-160014 (India)

    2015-05-15

    The Structural and Electronic properties of Zinc Blende phase of GaAs and GaP compounds are studied using self consistent SIESTA-code, pseudopotentials and Density Functional Theory (DFT) in Local Density Approximation (LDA). The Lattice Constant, Equillibrium Volume, Cohesive Energy per pair, Compressibility and Band Gap are calculated. The band gaps calcultated with DFT using LDA is smaller than the experimental values. The P-V data fitted to third order Birch Murnaghan equation of state provide the Bulk Modulus and its pressure derivatives. Our Structural and Electronic properties estimations are in agreement with available experimental and theoretical data.

  8. Noise characterization of silicon strip detectors-comparison of sensors with and without integrated jfet source-follower.

    CERN Document Server

    Giacomini, Gabriele

    Noise is often the main factor limiting the performance of detector systems. In this work a detailed study of the noise contributions in different types of silicon microstrip sensors is carried on. We investigate three sensors with double-sided readout fabricated by different suppliers for the ALICE experiment at the CERN LHC, in addition to detectors including an integrated JFET Source-Follower as a first signal conditioning stage. The latter have been designed as an attempt at improving the performance when very long strips, obtained by gangling together several sensors, are required. After a description of the strip sensors and of their operation, the “static” characterization measurements performed on them (current and capacitance versus voltage and/or frequency) are illustrated and interpreted. Numerical device simulation has been employed as an aid in interpreting some of the measurement results. The commonly used models for expressing the noise of the detector-amplifier system in terms of its relev...

  9. Functional alignments and self-tests for tilted and decentered optics

    International Nuclear Information System (INIS)

    Bauke, W.; Cross, E.W.

    1983-01-01

    The alignment of tilted and decentered optics is ordinarily difficult, because such optics have neither simple alignment points amenable to ordinary boresight methods, nor a simple alignment theory. Several different alignment examples which provide insight into a practical universal approach to all such systems are explored. The examples detailed are segments of the Antares Laser Fusion Project's optical train

  10. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  11. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  12. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  13. A comprehensive study of cryogenic cooled millimeter-wave frequency multipliers based on GaAs Schottky-barrier varactors

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Rybalko, Oleksandr; Zhurbenko, Vitaliy

    2018-01-01

    The benefit of cryogenic cooling on the performance of millimeter-wave GaAs Schottky-barrier varactor-based frequency multipliers has been studied. For this purpose, a dedicated compact model of a GaAs Schottky-barrier varactor using a triple-anode diode stack has been developed for use...... with a commercial RF and microwave CAD tool. The model implements critical physical phenomena such as thermionic-field emission current transport at cryogenic temperatures, temperature dependent mobility, reverse breakdown, self-heating, and high-field velocity saturation effects. A parallel conduction model...... is employed in order to include the effect of barrier inhomogeneities which is known to cause deviation from the expected I--V characteristics at cryogenic temperatures. The developed model is shown to accurately fit the I--V --T dataset from 25 to 295 K measured on the varactor diode stack. Harmonic balance...

  14. Understanding the critical challenges of self-aligned octuple patterning

    Science.gov (United States)

    Yu, Ji; Xiao, Wei; Kang, Weiling; Chen, Yijian

    2014-03-01

    In this paper, we present a thorough investigation of self-aligned octuple patterning (SAOP) process characteristics, cost structure, integration challenges, and layout decomposition. The statistical characteristics of SAOP CD variations such as multi-modality are analyzed and contributions from various features to CDU and MTT (mean-to-target) budgets are estimated. The gap space is found to have the worst CDU+MTT performance and is used to determine the required overlay accuracy to ensure a satisfactory edge-placement yield of a cut process. Moreover, we propose a 5-mask positive-tone SAOP (pSAOP) process for memory FEOL patterning and a 3-mask negative-tone SAOP (nSAOP) process for logic BEOL patterning. The potential challenges of 2-D SAOP layout decomposition for BEOL applications are identified. Possible decomposition approaches are explored and the functionality of several developed algorithm is verified using 2-D layout examples from Open Cell Library.

  15. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  16. Surface barrier analysis of semi-insulating and n{sup +}-type GaAs(0 0 1) following passivation with n-alkanethiol SAMs

    Energy Technology Data Exchange (ETDEWEB)

    Marshall, Gregory M. [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada); Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Bensebaa, Farid [Institute for Chemical Process and Environmental Technology, National Research Council of Canada, Ottawa, Ontario, K1A 0R6 (Canada); Dubowski, Jan J., E-mail: jan.j.dubowski@usherbrooke.ca [Laboratory for Quantum Semiconductors and Photon-Based BioNanotechnology, Department of Electrical and Computer Engineering, Universite de Sherbrooke, Sherbrooke, Quebec, J1K 2R1 (Canada)

    2011-02-15

    The surface Fermi level of semi-insulating and n{sup +}-type GaAs(0 0 1) was determined before and after passivation with n-alkanethiol self-assembled monolayers (SAMs) by X-ray photoelectron spectroscopy. Fermi level positioning was achieved using Au calibration pads integrated directly onto the GaAs surface, prior to SAM deposition, in order to provide a surface equipotential binding energy reference. Fermi level pinning within 50 meV and surface barrier characteristics according to the Advanced Unified Defect Model were observed. Our results demonstrate the effectiveness of the Au integration technique for the determination of band-edge referenced Fermi level positions and are relevant to an understanding of emerging technologies based on the molecular-semiconductor junction.

  17. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  18. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  19. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  20. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  1. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  2. Cationic Reduced Graphene Oxide as Self-Aligned Nanofiller in the Epoxy Nanocomposite Coating with Excellent Anticorrosive Performance and Its High Antibacterial Activity.

    Science.gov (United States)

    Luo, Xiaohu; Zhong, Jiawen; Zhou, Qiulan; Du, Shuo; Yuan, Song; Liu, Yali

    2018-05-17

    The design and preparation of an excellent corrosion protection coating is still a grand challenge and is essential for large-scale practical application. Herein, a novel cationic reduced graphene oxide (denoted as RGO-ID + )-based epoxy coating was fabricated for corrosion protection. RGO-ID + was synthesized by in situ synthesis and salification reaction, which is stable dispersion in water and epoxy latex, and the self-aligned RGO-ID + -reinforced cathodic electrophoretic epoxy nanocomposite coating (denoted as RGO-ID + coating) at the surface of metal was prepared by electrodeposition. The self-alignment of RGO-ID + in the coatings is mainly attributed to the electric field force. The significantly enhanced anticorrosion performance of RGO-ID + coating is proved by a series of electrochemical measurements in different concentrated NaCl solutions and salt spray tests. This superior anticorrosion property benefits from the self-aligned RGO-ID + nanosheets and the quaternary-N groups present in the RGO-ID + nanocomposite coating. Interestingly, the RGO-ID + also exhibits a high antibacterial activity toward Escherichia coli with 83.4 ± 1.3% antibacterial efficiency, which is attributed to the synergetic effects of RGO-ID + and the electrostatic attraction and hydrogen bonding between RGO-ID + and E. coli. This work offers new opportunities for the successful development of effective corrosion protection and self-antibacterial coatings.

  3. Self-assembled vertically aligned Au nanorod arrays for surface-enhanced Raman scattering (SERS) detection of Cannabinol

    Science.gov (United States)

    Milliken, Sarah; Fraser, Jeff; Poirier, Shawn; Hulse, John; Tay, Li-Lin

    2018-05-01

    Self-assembled multi-layered vertically aligned gold nanorod (AuNR) arrays have been fabricated by a simple preparation process that requires a balance between the particle concentration and the ionic strength of the solvent. An experimentally determined critical AuNR concentration of 2.0 nM and 50 mM NaCl produces well-ordered vertically aligned hexagonally close-packed AuNR arrays. We demonstrate surface treatment via UV Ozone cleaning of such samples to allow introduction of analyte molecules (benzenethiol and cannabinol) for effective surface enhanced Raman scattering detection. This is the first demonstration of the SERS analysis of cannabinol. This approach demonstrates a cost-effective, high-yield and simple fabrication route to SERS sensors with application in the screening for the cannabinoids.

  4. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  5. Bright single photon source based on self-aligned quantum dot–cavity systems

    DEFF Research Database (Denmark)

    Maier, Sebastian; Gold, Peter; Forchel, Alfred

    2014-01-01

    We report on a quasi-planar quantum-dot-based single-photon source that shows an unprecedented high extraction efficiency of 42% without complex photonic resonator geometries or post-growth nanofabrication. This very high efficiency originates from the coupling of the photons emitted by a quantum...... dot to a Gaussian shaped nanohill defect that naturally arises during epitaxial growth in a self-aligned manner. We investigate the morphology of these defects and characterize the photonic operation mechanism. Our results show that these naturally arising coupled quantum dot-defects provide a new...... avenue for efficient (up to 42% demonstrated) and pure (g2(0) value of 0.023) single-photon emission....

  6. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  7. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  8. Light controlled prebreakdown characteristics of a semi-insulating GaAs photoconductive switch

    International Nuclear Information System (INIS)

    Ma Xiangrong; Shi Wei; Ji Weili; Xue Hong

    2011-01-01

    A 4 mm gap semi-insulating (SI) GaAs photoconductive switch (PCSS) was triggered by a pulse laser with a wavelength of 1064 nm and a pulse energy of 0.5 mJ. In the experiment, when the bias field was 4 kV, the switch did not induce self-maintained discharge but worked in nonlinear (lock-on) mode. The phenomenon is analyzed as follows: an exciton effect contributes to photoconduction in the generation and dissociation of excitons. Collision ionization, avalanche multiplication and the exciton effect can supply carrier concentration and energy when an outside light source was removed. Under the combined influence of these factors, the SI-GaAs PCSS develops into self-maintained discharge rather than just in the light-controlled prebreakdown status. The characteristics of the filament affect the degree of damage to the switch. (semiconductor devices)

  9. Light controlled prebreakdown characteristics of a semi-insulating GaAs photoconductive switch

    Science.gov (United States)

    Xiangrong, Ma; Wei, Shi; Weili, Ji; Hong, Xue

    2011-12-01

    A 4 mm gap semi-insulating (SI) GaAs photoconductive switch (PCSS) was triggered by a pulse laser with a wavelength of 1064 nm and a pulse energy of 0.5 mJ. In the experiment, when the bias field was 4 kV, the switch did not induce self-maintained discharge but worked in nonlinear (lock-on) mode. The phenomenon is analyzed as follows: an exciton effect contributes to photoconduction in the generation and dissociation of excitons. Collision ionization, avalanche multiplication and the exciton effect can supply carrier concentration and energy when an outside light source was removed. Under the combined influence of these factors, the SI-GaAs PCSS develops into self-maintained discharge rather than just in the light-controlled prebreakdown status. The characteristics of the filament affect the degree of damage to the switch.

  10. Self-organized lattice of ordered quantum dot molecules

    International Nuclear Information System (INIS)

    Lippen, T. von; Noetzel, R.; Hamhuis, G.J.; Wolter, J.H.

    2004-01-01

    Ordered groups of InAs quantum dots (QDs), lateral QD molecules, are created by self-organized anisotropic strain engineering of a (In,Ga)As/GaAs superlattice (SL) template on GaAs (311)B in molecular-beam epitaxy. During stacking, the SL template self-organizes into a two-dimensionally ordered strain modulated network on a mesoscopic length scale. InAs QDs preferentially grow on top of the nodes of the network due to local strain recognition. The QDs form a lattice of separated groups of closely spaced ordered QDs whose number can be controlled by the GaAs separation layer thickness on top of the SL template. The QD groups exhibit excellent optical properties up to room temperature

  11. Assessment of Durable SiC JFET Technology for +600 C to -125 C Integrated Circuit Operation

    Science.gov (United States)

    Neudeck, P. G.; Krasowski, M. J.; Prokop, N. F.

    2011-01-01

    Electrical characteristics and circuit design considerations for prototype 6H-SiC JFET integrated circuits (ICs) operating over the broad temperature range of -125 C to +600 C are described. Strategic implementation of circuits with transistors and resistors in the same 6H-SiC n-channel layer enabled ICs with nearly temperature-independent functionality to be achieved. The frequency performance of the circuits declined at temperatures increasingly below or above room temperature, roughly corresponding to the change in 6H-SiC n-channel resistance arising from incomplete carrier ionization at low temperature and decreased electron mobility at high temperature. In addition to very broad temperature functionality, these simple digital and analog demonstration integrated circuits successfully operated with little change in functional characteristics over the course of thousands of hours at 500 C before experiencing interconnect-related failures. With appropriate further development, these initial results establish a new technology foundation for realizing durable 500 C ICs for combustion engine sensing and control, deep-well drilling, and other harsh-environment applications.

  12. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  15. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  16. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  17. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  18. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  19. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  20. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  2. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  3. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  4. Ultrasonic welding for fast bonding of self-aligned structures in lab-on-a-chip systems

    DEFF Research Database (Denmark)

    Kistrup, Kasper; Poulsen, Carl Esben; Hansen, Mikkel Fougt

    2015-01-01

    Ultrasonic welding is a rapid, promising bonding method for the bonding of polymer chips; yet its use is still limited. We present two lab-on-a-chip applications where ultrasonic welding can be preferably applied: (1) Self-aligned gapless bonding of a two-part chip with a tolerance of 50 um; (2...... solutions offered here can significantly help bridge the gap between academia and industry, where the differences in production methods and materials pose a challenge when transferring technology....

  5. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  6. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  7. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  8. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  9. Alignment efficiency of standard versus tandem wire mechanics using conventional and self-ligating brackets: A pilot study

    Directory of Open Access Journals (Sweden)

    Prarthana Bhardwaj

    2017-01-01

    Full Text Available Objective: The objective of this study is to evaluate the clinical efficiency of 0.018″/0.022″ slot self-ligating (SL bracket system (standard and tandem mechanics in terms of rate of alignment by comparing it with a 0.022″ slot conventional ligating appliance system (MBT. Settings and Sample Population: The Department of Orthodontics. Materials and Methods: The pilot study was carried out using randomized controlled trial design. Forty patients having Little's irregularity index (II of 6–15 mm, treated by all first premolars extractions, were randomly allocated to 0.022″ slot conventional ligating bracket system, 0.018″ slot SL bracket system, 0.018″ slot SL bracket system (tandem archwires, 0.022″ slot SL bracket system, and 0.022″ slot SL bracket system (tandem archwires. The rate of alignment for each bracket system was measured from the difference in the II of serial casts taken at pretreatment and at the end of alignment, divided by the number of days between the two measurements. A one-way ANOVA model with post hoc Bonferroni multiple comparison procedures was used to identify intergroup differences. Results: The mean value of alignment efficiency was not found to be statistically significant in any of the five groups using digital models (P = 0.104. Conclusions: Alignment efficiency was not different between SL versus conventional ligating group, the 0.018″ slot versus 0.022″ slot and tandem versus standard mechanics.

  10. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  11. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  12. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    Science.gov (United States)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  13. Directional and dynamic modulation of the optical emission of an individual GaAs nanowire using surface acoustic waves.

    Science.gov (United States)

    Kinzel, Jörg B; Rudolph, Daniel; Bichler, Max; Abstreiter, Gerhard; Finley, Jonathan J; Koblmüller, Gregor; Wixforth, Achim; Krenner, Hubert J

    2011-04-13

    We report on optical experiments performed on individual GaAs nanowires and the manipulation of their temporal emission characteristics using a surface acoustic wave. We find a pronounced, characteristic suppression of the emission intensity for the surface acoustic wave propagation aligned with the axis of the nanowire. Furthermore, we demonstrate that this quenching is dynamical as it shows a pronounced modulation as the local phase of the surface acoustic wave is tuned. These effects are strongly reduced for a surface acoustic wave applied in the direction perpendicular to the axis of the nanowire due to their inherent one-dimensional geometry. We resolve a fully dynamic modulation of the nanowire emission up to 678 MHz not limited by the physical properties of the nanowires.

  14. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  15. Wafer Scale Integration of CMOS Chips for Biomedical Applications via Self-Aligned Masking.

    Science.gov (United States)

    Uddin, Ashfaque; Milaninia, Kaveh; Chen, Chin-Hsuan; Theogarajan, Luke

    2011-12-01

    This paper presents a novel technique for the integration of small CMOS chips into a large area substrate. A key component of the technique is the CMOS chip based self-aligned masking. This allows for the fabrication of sockets in wafers that are at most 5 µm larger than the chip on each side. The chip and the large area substrate are bonded onto a carrier such that the top surfaces of the two components are flush. The unique features of this technique enable the integration of macroscale components, such as leads and microfluidics. Furthermore, the integration process allows for MEMS micromachining after CMOS die-wafer integration. To demonstrate the capabilities of the proposed technology, a low-power integrated potentiostat chip for biosensing implemented in the AMI 0.5 µm CMOS technology is integrated in a silicon substrate. The horizontal gap and the vertical displacement between the chip and the large area substrate measured after the integration were 4 µm and 0.5 µm, respectively. A number of 104 interconnects are patterned with high-precision alignment. Electrical measurements have shown that the functionality of the chip is not affected by the integration process.

  16. Impact of source/drain contacts formation of self-aligned amorphous-IGZO TFTs on their negative-bias-illumination-stress stabilities

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Smout, S.; Bhoolokam, A.; Genoe, J.; Cobb, B.; Kumar, A.; Groeseneken, G.; Heremans, P.

    2015-01-01

    In this study, we have compared the performance of self-aligned a-IGZO thin-film transistors (TFTs) whereby the source/drain (S/D) region's conductivity enhanced in three different ways, that is, using SiNx interlayer plasma (hydrogen diffusion), using calcium (Ca as reducing metal) and using argon

  17. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  18. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  19. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  20. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  1. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  2. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  3. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  4. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  5. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  6. Spin transport anisotropy in (110)GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Odilon, D.D.C. Jr.; Rudolph, Joerg; Hey, Rudolf; Santos, Paulo V. [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany); Iikawa, Fernando [Universidade Estadual de Campinas, IFGW, Campinas SP (Brazil)

    2007-07-01

    Mobile piezoelectric potentials are used to coherently transport electron spins in GaAs(110) quantum wells (QW) over distances exceeding 60{mu}m. We demonstrate that the dynamics of mobile spins under external magnetic fields depends on the direction of motion in the QW plane. The weak piezoelectric fields impart a non-vanishing average velocity to the carriers, allowing for the direct observation of the carrier momentum dependence of the spin polarization dynamics. While transport along [001] direction presents high in-plane spin relaxation rates, transport along [ anti 110] shows a much weaker external field dependence due to the non-vanishing internal magnetic field. We show that the anisotropy is an intrinsic property of the underling GaAs matrix, associated with the bulk inversion asymmetry contribution to the LS-coupling.

  7. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  8. A Wire Position Monitor System for the ISAC-II Cryomodule Components Alignment

    CERN Document Server

    Rawnsley, B; Dutto, G; Fong, K; Laxdal, R E; Ries, T

    2004-01-01

    TRIUMF is developing ISAC-II, a superconducting (SC) linac. It will comprise 9 cryomodules with a total of 48 niobium cavities and 12 SC solenoids. They must remain aligned at liquid He temperatures: cavities to ±400 μm and solenoids to ±200 μm after a vertical contraction of ~4 mm. A wire position monitor (WPM) system based on a TESLA design has been developed, built, and tested with a prototype cryomodule. The system is based on the measurement of signals induced in pickups by a 215 MHz signal carried by a wire through the WPMs. The wire is stretched between the warm tank walls parallel to the beam axis providing a position reference. The sensors, one per cavity and two per solenoid, are attached to the cold elements to monitor their motion during pre-alignment, pumping and cool down. A WPM consists of four 50 Ω striplines spaced 90° apart. A GaAs multiplexer scans the WPMs and a Bergoz card converts the RF signals to DC X and Y voltages. National Ins...

  9. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  10. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  11. Radiation damages and electro-conductive characteristics of Neutron-Transmutation-Doped GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Kazuo; Sato, Masataka; Sakai, Kiyohiro [Hosei Univ., Koganei, Tokyo (Japan). Coll. of Engineering; Okada, Moritami

    1996-04-01

    Neutron Transmutation Doping (NTD) method made it possible to do homogeneous doping of impurities and to easily control the doping level. Thus, the method has been put into practice for some materials such as silicon. Here, the annealing behavior of anti-site defects generated in neutron-irradiated GaAs was studied. Electric activations of NTD-impurities were started around 550degC in P1 and P2 radiation fields, which were coincident with the beginning of extinction of electron trapping which was caused by anti-site defects due to fast neutron radiation. The electric resistivities of GaAs in neutron radiation fields; P1, P2 and P3 changed depending with the annealing temperature. The electric resistivities of GaAs in P1 and P2 fields indicate the presence of hopping conduction through radiation damages. The resistance of GaAs irradiated in P1 was smaller by nearly 2 orders than that of the untreated control. Further, the electric activation process for NTD-impurities was investigated using ESR and Raman spectroscopy. (M.N.)

  12. Magnetic behaviour of arrays of Ni nanowires by electrodeposition into self-aligned titania nanotubes

    International Nuclear Information System (INIS)

    Prida, V.M.; Hernandez-Velez, M.; Cervera, M.; Pirota, K.; Sanz, R.; Navas, D.; Asenjo, A.; Aranda, P.; Ruiz-Hitzky, E.; Batallan, F.; Vazquez, M.; Hernando, B.; Menendez, A.; Bordel, N.; Pereiro, R.

    2005-01-01

    Arrays of Ni nanowires electrodeposited into self-aligned and randomly disordered titania nanotube arrays grown by anodization process are investigated by X-ray diffraction, SEM, rf-GDOES and VSM magnetometry. The titania nanotube outer diameter is about 160 nm, wall thickness ranging from 60 to 70 nm and 300 nm in depth. The so-obtained Ni nanowires reach above 100 nm diameter and 240 nm length, giving rise to coercive fields of 98 and 200 Oe in the perpendicular or parallel to the nanowires axis hysteresis loops, respectively. The formation of magnetic vortex domain states is also discussed

  13. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  14. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  15. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  16. Amateurism in an Age of Professionalism: An Empirical Examination of an Irish Sporting Culture: The GAA

    Directory of Open Access Journals (Sweden)

    Ian Keeler

    2013-07-01

    This research study recommends that the GAA adopt an innovative approach, through strategic decision-making, to allow the GAA to maintain its amateur ethos, and, yet, successfully compete in the professional sporting market. The strong links with the community must be both nurtured and enhanced. The GAA and Gaelic games must embrace the challenges that the branding success of foreign sports has brought. Player welfare issues for the elite players must be addressed while continuing to protect the club and its amateur structures. The study looks at the key metrics that are required to evolve the GAA. This entails not only focusing on the perceived importance of the amateur ethos to the GAA, but also developing the marketing, branding and profiling of Gaelic games to enhance the performance of an amateur sporting organization in an era of increased professionalism in sport.

  17. Dynamical properties of tertiarybutylarsine on GaAs(0 0 1) surface

    CERN Document Server

    Ozeki, M; Tanaka, Y

    2002-01-01

    The dynamical properties of tertiarybutylarsine (TBA) was studied on GaAs(0 0 1) surface using a supersonic molecular beam. The temperature and incident energy dependence of the reflected beam revealed a reaction channel of TBA on GaAs surface with a large decrease in the activation energy from 2.7 to 1.8 eV as the incident energy increases from 0.04 to 2.5 eV.

  18. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  19. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  20. Alignment of carbon nanotubes in nematic liquid crystals

    NARCIS (Netherlands)

    Schoot, van der P.P.A.M.; Popa-Nita, V.; Kralj, S.

    2008-01-01

    The self-organizing properties of nematic liquid crystals can be used to align carbon nanotubes dispersed in them. Because the nanotubes are so much thinner than the elastic penetration length, the alignment is caused by the coupling of the unperturbed director field to the anisotropic interfacial

  1. Reconstructing Faculty Roles to Align with Self- Authorship Development: The Gentle Art of Stepping Back

    Directory of Open Access Journals (Sweden)

    Deborah A. Day

    2014-11-01

    Full Text Available Student development has connections to important academic purposes in higher education (King, Baxter Magolda, Barber, Kendall Brown & Lindsay, 2009. In particular, a growing body of work on self-authorship, a social-constructive theory of development, has demonstrated relevance to the purposes of higher education (Baxter Magolda, 2001; King & Baxter Magolda, 2004. The conditions which support self-authorship development in academic settings have been studied in detail, drawing attention to what King et al. (2009 frame as developmentally effective educational experiences. Explorations of self-authorship development in academic settings have focused on students’ experiences and outcomes. The classroom experiences of faculty, particularly those working outside institutional initiatives, to support self-authorship have received less attention. This study used a theory-driven (Baxter Magolda, 2001; Pizzolato, 2005, practice-based research framework, to explore a faculty-student affairs collaboration through participant observation as the collaborators sought to align their teaching practices with the tenets of self-authorship development in the context of a senior undergraduate course in Service-Learning. Four themes emerged, which have relevance for those who wish to consider student personal and academic development concurrently. We argue that individual faculty members can collaborate with student affairs professionals and use self-authorship theory to expand their constructions of what it means to be a “good professor” by approaching teaching as a mirror image of the self-authorship journey traveled by students.

  2. Singularities of current-voltage characteristics of GaAs films fabricated by pulsed ions ablation

    International Nuclear Information System (INIS)

    Kabyshev, A.V.; Konusov, F.V.; Lozhnikov, S.N.; Remnev, G.E.; Saltymakov, M.S.

    2009-01-01

    A singularities and advantages of the optical, photoelectric and electrical properties of GaAs in comparison with other available materials for electronics, for example, silicon allow to manufacture on it base the devices having an advanced characteristics. The GaAs for electronics, obtained from the dense ablation plasma, possess some preferences as compared to material manufactured by traditional methods of vacuum deposition. The electrical characteristics of GaAs produced by chemical deposition were extensively studied. Purpose of this work is investigation the current-voltage characteristics of thin films of GaAs, deposited on polycrystalline corundum (polycor) from plasma forming the power ions bunch and determination of the thermal vacuum annealing effect on photoelectric and electrical properties of films. Peculiarities of optical, photoelectric and current-voltage characteristics of films obtained by ions ablation are determined by deposition conditions and resistance of initial target GaAs. The transitions between the states with low- and high conduction were revealed directly after deposition in films having the optical properties similar to amorphous materials and/or after annealing in films with properties similar to initial target GaAs. Behavior of current-voltage characteristics at vacuum annealing correlates with Schottky barrier height and photosensitivity and is accompanies of the transport mechanism change. The stable properties of films are formed at its dark conduction 10 -10 -10 -8 s and after annealing at T an =600-700 K. (authors)

  3. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  4. Neutron-damaged GaAs detectors for use in a Compton spectrometer

    International Nuclear Information System (INIS)

    Kammeraad, J.E.; Sale, K.E.; Wang, C.L.; Baltrusaitis, R.M.

    1992-01-01

    Detectors made of GaAs are being studies for use on the focal plane of a Compton spectrometer which measures 1-MeV to 25-MeV gamma rays with high energy resolution (1% or 100 keV, whichever is greater) and 200-ps time resolution. The detectors are GaAs chips that have been neutron-damaged to improve the time response. The detectors will be used to measure fast transient signals in the current mode. The properties of various GaAs detector configurations are being studied by bombarding sample detectors with short pulses of 4-MeV to 16-MeV electrons at the Linac Facility at EG ampersand G Energy Measurements, Inc., Santa Barbara Operations. Measurements of detector sensitivity and impulse response versus detector bias, thickness, and electron beam energy and intensity have been performed and are presented. 5 refs

  5. Kinetic energy dependence of carrier diffusion in a GaAs epilayer studied by wavelength selective PL imaging

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, S. [University of North Carolina at Charlotte, Charlotte, NC 28223 (United States); Providence High School, Charlotte, NC 28270 (United States); Su, L.Q.; Kon, J. [University of North Carolina at Charlotte, Charlotte, NC 28223 (United States); Gfroerer, T. [Davidson College, Davidson, NC 28035 (United States); Wanlass, M.W. [National Renewable Energy Laboratory, Golden, CO 80401 (United States); Zhang, Y., E-mail: yong.zhang@uncc.edu [University of North Carolina at Charlotte, Charlotte, NC 28223 (United States)

    2017-05-15

    Photoluminescence (PL) imaging has been shown to be an efficient technique for investigating carrier diffusion in semiconductors. In the past, the measurement was typically carried out by measuring at one wavelength (e.g., at the band gap) or simply the whole emission band. At room temperature in a semiconductor like GaAs, the band-to-band PL emission may occur in a spectral range over 200 meV, vastly exceeding the average thermal energy of about 26 meV. To investigate the potential dependence of the carrier diffusion on the carrier kinetic energy, we performed wavelength selective PL imaging on a GaAs double hetero-structure in a spectral range from about 70 meV above to 50 meV below the bandgap, extracting the carrier diffusion lengths at different PL wavelengths by fitting the imaging data to a theoretical model. The results clearly show that the locally generated carriers of different kinetic energies mostly diffuse together, maintaining the same thermal distribution throughout the diffusion process. Potential effects related to carrier density, self-absorption, lateral wave-guiding, and local heating are also discussed.

  6. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  7. Studies on the controlled growth of InAs nanostructures on scission surfaces

    International Nuclear Information System (INIS)

    Bauer, J.

    2006-01-01

    The aim of this thesis was the controlled alignment of self-assembled InAs nano-structures on a {110}-oriented surface. The surface is prestructured with the atomic precision offered by molecular beam epitaxy, using the cleaved edge overgrowth-technique. On all samples grown within this work, the epitaxial template in the first growth step was deposited on a (001)GaAs substrate, while the InAs-layer forming the nanostructures during the second growth step was grown on cleaved {110}-GaAs surfaces. Atomic Force Microscopy (AFM) investigations demonstrate the formation of quantum dot (QD)-like nanostructures on top of the AlAs-stripes. X-ray diffraction measurements on large arrays of aligned quantum dots demonstrate that the quantum dots are formed of pure InAs. First investigations on the optical properties of these nanostructures were done using microphotoluminescence-spectroscopy with both high spatial and spectral resolution. (orig.)

  8. ITER TASK T252 (1995):Gamma radiation testing of a GaAs operational amplifier for instrument applications

    International Nuclear Information System (INIS)

    Hiemstra, D.

    1996-03-01

    The purpose of this 1995 ITER task was : to build an improved operational amplifier using GaAs MESFET technology, to build a reference voltage subcircuit using GaAs MESFET technology and to investigate the potential of GaAs HBT's to improve the noise performance of the GaAs MESFET operational amplifier. This work addresses the need for instrumentation-grade components to read sensors in an experimental fusion reactor, where the anticipated total dose for a useful service life is 3Grad(GaAs). It is an extension of our 1994 work. 3 tabs., 6 figs

  9. Self-induced frequency scanning and distributed Bragg reflection in semiconductor lasers with phase-conjugate feedback

    Energy Technology Data Exchange (ETDEWEB)

    Cronin-Golomb; Yariv

    1986-07-01

    A GaA1As semiconductor laser with feedback from a barium titanate photorefractive ring passive phase-conjugate mirror can be made to perform repeating or nonrepeating frequency scans over a 10-nm range toward either the blue or the red. The direction of scanning and whether the scans repeat may be controlled by adjusting the overlap of the interaction beams in the crystal. This overlap region may be adjusted so that the diode frequency spectrum, originally occupying about 10 longitudinal modes, scans and narrows as the conjugate signal builds up, coming to rest often in one, but sometimes two or three, longitudinal modes as a result of self-generated distributed-feedback effects. Also reported similar effects caused by feedback from the total-internal-reflection passive phase-conjugate mirror. The alignment-control mechanism of the ring mirror is, however, not available in this case.

  10. Basic mechanisms study for MIS solar cell structures on GaAs

    Science.gov (United States)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  11. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  12. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  13. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  14. Fitting in and Feeling Good: The Relationships among Peer Alignment, Instructor Connectedness, and Self-Efficacy in Undergraduate Satisfaction with Engineering

    Science.gov (United States)

    Micari, Marina; Pazos, Pilar

    2016-01-01

    This study examined the relationships among peer alignment (the feeling that one is similar in important ways to one's engineering peers), instructor connectedness (the sense that one knows and looks up to academic staff/faculty members in the department), self-efficacy for engineering class work (confidence in one's ability to successfully…

  15. X-ray electron density distribution of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    Using ten X-ray structure amplitudes of strong reflections and nine weak reflections both, the valence electron and the difference electron density distribution of GaAs, are calculated. The experimental data are corrected for anomalous dispersion using a bond charge model. The calculated plots are compared with up to now published band structure-based and semiempirically calculated density plots. Taking into account the experimental data of germanium, measured on the same absolute scale, the difference density between GaAs and Ge is calculated. This exhibits the charge transfer between both the f.c.c.-sublattices as well as both, the shift and the decrease of the bond charge, quite closely connected to the theoretical results published by Baur et al. (author)

  16. Design and prototyping of self-centering optical single-mode fiber alignment structures

    International Nuclear Information System (INIS)

    Ebraert, Evert; Gao, Fei; Thienpont, Hugo; Van Erps, Jürgen; Beri, Stefano; Watté, Jan

    2016-01-01

    The European Commission’s goal of providing each European household with at least a 30 Mb s −1 Internet connection by 2020 would be facilitated by a widespread deployment of fibre-to-the-home, which would in turn be sped up by the development of connector essential components, such as high-precision alignment features. Currently, the performance of state-of-the-art physical contact optical fiber connectors is limited by the tolerance on the cladding of standard telecom-grade single-mode fiber (SMF), which is typically smaller than  ±1 μ m. We propose to overcome this limit by developing micro-spring-based self-centering alignment structures (SCAS) for SMF-connectors. We design these alignment structures with robustness and low-cost replication in mind, allowing for large-scale deployment. Both theoretical and finite element analysis (FEA) models are used to determine the optimal dimensions of the beams of which the micro-springs of the SCAS are comprised. Two topologies of the SCAS, consisting of three and four micro-springs respectively, are investigated for two materials: polysulfone (PSU) and polyetherimide (PEI). These materials hold great potential for high-performance fiber connectors while being compatible with low-cost production and with the harsh environmental operation conditions of those connectors. The theory and FEA agree well (<3% difference) for a simple micro-spring. When including a pedestal on the micro-spring (to bring it further away from the fiber) and for shorter spring lengths the agreement worsens. This is due to spring compression effects not being taken into account in our theoretical model. Prototypes are successfully fabricated using deep proton writing and subsequently characterized. The controlled insertion of an SMF in the SCAS is investigated and we determine that a force of 0.11 N is required. The fiber insertion also causes an out-of-plane deformation of the micro-springs in the SCAS of about 7 μ m, which is no

  17. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  18. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  19. Collective motion of active Brownian particles with polar alignment.

    Science.gov (United States)

    Martín-Gómez, Aitor; Levis, Demian; Díaz-Guilera, Albert; Pagonabarraga, Ignacio

    2018-04-04

    We present a comprehensive computational study of the collective behavior emerging from the competition between self-propulsion, excluded volume interactions and velocity-alignment in a two-dimensional model of active particles. We consider an extension of the active brownian particles model where the self-propulsion direction of the particles aligns with the one of their neighbors. We analyze the onset of collective motion (flocking) in a low-density regime (10% surface area) and show that it is mainly controlled by the strength of velocity-alignment interactions: the competition between self-propulsion and crowding effects plays a minor role in the emergence of flocking. However, above the flocking threshold, the system presents a richer pattern formation scenario than analogous models without alignment interactions (active brownian particles) or excluded volume effects (Vicsek-like models). Depending on the parameter regime, the structure of the system is characterized by either a broad distribution of finite-sized polar clusters or the presence of an amorphous, highly fluctuating, large-scale traveling structure which can take a lane-like or band-like form (and usually a hybrid structure which is halfway in between both). We establish a phase diagram that summarizes collective behavior of polar active brownian particles and propose a generic mechanism to describe the complexity of the large-scale structures observed in systems of repulsive self-propelled particles.

  20. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  1. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  2. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  3. Self-propelled in-tube shuttle and control system for automated measurements of magnetic field alignment

    International Nuclear Information System (INIS)

    Boroski, W.N.; Nicol, T.H.; Pidcoe, S.V.

    1990-03-01

    A magnetic field alignment gauge is used to measure the field angle as a function of axial position in each of the magnets for the Superconducting Super Collider (SSC). Present measurements are made by manually pushing the through the magnet bore tube and stopping at intervals to record field measurements. Gauge location is controlled through graduation marks and alignment pins on the push rods. Field measurements are recorded on a logging multimeter with tape output. Described is a computerized control system being developed to replace the manual procedure for field alignment measurements. The automated system employs a pneumatic walking device to move the measurement gauge through the bore tube. Movement of the device, called the Self-Propelled In-Tube Shuttle (SPITS), is accomplished through an integral, gas driven, double-acting cylinder. The motion of the SPITS is transferred to the bore tube by means of a pair of controlled, retractable support feet. Control of the SPITS is accomplished through an RS-422 interface from an IBM-compatible computer to a series of solenoid-actuated air valves. Direction of SPITS travel is determined by the air-valve sequence, and is managed through the control software. Precise axial position of the gauge within the magnet is returned to the control system through an optically-encoded digital position transducer attached to the shuttle. Discussed is the performance of the transport device and control system during preliminary testing of the first prototype shuttle. 1 ref., 7 figs

  4. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  5. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  6. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  7. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  8. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  9. A Comparative Study of the Monitoring of a Self Aligning Spherical Journal using Surface Vibration, Airborne Sound and Acoustic Emission

    International Nuclear Information System (INIS)

    Raharjo, P; Tesfa, B; Gu, F; Ball, A D

    2012-01-01

    A Self aligning spherical journal bearing is a plain bearing which has spherical surface contact that can be applied in high power industrial machinery. This type of bearing can accommodate a misalignment problem. The journal bearing faults degrade machine performance, decrease life time service and cause unexpected failure which are dangerous for safety issues. Non-intrusive measurements such as surface vibration (SV), airborne sound (AS) and acoustic emission (AE) measurement are appropriate monitoring methods for early stage journal bearing fault in low, medium and high frequency. This paper focuses on the performance comparison using SV, AS and AE measurements in monitoring a self aligning spherical journal bearing for normal and faulty (scratch) conditions. It examines the signals in the time domain and frequency domain and identifies the frequency ranges for each measurement in which significant changes are observed. The results of SV, AS and AE experiments indicate that the spectrum can be used to detect the differences between normal and faulty bearing. The statistic parameter shows that RMS value and peak value for faulty bearing is higher than normal bearing.

  10. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  11. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  12. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    Science.gov (United States)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  13. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  14. Sulfidic photochemical passivation of GaAs surfaces in alcoholic solutions

    International Nuclear Information System (INIS)

    Simonsmeier, T.; Ivankov, A.; Bauhofer, W.

    2005-01-01

    We report on a remarkable enhancement of the passivation effect of sulfidic solutions through illumination with above band gap light. Luminescence measurements on GaAs surfaces which have been illuminated during chemical passivation reveal in comparison to nonilluminated samples a further reduction of their surface density of states as well as a significantly increased stability of the passivation. Investigations with photoelectron spectroscopy show that illumination leads to a nearly complete removal of oxides on the surface. Measurements on Schottky diodes which have been manufactured with photochemically passivated GaAs indicate a noticeable decrease in band bending and a depinning of the Fermi level

  15. Pulse GaAs field transistor amplifier with subnanosecond time transient

    International Nuclear Information System (INIS)

    Sidnev, A.N.

    1987-01-01

    Pulse amplifier on fast field effect GaAs transistors with Schottky barrier is described. The amplifier contains four cascades, the first three of which are made on combined transistors on the common-drain circuit. The last cascade is made on high-power field effect GaAs transistor for coordination with 50 ohm load. The amplifier operates within the range of input signals from 0.5 up to 100 mV with repetition frequency up to 16 Hz, The gain of the amplifier is ≅ 20 dB. The setting time at output pulses amplitude up to 1 V constitutes ∼ 0.2 ns

  16. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  17. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  18. Enhancing Photoresponsivity of Self-Aligned MoS2 Field-Effect Transistors by Piezo-Phototronic Effect from GaN Nanowires.

    Science.gov (United States)

    Liu, Xingqiang; Yang, Xiaonian; Gao, Guoyun; Yang, Zhenyu; Liu, Haitao; Li, Qiang; Lou, Zheng; Shen, Guozhen; Liao, Lei; Pan, Caofeng; Lin Wang, Zhong

    2016-08-23

    We report high-performance self-aligned MoS2 field-effect transistors (FETs) with enhanced photoresponsivity by the piezo-phototronic effect. The FETs are fabricated based on monolayer MoS2 with a piezoelectric GaN nanowire (NW) as the local gate, and a self-aligned process is employed to define the source/drain electrodes. The fabrication method allows the preservation of the intrinsic property of MoS2 and suppresses the scattering center density in the MoS2/GaN interface, which results in high electrical and photoelectric performances. MoS2 FETs with channel lengths of ∼200 nm have been fabricated with a small subthreshold slope of 64 mV/dec. The photoresponsivity is 443.3 A·W(-1), with a fast response and recovery time of ∼5 ms under 550 nm light illumination. When strain is introduced into the GaN NW, the photoresponsivity is further enhanced to 734.5 A·W(-1) and maintains consistent response and recovery time, which is comparable with that of the mechanical exfoliation of MoS2 transistors. The approach presented here opens an avenue to high-performance top-gated piezo-enhanced MoS2 photodetectors.

  19. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  20. Aligners: the Invisible Corrector-A Boon or Bane.

    Science.gov (United States)

    Mahendra, Lodd

    2018-03-01

    The trend of clinical orthodontics has shown a palpable shift from conventional braces to innovative technologies like invisible aligners. Aligners are sequences of clear trays worn by patients to straighten their teeth. They were envisaged for the main purpose of esthetics, mainly directed toward self-conscious teenagers who otherwise would shy away from essential correction of malocclusion.

  1. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  2. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  3. An in vitro study into the efficacy of complex tooth alignment with conventional and self-ligating brackets.

    Science.gov (United States)

    Montasser, M A; Keilig, L; Bourauel, C

    2015-02-01

    To evaluate the efficacy of tooth alignment achieved by various small cross-section archwire/bracket combinations using the orthodontic measurement and simulation system. The study comprised three types of orthodontic brackets 1) conventional ligating (Victory Series and Mini-Taurus), 2) self-ligating (SmartClip a passive self-ligating bracket and Time3 an active self-ligating bracket), and 3) a conventional low-friction bracket (Synergy). All brackets had a nominal 0.022″ slot size. Brackets were combined with 1) 0.012″ stainless steel, 2) 0.012″ Orthonol, 3) 0.012″ Thermalloy, and 4) 0.0155″ coaxial archwires. Archwires were tied to the conventional brackets with stainless steel ligatures and elastomeric rings. The malocclusion simulated represented a central upper incisor displaced 2 mm gingivally (x-axis) and 2 mm labially (z-axis). The inciso-gingival correction achieved by the different archwire/bracket combinations ranged from 15 to 95%, while the labio-lingual correction ranged from 10 to 95%. The smallest correction was achieved by coaxial, Orthonol, and thermally archwires when ligated with the elastomeric rings to conventional brackets. Stainless steel archwires achieved from 65 to 90% of inciso-gingival correction and from 60 to 90% of labio-lingual correction. The resultant tooth alignment was the product of interaction between the archwire type, bracket type, and bracket design including ligature type. Small cross-sectional archwires might produce up to 95% correction if combined properly with the bracket system. Elastomeric rings when used with conventional brackets limit the efficacy of malalignment correction. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  4. Experimental studies of the charge limit phenomenon in NEA GaAs photocathodes

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.K.; Aoyagi, H.; Clendenin, J.E.; Frisch, J.C.; Mulhollan, G.A.; Saez, P.J.; Schultz, D.C.; Turner, J.L.

    1994-06-01

    Negative electron affinity GaAs photocathodes have been in continuous use at SLAC for generating polarized electron beams since early 1992. If the quantum efficiency of a GaAs cathode is below a critical value, the maximum photoemitted charge with photons of energies close to the band gap in a 2-ns pulse is found to be limited by the intrinsic properties of the cathode instead of by the space charge limit. We have studied this novel charge limit phenomenon in a variety of GaAs photocathodes of different structures and doping densities. We find that the charge limit is strongly dependent on the cathode's quantum efficiency and the extraction electric field, and to a lesser degree on the excitation laser wavelength. In addition, we show that the temporal behavior of the charge limit depends critically on the doping density

  5. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  6. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  7. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  8. Design of a self-aligned, wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with 10 nm magnetic force microscope resolution

    Energy Technology Data Exchange (ETDEWEB)

    Karcı, Özgür [NanoMagnetics Instruments Ltd., Hacettepe - İvedik OSB Teknokent, 1368. Cad., No: 61/33, 06370, Yenimahalle, Ankara (Turkey); Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey); Dede, Münir [NanoMagnetics Instruments Ltd., Hacettepe - İvedik OSB Teknokent, 1368. Cad., No: 61/33, 06370, Yenimahalle, Ankara (Turkey); Oral, Ahmet, E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, 06800 Ankara (Turkey)

    2014-10-01

    We describe the design of a wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with a self-aligned fibre-cantilever mechanism. An alignment chip with alignment groves and a special mechanical design are used to eliminate tedious and time consuming fibre-cantilever alignment procedure for the entire temperature range. A low noise, Michelson fibre interferometer was integrated into the system for measuring deflection of the cantilever. The spectral noise density of the system was measured to be ~12 fm/√Hz at 4.2 K at 3 mW incident optical power. Abrikosov vortices in BSCCO(2212) single crystal sample and a high density hard disk sample were imaged at 10 nm resolution to demonstrate the performance of the system.

  9. Design of a self-aligned, wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with 10 nm magnetic force microscope resolution

    International Nuclear Information System (INIS)

    Karcı, Özgür; Dede, Münir; Oral, Ahmet

    2014-01-01

    We describe the design of a wide temperature range (300 mK-300 K) atomic force microscope/magnetic force microscope with a self-aligned fibre-cantilever mechanism. An alignment chip with alignment groves and a special mechanical design are used to eliminate tedious and time consuming fibre-cantilever alignment procedure for the entire temperature range. A low noise, Michelson fibre interferometer was integrated into the system for measuring deflection of the cantilever. The spectral noise density of the system was measured to be ∼12 fm/√Hz at 4.2 K at 3 mW incident optical power. Abrikosov vortices in BSCCO(2212) single crystal sample and a high density hard disk sample were imaged at 10 nm resolution to demonstrate the performance of the system

  10. Controllable growth and optical properties of InP and InP/InAs nanostructures on the sidewalls of GaAs nanowires

    International Nuclear Information System (INIS)

    Yan, Xin; Zhang, Xia; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin

    2014-01-01

    The growth and optical properties of InP and InP/InAs nanostructures on GaAs nanowires are investigated. InP quantum well and quantum dots (QDs) are formed on the sidewalls of GaAs nanowires successively with increasing the deposition time of InP. The GaAs/InP nanowire heterostructure exhibits a type-II band alignment. The wavelength of the InP quantum well is in the range of 857–892 nm at 77 K, which means that the quantum well is nearly fully strained. The InP quantum dot, which has a bow-shaped cross section, exhibits dislocation-free pure zinc blende structure. Stranski-Krastanow InAs quantum dots are subsequently formed on the GaAs/InP nanowire core-shell structure. The InAs quantum dots are distributed over the middle part of the nanowire, indicating that the In atoms contributing to the quantum dots mainly come from the vapor rather than the substrate. The longest emission wavelength obtained from the InAs QDs is 1039 nm at 77 K. The linewidth is as narrow as 46.3 meV, which is much narrower than those on planar InP substrates and wurtzite InP nanowires, suggesting high-crystal-quality, phase-purity, and size-uniformity of quantum dots

  11. Paper S12 5 : Self-aligned a-IGZO TFTs : Impact of S/D contacts formation on their Negative-Bias-Illumination-Stress (NBIS) instability

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Smout, S.; Bhoolokam, A.; Genoe, J.; Cobb, B.; Kumar, A.; Groeseneken, G.; Heremans, P.

    2015-01-01

    In this work, we present the impact of S/D contact formation, that is, by SiN plasma doping (hydrogen incorporation), metallic reduction (by calcium) and by argon plasma (compositional change) on NBIS instabilities of self-aligned a-IGZO TFTs.

  12. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  13. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    Science.gov (United States)

    Han, D.; Xia, Y.; Yokota, S.; Kim, J. W.

    2017-12-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µm are experimentally investigated. In the case of 500 µm KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µm were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm-3) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm-3) fabricated by front UV. This paper proves that the proposed

  14. UV-LIGA technique for ECF micropumps using back UV exposure and self-alignment

    International Nuclear Information System (INIS)

    Han, D; Xia, Y; Yokota, S; Kim, J W

    2017-01-01

    This paper proposes and develops a novel UV-LIGA technique using back UV exposure and self-alignment to realize high aspect ratio micromachining (HARM) in high power density electro-conjugate fluid (ECF) micropumps. ECF is a functional fluid designed to be able to generate strong and active jet flow (ECF jetting) between anode and cathode in ECF when high DC voltage is applied. We have developed high power density ECF micropumps consisting of triangular prism and slit electrode pairs (TPSEs) fabricated by HARM. The traditional UV-LIGA technique for HARM is mainly divided into two approaches: (a) single thick layer and (b) multiple thin layers. Both methods have limitations—deformed molds in the former and misalignment between layers in the latter. Using the finite element method software COMSOL Multiphysics, we demonstrate that the deformed micro-molds critically impair the performance of ECF micropumps. In addition, we experimentally prove that the misalignment would easily trigger electric discharge in the ECF micropumps. To overcome these limitations, we conceive a new concept utilizing the seed electrode layer for electroforming as the UV shield and pattern photoresist (KMPR) by back UV exposure. The seed electrode layer should be composed of a non-transparent conductor (Au/Ti) for patterning and a transparent conductor (ITO) for wiring. Instead of ITO, we propose the concept of transparency-like electrodes comprised of thin metal line patterns. To verify this concept, KMPR layers with thicknesses of 70, 220, and 500 µ m are experimentally investigated. In the case of 500 µ m KMPR thickness, the concept of transparency-like electrode was partially proved. As a result, TPSEs with a height of 440 µ m were successfully fabricated. Characteristic experiments demonstrated that ECF micropumps (367 mW cm −3 ) fabricated by back UV achieved almost the same output power density as ECF micropumps (391 mW cm −3 ) fabricated by front UV. This paper proves that the

  15. Comparative assessment of alignment efficiency and space closure of active and passive self-ligating vs conventional appliances in adolescents: a single-center randomized controlled trial.

    Science.gov (United States)

    Songra, Goldie; Clover, Matthew; Atack, Nikki E; Ewings, Paul; Sherriff, Martyn; Sandy, Jonathan R; Ireland, Anthony J

    2014-05-01

    The aim of this study was to compare the time to initial alignment and extraction space closure using conventional brackets and active and passive self-ligating brackets. One hundred adolescent patients 11 to 18 years of age undergoing maxillary and mandibular fixed appliance therapy after the extraction of 4 premolars were randomized with stratification of 2 age ranges (11-14 and 15-18 years) and 3 maxillomandibular plane angles (high, medium, and low) with an allocation ratio of 1:2:2. Restrictions were applied using a block size of 10. Allocation was to 1 of 3 treatment groups: conventional brackets, active self-ligating, or passive self-ligating brackets. All subjects were treated with the same archwire sequence and space-closing mechanics in a district general hospital setting. The trial was a 3-arm parallel design. Labial-segment alignment and space closure were measured on study models taken every 12 weeks throughout treatment. All measurements were made by 1 operator who was blinded to bracket type. The patients and other operators were not blinded to bracket type during treatment. Ninety-eight patients were followed to completion of treatment (conventional, n = 20; active self-ligating brackets, n = 37; passive self-ligating brackets, n = 41). The data were analyzed using linear mixed models and demonstrated a significant effect of bracket type on the time to initial alignment (P = 0.001), which was shorter with the conventional brackets than either of the self-ligating brackets. Sidak's adjustment showed no significant difference in effect size (the difference in average response in millimeters) between the active and passive self-ligating brackets (the results are presented as effect size, 95% confidence intervals, probabilities, and intraclass correlation coefficients) (-0.42 [-1.32, 0.48], 0.600, 0.15), but the conventional bracket was significantly different from both of these (-1.98 [-3.19, -0.76], 0.001, 0.15; and -1.56 [-2.79, -0.32], 0.001, 0

  16. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  17. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    Science.gov (United States)

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  18. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  19. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    Science.gov (United States)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  20. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  1. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  2. Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration

    Directory of Open Access Journals (Sweden)

    Mitsumasa Koyanagi

    2011-02-01

    Full Text Available New surface mounting and packaging technologies, using self-assembly with chips having cavity structures, were investigated for three-dimensional (3D and hetero integration of complementary metal-oxide semiconductors (CMOS and microelectromechanical systems (MEMS. By the surface tension of small droplets of 0.5 wt% hydrogen fluoride (HF aqueous solution, the cavity chips, with a side length of 3 mm, were precisely aligned to hydrophilic bonding regions on the surface of plateaus formed on Si substrates. The plateaus have micro-channels to readily evaporate and fully remove the liquid from the cavities. The average alignment accuracy of the chips with a 1 mm square cavity was found to be 0.4 mm. The alignment accuracy depends, not only on the area of the bonding regions on the substrates and the length of chip periphery without the widths of channels in the plateaus, but also the area wetted by the liquid on the bonding regions. The precisely aligned chips were then directly bonded to the substrates at room temperature without thermal compression, resulting in a high shear bonding strength of more than 10 MPa.

  3. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Science.gov (United States)

    Wang, Wei-Fu; Cheng, Kai-Yuan; Hsieh, Kuang-Chien

    2018-01-01

    Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS) profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3) along with diffused germanium donors whose concentration (>>1018/cm3) determined by electro-chemical capacitance-voltage (ECV) profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL) shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA) centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  4. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Directory of Open Access Journals (Sweden)

    Wei-Fu Wang

    2018-01-01

    Full Text Available Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3 along with diffused germanium donors whose concentration (>>1018/cm3 determined by electro-chemical capacitance-voltage (ECV profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  5. Radiation-induced effects in GaAs thin-film optical (10.6 μm) waveguides

    International Nuclear Information System (INIS)

    Share, S.; Epstein, A.S.; Monse, T.; Chang, W.S.C.; Chang, M.S.

    1976-01-01

    Two types of GaAs thin-film optical waveguide structures operating at 10.6 μm were examined before and after exposure to neutron and γ irradiation. The attenuation rate of the GaAs/n + -GaAs structure was particularly sensitive to neutron irradiation of 10 13 cm -2 and exhibited postirradiation annealing at 150 0 C. This is in contrast to the relative neutron irradiation insensitivity of a GaAs/GaAs 1 /sub -//subx/P/subx//n + -GaAs structure. The effect of γ radiation is less pronounced for both structures. The radiation-induced changes are discussed in terms of free-carrier absorption, index of refraction, scattering centers, and absorption by complexes

  6. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  7. Heat load of a GaAs photocathode in an SRF electron gun

    International Nuclear Information System (INIS)

    Wang Erdong; Zhao Kui; Jorg Kewisch; Ilan Ben-Zvi; Andrew Burrill; Trivini Rao; Wu Qiong; Animesh Jain; Ramesh Gupta; Doug Holmes

    2011-01-01

    A great deal of effort has been made over the last decades to develop a better polarized electron source for high energy physics. Several laboratories operate DC guns with a gallium arsenide photocathode, which yield a highly polarized electron beam. However, the beam's emittance might well be improved by using a superconducting radio frequency (SRF) electron gun, which delivers beams of a higher brightness than that from DC guns because the field gradient at the cathode is higher. SRF guns with metal and CsTe cathodes have been tested successfully. To produce polarized electrons, a Gallium-Arsenide photo-cathode must be used: an experiment to do so in a superconducting RF gun is under way at BNL. Since a bulk gallium arsenide (GaAs) photocathode is normal conducting, a problem arises from the heat load stemming from the cathode. We present our measurements of the electrical resistance of GaAs at cryogenic temperatures, a prediction of the heat load and verification by measuring the quality factor of the gun with and without the cathode at 2 K. We simulate heat generation and flow from the GaAs cathode using the ANSYS program. By following the findings with the heat load model, we designed and fabricated a new cathode holder (plug) to decrease the heat load from GaAs. (authors)

  8. A self-consistent two-dimensional resistive fluid theory of field-aligned potential structures including charge separation and magnetic and velocity shear

    International Nuclear Information System (INIS)

    Hesse, M.; Birn, J.; Schindler, K.

    1990-01-01

    A self-consistent two-fluid theory that includes the magnetic field and shear patterns therein is developed to model stationary electrostatic structures with field-aligned potential drops. Shear flow is also included in the theory since this seems to be a prominent feature of the structures of interest. In addition, Ohmic dissipation, a Hall term and pressure gradients in a generalized Ohm's law, modified for cases without quasi-neutrality are included. In the analytic theory, the electrostatic force is balanced by field-aligned pressure gradients, i.e., thermal effects in the direction of the magnetic field, and by pressure gradients and magnetic stresses in the perpendicular direction. Within this theory simple examples of applications are presented to demonstrate the kind of solutions resulting from the model. The results show how the effects of charge separation and shear in the magnetic field and the velocity can be combined to form self-consistent structures such as are found to exist above the aurora, suggested also in association with solar flares

  9. Antisense Oligonucleotides Promote Exon Inclusion and Correct the Common c.-32-13T>G GAA Splicing Variant in Pompe Disease

    Directory of Open Access Journals (Sweden)

    Erik van der Wal

    2017-06-01

    Full Text Available The most common variant causing Pompe disease is c.-32-13T>G (IVS1 in the acid α-glucosidase (GAA gene, which weakens the splice acceptor of GAA exon 2 and induces partial and complete exon 2 skipping. It also allows a low level of leaky wild-type splicing, leading to a childhood/adult phenotype. We hypothesized that cis-acting splicing motifs may exist that could be blocked using antisense oligonucleotides (AONs to promote exon inclusion. To test this, a screen was performed in patient-derived primary fibroblasts using a tiling array of U7 small nuclear RNA (snRNA-based AONs. This resulted in the identification of a splicing regulatory element in GAA intron 1. We designed phosphorodiamidate morpholino oligomer-based AONs to this element, and these promoted exon 2 inclusion and enhanced GAA enzyme activity to levels above the disease threshold. These results indicate that the common IVS1 GAA splicing variant in Pompe disease is subject to negative regulation, and inhibition of a splicing regulatory element using AONs is able to restore canonical GAA splicing and endogenous GAA enzyme activity.

  10. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  11. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  12. Fabrication and characterization of a solid-state nanopore with self-aligned carbon nanoelectrodes for molecular detection

    International Nuclear Information System (INIS)

    Spinney, Patrick S; Collins, Scott D; Smith, Rosemary L; Howitt, David G

    2012-01-01

    Stochastic molecular sensors based on resistive pulse nanopore modalities are envisioned as facile DNA sequencers. However, recent advances in nanotechnology fabrication have highlighted promising alternative detection mechanisms with higher sensitivity and potential single-base resolution. In this paper we present the novel self-aligned fabrication of a solid-state nanopore device with integrated transverse graphene-like carbon nanoelectrodes for polyelectrolyte molecular detection. The electrochemical transduction mechanism is characterized and found to result primarily from thermionic emission between the two transverse electrodes. Response of the nanopore to Lambda dsDNA and short (16-mer) ssDNA is demonstrated and distinguished. (paper)

  13. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  14. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  15. An ultra-high-speed direct digital frequency synthesizer implemented in GaAs HBT technology

    International Nuclear Information System (INIS)

    Chen Gaopeng; Wu Danyu; Jin Zhi; Liu Xinyu

    2010-01-01

    This paper presents a 10-GHz 8-bit direct digital synthesizer (DDS) microwave monolithic integrated circuit implemented in 1 μm GaAs HBT technology. The DDS takes a double-edge-trigger (DET) 8-stage pipeline accumulator with sine-weighted DAC-based ROM-less architecture, which can maximize the utilization ratio of the GaAs HBT's high-speed potential. With an output frequency up to 5 GHz, the DDS gives an average spurious free dynamic range of 23.24 dBc through the first Nyquist band, and consumes 2.4 W of DC power from a single -4.6 V DC supply. Using 1651 GaAs HBT transistors, the total area of the DDS chip is 2.4 x 2.0 mm 2 . (semiconductor integrated circuits)

  16. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  17. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  18. Effect of alignment on adsorption characteristics of self-oriented multi-walled carbon nanotube arrays

    International Nuclear Information System (INIS)

    Zilli, D; Bonelli, P R; Cukierman, A L

    2006-01-01

    The adsorption characteristics of self-oriented multi-walled carbon nanotube (MWCNT) arrays are examined from N 2 (-196 deg. C) adsorption measurements. The arrays were synthesized in a laboratory by in situ chemical vapour deposition of iron or cobalt phthalocyanines at 880 and 950 deg. C, under otherwise constant conditions, in an attempt to obtain different morphological structures. For both precursors, increasing the temperature leads to MWCNT arrays with lower Brunauer-Emmett-Teller (BET) surface area and total pore volume, though the effect is more pronounced for those arising from the iron-based compound. Despite this, precursor yields of individual nanotubes of larger diameter, higher BET area and total pore volume characterize the resulting arrays compared to those arising from cobalt phthalocyanine for the same temperatures. As evidenced by SEM and TEM images, the arrays synthesized from iron phthalocyanine at 880 deg. C show better vertical alignment and denser structures than those obtained from this compound at 950 deg. C, and also from cobalt phthalocyanine at both temperatures. Further ultrasonication of the arrays produced from the iron compound brings about a significant reduction in their adsorption capacity, attributable to the pronounced disarrangement of the resulting structures. The present results demonstrate that the alignment of MWCNT arrays plays a crucial role in their N 2 adsorption characteristics

  19. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  20. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  1. Imaging performance of a Timepix detector based on semi-insulating GaAs

    Science.gov (United States)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  2. Picosecond relaxation of X-ray excited GaAs

    Czech Academy of Sciences Publication Activity Database

    Tkachenko, V.; Medvedev, Nikita; Lipp, V.; Ziaja, B.

    2017-01-01

    Roč. 24, Sep (2017), s. 15-21 ISSN 1574-1818 Institutional support: RVO:68378271 Keywords : GaAS * X-ray excitation * picosecond relaxation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.908, year: 2016

  3. Base excision repair of chemotherapeutically-induced alkylated DNA damage predominantly causes contractions of expanded GAA repeats associated with Friedreich's ataxia.

    Directory of Open Access Journals (Sweden)

    Yanhao Lai

    Full Text Available Expansion of GAA·TTC repeats within the first intron of the frataxin gene is the cause of Friedreich's ataxia (FRDA, an autosomal recessive neurodegenerative disorder. However, no effective treatment for the disease has been developed as yet. In this study, we explored a possibility of shortening expanded GAA repeats associated with FRDA through chemotherapeutically-induced DNA base lesions and subsequent base excision repair (BER. We provide the first evidence that alkylated DNA damage induced by temozolomide, a chemotherapeutic DNA damaging agent can induce massive GAA repeat contractions/deletions, but only limited expansions in FRDA patient lymphoblasts. We showed that temozolomide-induced GAA repeat instability was mediated by BER. Further characterization of BER of an abasic site in the context of (GAA20 repeats indicates that the lesion mainly resulted in a large deletion of 8 repeats along with small expansions. This was because temozolomide-induced single-stranded breaks initially led to DNA slippage and the formation of a small GAA repeat loop in the upstream region of the damaged strand and a small TTC loop on the template strand. This allowed limited pol β DNA synthesis and the formation of a short 5'-GAA repeat flap that was cleaved by FEN1, thereby leading to small repeat expansions. At a later stage of BER, the small template loop expanded into a large template loop that resulted in the formation of a long 5'-GAA repeat flap. Pol β then performed limited DNA synthesis to bypass the loop, and FEN1 removed the long repeat flap ultimately causing a large repeat deletion. Our study indicates that chemotherapeutically-induced alkylated DNA damage can induce large contractions/deletions of expanded GAA repeats through BER in FRDA patient cells. This further suggests the potential of developing chemotherapeutic alkylating agents to shorten expanded GAA repeats for treatment of FRDA.

  4. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  5. Subnanosecond, high-voltage photoconductive switching in GaAs

    Science.gov (United States)

    Druce, Robert L.; Pocha, Michael D.; Griffin, Kenneth L.; O'Bannon, Jim

    1991-03-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating highpower microwaves (HPM) and for high reprate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanchelike mode (the optical pulse only controls switch closing) . Operating in the unear mode we have observed switch closing times of less than 200 Ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lockon modes high fields are switched with lower laser pulse energies resulting in higher efficiencies but with measurable switching delay and jitter. We are currently investigating both large area (1 cm2) and small area 1 mm2) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1. 06 tim.

  6. Intradomain Textures in Block Copolymers: Multizone Alignment and Biaxiality

    Science.gov (United States)

    Prasad, Ishan; Seo, Youngmi; Hall, Lisa M.; Grason, Gregory M.

    2017-06-01

    Block copolymer (BCP) melt assembly has been studied for decades, focusing largely on self-organized spatial patterns of periodically ordered segment density. Here, we demonstrate that underlying the well-known composition profiles (i.e., ordered lamella, cylinders, spheres, and networks) are generic and heterogeneous patterns of segment orientation that couple strongly to morphology, even in the absence of specific factors that promote intra or interchain segment alignment. We employ both self-consistent field theory and coarse-grained simulation methods to measure polar and nematic order parameters of segments in a freely jointed chain model of diblock melts. We show that BCP morphologies have a multizone texture, with segments predominantly aligned normal and parallel to interdomain interfaces in the respective brush and interfacial regions of the microdomain. Further, morphologies with anisotropically curved interfaces (i.e., cylinders and networks) exhibit biaxial order that is aligned to the principal curvature axes of the interface.

  7. Simultaneous alignment and dispersion of carbon nanotubes with lyotropic liquid crystals

    NARCIS (Netherlands)

    Lagerwall, J.P.F.; Scalia, G.; Haluska, M.; Dettlaff-Weglikowska, U.; Giesselmann, F.; Roth, S.

    2006-01-01

    We demonstrate that single-wall carbon nanotubes (SWCNTs) can be macroscopically aligned by means of templating in a lyotropic nematic liquid crystal (LC), a self-assembling anisotropic fluid with orientational but no translational order. The CNTs spontaneously adopt the alignment of the host, as we

  8. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the Lock-On'' phenomena could occur in the device.

  9. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the ``Lock-On`` phenomena could occur in the device.

  10. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  11. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  12. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    International Nuclear Information System (INIS)

    Shimomura, K.; Kamiya, I.

    2015-01-01

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers

  13. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, K., E-mail: sd12502@toyota-ti.ac.jp; Kamiya, I., E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan)

    2015-02-23

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers.

  14. Removal of NO {sub x} by microwave reactor with ammonium bicarbonate and Ga-A zeolites at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Z.S. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)]. E-mail: weizaishan98@163.com; Du, Z.Y. [School of Light Industry and Chemical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Lin, Z.H. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); He, H.M. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Qiu, R.L. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2007-08-15

    Microwave reactor with the mixture of ammonium bicarbonate (NH{sub 4}HCO{sub 3}) and Ga-A zeolites was set up to study the removal of nitrogen oxides (NO {sub x} ) from waste gas with excess oxygen concentration (14-19%) at low temperature (80-120 deg. C). The results showed that the microwave reactor filled with NH{sub 4}HCO{sub 3} and Ga-A zeolites could reduce NO {sub x} to nitrogen with the best purifying efficiency of 95.45% and the best denitrification amount of 89.28 mg h{sup -1}. The optimal microwave power and residence time (RT) on denitrification was 259-280 W and 0.259 s, respectively. Microwave denitrification effect of the experiment using ammonium bicarbonate and Ga-A zeolites was much higher than that using ammonium bicarbonate or Ga-A zeolites only. The mechanism for microwave-induced NO {sub x} reduction can be explained as the microwave-induced catalytic reaction between NO {sub x} and ammonium bicarbonate with Ga-A zeolites being the catalyst and microwave absorbent.

  15. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  16. A rheo-optical apparatus for real time kinetic studies on shear-induced alignment of self-assembled soft matter with small sample volumes

    Science.gov (United States)

    Laiho, Ari; Ikkala, Olli

    2007-01-01

    In soft materials, self-assembled nanoscale structures can allow new functionalities but a general problem is to align such local structures aiming at monodomain overall order. In order to achieve shear alignment in a controlled manner, a novel type of rheo-optical apparatus has here been developed that allows small sample volumes and in situ monitoring of the alignment process during the shear. Both the amplitude and orientation angles of low level linear birefringence and dichroism are measured while the sample is subjected to large amplitude oscillatory shear flow. The apparatus is based on a commercial rheometer where we have constructed a flow cell that consists of two quartz teeth. The lower tooth can be set in oscillatory motion whereas the upper one is connected to the force transducers of the rheometer. A custom made cylindrical oven allows the operation of the flow cell at elevated temperatures up to 200 °C. Only a small sample volume is needed (from 9 to 25 mm3), which makes the apparatus suitable especially for studying new materials which are usually obtainable only in small quantities. Using this apparatus the flow alignment kinetics of a lamellar polystyrene-b-polyisoprene diblock copolymer is studied during shear under two different conditions which lead to parallel and perpendicular alignment of the lamellae. The open device geometry allows even combined optical/x-ray in situ characterization of the alignment process by combining small-angle x-ray scattering using concepts shown by Polushkin et al. [Macromolecules 36, 1421 (2003)].

  17. Anisotropic hypersonic phonon propagation in films of aligned ellipsoids.

    Science.gov (United States)

    Beltramo, Peter J; Schneider, Dirk; Fytas, George; Furst, Eric M

    2014-11-14

    A material with anisotropic elastic mechanical properties and a direction-dependent hypersonic band gap is fabricated using ac electric field-directed convective self-assembly of colloidal ellipsoids. The frequency of the gap, which is detected in the direction perpendicular to particle alignment and entirely absent parallel to alignment, and the effective sound velocities can be tuned by the particle aspect ratio. We hypothesize that the band gap originates from the primary eigenmode peak, the m-splitted (s,1,2) mode, of the particle resonating with the effective medium. These results reveal the potential for powerful control of the hypersonic phononic band diagram by combining anisotropic particles and self-assembly.

  18. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  19. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  20. The lower yield point of InP and GaAs

    International Nuclear Information System (INIS)

    Siethoff, H.

    1987-01-01

    A study of the strain-rate and temperature dependence of the lower yield stress (τ ly ) in undoped InP and of the strain-rate dependence of τ ly in undoped and Zn-doped GaAs is reported. The deformation along (123) orientation was carried out in compression at constant strain rates ranging from 10 -5 to 10 -2 s -1 . The temperature range extended from 540 to 780 0 C. The activation energy and stress exponent of the dislocation velocity were calculated. Experiments have shown that τ ly of InP depends on temperature and strain rate in a manner similar to other semiconductors like Si and InSb, whereas τ ly of GaAs shows an unusual strain-rate dependence

  1. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  2. Field emission from carbon nanotube bundle arrays grown on self-aligned ZnO nanorods

    International Nuclear Information System (INIS)

    Li Chun; Fang Guojia; Yuan Longyan; Liu Nishuang; Ai Lei; Xiang Qi; Zhao Dongshan; Pan Chunxu; Zhao Xingzhong

    2007-01-01

    The field emission (FE) properties of carbon nanotube (CNT) bundle arrays grown on vertically self-aligned ZnO nanorods (ZNRs) are reported. The ZNRs were first synthesized on ZnO-seed-coated Si substrate by the vapour phase transport method, and then the radically grown CNTs were grown directly on the surface of the ZNRs from ethanol flames. The CNT/ZNR composite showed a turn-on field of 1.5 V μm -1 (at 0.1 μA cm -2 ), a threshold field of 4.5 V μm -1 (at 1 mA cm -2 ) and a stable emission current with fluctuations of 5%, demonstrating significantly enhanced FE of ZNRs due to the low work function and high aspect ratio of the CNTs, and large surface-to-volume ratio of the underlying ZNRs

  3. Synthesis, transfer printing, electrical and optical properties, and applications of materials composed of self-assembled, aligned single-walled carbon nanotubes

    Science.gov (United States)

    Pint, Cary L.

    Super growth of single-walled carbon nanotubes (SWNTs) has emerged as a unique method for synthesizing self-assembled, pristine, aligned SWNT materials composed of ultra-long (millimeter-long) nanotubes. This thesis focuses on novel routes of synthesizing such self-assembled SWNTs and the challenges that arise in integrating this material into next-generation applications. First of all, this work provides unique insight into growth termination of aligned SWNTs, emphasizing the mechanism that inhibits the growth of infinitely long nanotubes. Exhaustive real-time growth studies, combined with ex-situ and in-situ TEM characterization emphasizes that Ostwald ripening and subsurface diffusion of catalyst particles play a key role in growth termination. As a result, rational steps to solving this problem can enhance growth, and may ultimately lead to the meter or kilometer-long SWNTs that are necessary for a number of applications. In addition, other novel synthesis routes are discussed, such as the ability to form macroscopic fibrils of SWNTs, called "flying carpets" from 40 nm thick substrates, and the ability to achieve supergrowth of SWNTs that are controllably doped with nitrogen. In the latter case, molecular heterojunctions of doped and undoped sections in a single strand of ultralong SWNTs are demonstrated Secondly, as supergrowth is conducted on alumina coated SiO2 substrates, any applications will require that one can transfer the SWNTs to host surfaces with minimal processing. This work demonstrates a unique contact transfer route by which both patterned arrays of SWNTs, or homogenous SWNT carpets, can be transferred to any host surface. In the first case, the SWNTs are grown vertically aligned, and transferred in patterns of horizontally aligned SWNT. This transfer process relies on simple water-vapor etching of amorphous carbons at the catalyst following growth, and strong van der Waals adhesion of the high surface-area SWNT to host surfaces (gecko effect

  4. Linear electro-optic coefficient in multilayer self-organized InAs quantum dot structures

    NARCIS (Netherlands)

    Akca, I.B.; Dana, A.; Aydinli, A.; Rossetti, M.; Li, L.; Dagli, N.; Fiore, A.

    2007-01-01

    The electro-optic coefficients of self-organized InAs quantum dot layers in molecular beam epitaxy grown laser structures in reverse bias have been investigated. Enhanced electrooptic coefficients compared to bulk GaAs were observed.

  5. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  6. Anisotropic Pauli Spin Blockade of Holes in a GaAs Double Quantum Dot

    Science.gov (United States)

    Wang, Qingwen; Klochan, Oleh; Hung, Jo-Tzu; Culcer, Dimitrie; Farrer, Ian; Ritchie, David; Hamilton, Alex

    Electrically defined semiconductor quantum dots are appealing systems for spin manipulation and quantum information processing. Thanks to the weak hyperfine interaction and the strong spin-orbit interaction, heavy-holes in GaAs are promising candidates for all-electrical spin manipulation. However, making stable quantum dots in GaAs has only become possible recently, mainly because of difficulties in device fabrication and device stability. Here we present electrical transport measurements of heavy-holes in a lateral double quantum dot based on a GaAs /AlxGa1 - x As heterostructure. We observe clear Pauli spin blockade and show that the lifting of the spin blockade by an external magnetic field is extremely anisotropic. Numerical calculations of heavy-hole transport through a double quantum dot in the presence of strong spin-orbit interaction demonstrate quantitative agreement with experimental results, which indicates that the observed anisotropy can be explained by the anisotropic hole g-factor and the surface Dresselhaus spin-orbit coupling.

  7. Performance of Series Connected GaAs Photovoltaic Converters under Multimode Optical Fiber Illumination

    Directory of Open Access Journals (Sweden)

    Tiqiang Shan

    2014-01-01

    Full Text Available In many military and industrial applications, GaAs photovoltaic (PV converters are connected in series in order to generate the required voltage compatible with most common electronics. Multimode optical fibers are usually used to carry high-intensity laser and illuminate the series connected GaAs PV converters in real time. However, multimode optical fiber illumination has a speckled intensity pattern. The series connected PV array is extremely sensitive to nonuniform illumination; its performance is limited severely by the converter that is illuminated the least. This paper quantifies the effects of multimode optical fiber illumination on the performance of series connected GaAs PV converters, analyzes the loss mechanisms due to speckles, and discusses the maximum illumination efficiency. In order to describe the illumination dependent behavior detailedly, modeling of the series connected PV array is accomplished based on the equivalent circuit for PV cells. Finally, a series of experiments are carried out to demonstrate the theory analysis.

  8. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  9. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  10. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  11. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  12. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  13. Monte Carlo simulation of THz radiation from GaAs p-i-n diodes under high electric fields using an extended valley model

    International Nuclear Information System (INIS)

    Dinh Nhu Thao

    2008-01-01

    We have applied a self-consistent ensemble Monte Carlo simulation procedure using an extended valley model to consider the THz radiation from GaAs p-i-n diodes under high electric fields. The present calculation has shown an important improvement of the numerical results when using this model instead of the usual valley model. It has been shown the importance of the full band-structure in the simulation of processes in semiconductors, especially under the influence of high electric fields. (author)

  14. RF-MMW Dipole Antenna Arrays From Laser Illuminated GaAs

    National Research Council Canada - National Science Library

    Umphenour, D

    1998-01-01

    High resistivity photoconductive Gallium Arsenide (GaAs) can be used as elemental Hertzian dipole antenna arrays in which the time varying dipole current is produced by temporally modulating a laser (0.63um...

  15. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  16. Density-dependent electron scattering in photoexcited GaAs

    DEFF Research Database (Denmark)

    Mics, Zoltán; D'’Angio, Andrea; Jensen, Søren A.

    2013-01-01

    —In a series of systematic optical pump - terahertz probe experiments we study the density-dependent electron scattering rate in photoexcited GaAs in a large range of carrier densities. The electron scattering time decreases by as much as a factor of 4, from 320 to 60 fs, as the electron density...

  17. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  18. SXPS study of model GaAs(100)/electrolyte interface

    Energy Technology Data Exchange (ETDEWEB)

    Lebedev, Mikhail V. [A.F. Ioffe Physico-Technical Institute, Russian Academy of Sciences, St. Petersburg (Russian Federation); Mankel, Eric; Mayer, Thomas; Jaegermann, Wolfram [Institute of Material Sciences, Darmstadt University of Technology, Darmstadt (Germany)

    2010-02-15

    Model GaAs(100)/electrolyte interfaces are prepared in vacuum by co-adsorption of Cl{sub 2} and 2-propanol molecules at LN{sub 2} temperature. On adsorption of Cl{sub 2} molecules gallium chlorides, elemental arsenic and arsenic chlorides are formed. Co-adsorption of 2-propanol causes formation of additional GaCl{sub 3} and AsCl, as well as soluble/volatile As-based complexes, which are released from the surface depleting the sur- face by arsenic. Comparison of the As 3d and Ga 3d spectra obtained after heating the model interface to room temperature with the corresponding spectra obtained after emersion of the GaAs(100) surface from HCl/2-propanol solution allows to conclude that in HCl solution Cl{sup -} ions attack gallium sites and H{sup +} ions mostly attack arsenic sites. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Vacancies and negative ions in GaAs

    International Nuclear Information System (INIS)

    Corbel, C.

    1991-01-01

    We use positron lifetime studies performed in GaAs materials to show the defect properties which can be investigated by implanting positive positrons in semiconductors. The studies concern native and electron irradiation induced defects. These studies show that vacancy charge state and vacancy ionization levels can be determined from positron annihilation. They show also that positrons are trapped by negative ions and give information on their concentration

  20. 2 GHz self-aligning tandem A/D converter for SAR

    DEFF Research Database (Denmark)

    Søbjærg, Sten Schmidl; Christensen, Erik Lintz

    2001-01-01

    digitizing, and the other is to digitize the signal before digital I/Q demodulation. In both cases the digitizing may be performed by a digital front end (DFE) with two parallel analog-to-digital-converters (ADCs) sampling at 1 GHz in phase or in anti-phase respectively, provided the analog bandwidth...... of the ADC is sufficient. In the first case each ADC has to digitize a 0-400 MHz signal, and in the second case both ADCs have to digitize a 100-900 MHz signal. In both cases the sampling time alignment is a critical parameter. The paper addresses some aspects of ADC alignment in the implementation of a DFE...

  1. The GEM Detector projective alignment simulation system

    International Nuclear Information System (INIS)

    Wuest, C.R.; Belser, F.C.; Holdener, F.R.; Roeben, M.D.; Paradiso, J.A.; Mitselmakher, G.; Ostapchuk, A.; Pier-Amory, J.

    1993-01-01

    Precision position knowledge (< 25 microns RMS) of the GEM Detector muon system at the Superconducting Super Collider Laboratory (SSCL) is an important physics requirement necessary to minimize sagitta error in detecting and tracking high energy muons that are deflected by the magnetic field within the GEM Detector. To validate the concept of the sagitta correction function determined by projective alignment of the muon detectors (Cathode Strip Chambers or CSCs), the basis of the proposed GEM alignment scheme, a facility, called the ''Alignment Test Stand'' (ATS), is being constructed. This system simulates the environment that the CSCs and chamber alignment systems are expected to experience in the GEM Detector, albeit without the 0.8 T magnetic field and radiation environment. The ATS experimental program will allow systematic study and characterization of the projective alignment approach, as well as general mechanical engineering of muon chamber mounting concepts, positioning systems and study of the mechanical behavior of the proposed 6 layer CSCs. The ATS will consist of a stable local coordinate system in which mock-ups of muon chambers (i.e., non-working mechanical analogs, representing the three superlayers of a selected barrel and endcap alignment tower) are implemented, together with a sufficient number of alignment monitors to overdetermine the sagitta correction function, providing a self-consistency check. This paper describes the approach to be used for the alignment of the GEM muon system, the design of the ATS, and the experiments to be conducted using the ATS

  2. Burst annealing of high temperature GaAs solar cells

    Science.gov (United States)

    Brothers, P. R.; Horne, W. E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles.

  3. Burst annealing of high temperature GaAs solar cells

    International Nuclear Information System (INIS)

    Brothers, P.R.; Horne, W.E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 degree C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles

  4. Optical properties of GaAs

    International Nuclear Information System (INIS)

    Akinlami, J. O.; Ashamu, A. O.

    2013-01-01

    We have investigated the optical properties of gallium arsenide (GaAs) in the photon energy range 0.6–6.0 eV. We obtained a refractive index which has a maximum value of 5.0 at a photon energy of 3.1 eV; an extinction coefficient which has a maximum value of 4.2 at a photon energy of 5.0 eV; the dielectric constant, the real part of the complex dielectric constant has a maximum value of 24 at a photon energy of 2.8 eV and the imaginary part of the complex dielectric constant has a maximum value of 26.0 at a photon energy of 4.8 eV; the transmittance which has a maximum value of 0.22 at a photon energy of 4.0 eV; the absorption coefficient which has a maximum value of 0.22 × 10 8 m −1 at a photon energy of 4.8 eV, the reflectance which has a maximum value of 0.68 at 5.2eV; the reflection coefficient which has a maximum value of 0.82 at a photon energy of 5.2 eV; the real part of optical conductivity has a maximum value of 14.2 × 10 15 at 4.8 eV and the imaginary part of the optical conductivity has a maximum value of 6.8 × 10 15 at 5.0 eV. The values obtained for the optical properties of GaAs are in good agreement with other results. (semiconductor physics)

  5. Real-time observation of FIB-created dots and ripples on GaAs

    International Nuclear Information System (INIS)

    Rose, F; Fujita, H; Kawakatsu, H

    2008-01-01

    We report a phenomenological study of Ga dots and ripples created by a focused ion beam (FIB) on the GaAs(001) surface. Real-time observation of dot diffusion and ripple formation was made possible by recording FIB movies. In the case of FIB irradiation with a 40 nA current of Ga + ions accelerated under 40 kV with an incidence angle of θ = 30 0 , increasing ion dose gives rise to three different regimes. In Regime 1, dots with lateral sizes in the range 50-460 nm are formed. Dots diffuse under continuous sputtering. In Regime 2, dots self-assemble into Bradley and Harper (BH) type ripples with a pseudo-period of λ = 1150 ± 25 nm. In Regime 3, ripples are eroded and the surface topology evolves into microplanes. In the case of normal incidence, FIB sputtering leads only to the formation of dots, without surface rippling

  6. Femtosecond coherent emission from GaAs bulk microcavities

    Science.gov (United States)

    Gurioli, Massimo; Bogani, Franco; Ceccherini, Simone; Colocci, Marcello; Beltram, Fabio; Sorba, Lucia

    1999-02-01

    The emission from a λ/2 GaAs bulk microcavity resonantly excited by femtosecond pulses has been characterized by using an interferometric correlation technique. It is found that the emission is dominated by the coherent signal due to light elastically scattered by disorder, and that scattering is predominantly originated from the lower polariton branch.

  7. Tunneling effect on double potential barriers GaAs and PbS

    Science.gov (United States)

    Prastowo, S. H. B.; Supriadi, B.; Ridlo, Z. R.; Prihandono, T.

    2018-04-01

    A simple model of transport phenomenon tunnelling effect through double barrier structure was developed. In this research we concentrate on the variation of electron energy which entering double potential barriers to transmission coefficient. The barriers using semiconductor materials GaAs (Galium Arsenide) with band-gap energy 1.424 eV, distance of lattice 0.565 nm, and PbS (Lead Sulphide) with band gap energy 0.41 eV distance of lattice is 18 nm. The Analysisof tunnelling effect on double potentials GaAs and PbS using Schrodinger’s equation, continuity, and matrix propagation to get transmission coefficient. The maximum energy of electron that we use is 1.0 eV, and observable from 0.0025 eV- 1.0 eV. The shows the highest transmission coefficient is0.9982 from electron energy 0.5123eV means electron can pass the barriers with probability 99.82%. Semiconductor from materials GaAs and PbS is one of selected material to design semiconductor device because of transmission coefficient directly proportional to bias the voltage of semiconductor device. Application of the theoretical analysis of resonant tunnelling effect on double barriers was used to design and develop new structure and combination of materials for semiconductor device (diode, transistor, and integrated circuit).

  8. Subnanosecond, high voltage photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L. (Lawrence Livermore National Lab., CA (USA)); O' Bannon, B.J. (Rockwell International Corp., Anaheim, CA (USA))

    1990-01-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating high-power microwaves (HPM) and for high rep-rate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanche-like mode (the optical pulse only controls switch closing). Operating in the linear mode, we have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lock-on modes, high fields are switched with lower laser pulse energies, resulting in higher efficiencies; but with measurable switching delay and jitter. We are currently investigating both large area (1 cm{sup 2}) and small area (<1 mm{sup 2}) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 {mu}m.

  9. X-ray imaging bilinear staggered GaAs detectors

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A.; Dvoryankin, V.F. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A

    2004-09-21

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 {mu}A min/(Gy cm{sup 2}). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received.

  10. X-ray imaging bilinear staggered GaAs detectors

    International Nuclear Information System (INIS)

    Achmadullin, R.A.; Dvoryankin, V.F.; Dvoryankina, G.G.; Dikaev, Y.M.Yu.M.; Krikunov, A.I.; Kudryashov, A.A.; Panova, T.M.; Petrov, A.G.; Telegin, A.A.

    2004-01-01

    The multichannel bilinear X-ray detector based on epitaxial GaAs structures is developed to obtain a digital X-ray image. Each detector operates in photovoltaic mode without reverse bias that enables almost complete elimination of detector noise arising due to leakage currents. The sensitivity range of the epitaxial GaAs photovoltaic X-ray detector covers the effective energies from 8 to 120 keV. A maximum response of the detector operating in the short-circuit mode was observed at an energy of 35 keV and amounted to 30 μA min/(Gy cm 2 ). The multichannel detector was made of 1024 pixels with pitch of 0.8 mm. The spatial resolution of double staggered sensor row is twice as high as the resolution of that of single sensor row with the same pitch. Measured spatial resolution is 1.2 line-pairs/mm, contrast sensitivity not worse 1% and dynamic range defined as the ratio of maximum detectable X-ray signal to electronic noise level more than 2000 are received

  11. Disruption of Higher Order DNA Structures in Friedreich's Ataxia (GAA)(n) Repeats by PNA or LNA Targeting

    DEFF Research Database (Denmark)

    Bergquist, Helen; Rocha, Cristina S. J.; Alvarez-Asencio, Ruben

    2016-01-01

    Expansion of (GAA)n repeats in the first intron of the Frataxin gene is associated with reduced mRNA and protein levels and the development of Friedreich’s ataxia. (GAA)n expansions form non-canonical structures, including intramolecular triplex (H-DNA), and R-loops and are associated with epigen...

  12. Origin of the suppression in low frequency terahertz conductivity in dilute GaAs nitride and bismide alloys

    DEFF Research Database (Denmark)

    Cocker, Tylor; Lu, Xianfeng; Cooke, David

    We have performed time-resolved terahertz spectroscopy on GaAs1-xBix (x=7%) and observed a low-frequency suppression of the real conductivity previously seen only in dilute GaAs nitrides. We have developed a modified Drude model with a frequency-dependent scattering time that provides excellent...

  13. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  14. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  15. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  16. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  17. GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles

    Energy Technology Data Exchange (ETDEWEB)

    Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-02-11

    For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.

  18. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  19. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. The influence of post-growth annealing on the optical properties of InAs quantum dot chains grown on pre-patterned GaAs(100)

    International Nuclear Information System (INIS)

    Hakkarainen, T V; Polojärvi, V; Schramm, A; Tommila, J; Guina, M

    2012-01-01

    We report on the effect of post-growth thermal annealing of [011]-, [01 1-bar ]-, and [010]-oriented quantum dot chains grown by molecular beam epitaxy on GaAs(100) substrates patterned by UV-nanoimprint lithography. We show that the quantum dot chains experience a blueshift of the photoluminescence energy, spectral narrowing, and a reduction of the intersubband energy separation during annealing. The photoluminescence blueshift is more rapid for the quantum dot chains than for self-assembled quantum dots that were used as a reference. Furthermore, we studied polarization resolved photoluminescence and observed that annealing reduces the intrinsic optical anisotropy of the quantum dot chains and the self-assembled quantum dots. (paper)

  1. GaAs low-energy X-ray radioluminescence nuclear battery

    Science.gov (United States)

    Zhang, Zheng-Rong; Liu, Yun-Peng; Tang, Xiao-Bin; Xu, Zhi-Heng; Yuan, Zi-Cheng; Liu, Kai; Chen, Wang

    2018-01-01

    The output properties of X-ray radioluminescence (RL) nuclear batteries with different phosphor layers were investigated by using low-energy X-ray. Results indicated that the values of electrical parameters increased as the X-ray energy increased, and the output power of nuclear battery with ZnS:Cu phosphor layer was greater than those of batteries with ZnS:Ag, (Zn,Cd)S:Cu or Y2O3:Eu phosphor layers under the same excitation conditions. To analyze the RL effects of the phosphor layers under X-ray excitation, we measured the RL spectra of the different phosphor layers. Their fluorescence emissions were absorbed by the GaAs device. In addition, considering luminescence utilization in batteries, we introduced an aluminum (Al) film between the X-ray emitter and phosphor layer. Al film is a high performance reflective material and can increase the fluorescence reaching the GaAs photovoltaic device. This approach significantly improved the output power of the battery.

  2. 2.5 Gb/s laser-driver GaAS IC

    DEFF Research Database (Denmark)

    Riishøj, Jesper

    1993-01-01

    A laser-diode driver GaAs IC incorporating an optional NRZ/RZ (non-return-to-zero/return-to-zero) conversion facility, having ECL (emitter-coupled logic) and SCFL (source-coupled FET logic)-compatible inputs and providing a 0-60-mA adjustable output current into a 50-Ω/5-V termination at bit rates...... obtained. To verify laser driving performance a back-to-back optical-fiber transmission experiment was performed, giving good optical eye diagrams at 2.5 Gb/s. The electrooptical interplay between laser-diode driver and laser-diode has been demonstrated using SPICE simulations...... up to 2 Gb/s NRZ and maintaining a clear eye opening of 50 mA at 2.5 Gb/s NRZ bit rate has been designed, using a commercial 1-μm gate-length (Fτ=12 GHz) GaAs MESFET foundry service. The high maximum output current is obtained by implementing the output driver as a cascode differential amplifier...

  3. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    Science.gov (United States)

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  4. Pseudo-Rhombus-Shaped Subwavelength Crossed Gratings of GaAs for Broadband Antireflection

    International Nuclear Information System (INIS)

    Chen Xi; Zhang Jing; Song Guo-Feng; Chen Liang-Hui; Fan Zhong-Chao

    2010-01-01

    Holographic lithography coupled with the nonlinear response of photoresist to the exposure is adopted to fabricate porous photoresist (PR) mask. Conventional dot PR mask is also generated, and both patterns are transferred into a underlying GaAs substrate by the optimal dry etching process to obtain tapered subwavelength crossed gratings (SWCGs) to mimic the moth-eye structure. In comparison of the experiment and simulation, the closely-packed pseudo-rhombus-shaped GaAs SWCGs resulting from the porous mask outperforms the conical counterpart which comes from the dot mask, and achieves a reported lowest mean spectral reflectance of 1.1%. (fundamental areas of phenomenology(including applications))

  5. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  6. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  7. Cantilever arrays with self-aligned nanotips of uniform height

    International Nuclear Information System (INIS)

    Koelmans, W W; Peters, T; Berenschot, E; De Boer, M J; Siekman, M H; Abelmann, L

    2012-01-01

    Cantilever arrays are employed to increase the throughput of imaging and manipulation at the nanoscale. We present a fabrication process to construct cantilever arrays with nanotips that show a uniform tip–sample distance. Such uniformity is crucial, because in many applications the cantilevers do not feature individual tip–sample spacing control. Uniform cantilever arrays lead to very similar tip–sample interaction within an array, enable non-contact modes for arrays and give better control over the load force in contact modes. The developed process flow uses a single mask to define both tips and cantilevers. An additional mask is required for the back side etch. The tips are self-aligned in the convex corner at the free end of each cantilever. Although we use standard optical contact lithography, we show that the convex corner can be sharpened to a nanometre scale radius by an isotropic underetch step. The process is robust and wafer-scale. The resonance frequencies of the cantilevers within an array are shown to be highly uniform with a relative standard error of 0.26% or lower. The tip–sample distance within an array of up to ten cantilevers is measured to have a standard error around 10 nm. An imaging demonstration using the AFM shows that all cantilevers in the array have a sharp tip with a radius below 10 nm. The process flow for the cantilever arrays finds application in probe-based nanolithography, probe-based data storage, nanomanufacturing and parallel scanning probe microscopy. (paper)

  8. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  9. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  10. Promotion effect of monovalent metals (K and Cs) on the GaAs (110) surface oxidation

    International Nuclear Information System (INIS)

    Valeri, S.; Sberveglieri, P.; Angeli, E.

    1987-01-01

    The effect of thin (∼ 1 monolayer) overlayers of low electronegativity metals (Cs and K) on the RT oxidation behaviour of GaAs(110) cleavage surface is studied. This study was with Auger and Photoemission spectroscopies. Attention has been focused on the core-valence-valence and Auger lineshapes on the Ga and As 3d peaks. Presence of the alkali metal enhances the GaAs (110) oxidation rate several orders of magnitude above the clean surface value has been found. The range 0-100 Langmuir is investigated in detail. The oxidation process of the GaAs(110) surface in the presence of both K and Cs overlayer follows a multi-step kinetic and reaches a saturation at exposure lower than 100 Langmuir. Both Ga and As atoms are involved in the oxygen bonding. The metal enhanced semiconductor oxidation is generally reported to be a process involving predominantly the semiconductor surface atoms. However in the Cs - and K - GaAs case, an involvement of the alkali metal atoms too, reflected in the shape modification of their Auger line has been found. The promotion effect of K and Cs is discussed in terms of their low electronegativity and in comparison with the results recently reported in the literature for the other low electronegativity metals

  11. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    Science.gov (United States)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  12. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  13. Diffusion of $^{56}$Co in GaAs and SiGe alloys

    CERN Multimedia

    Koskelo, O K

    2007-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of cobalt in GaAs and SiGe alloys under intrinsic conditions. In the literature only three previous studies for Co diffusion in GaAs may be found and the results differ by over four orders of magnitude from each other. For Co diffusion in SiGe alloys no previous data is available in the literature. For Co diffusion in Ge one study may be found but the results have been obtained with material having increased dislocation density. For dislocation-free material no previous measurements are available. For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{56}$Co$^{+}$ ion beam.

  14. Bismuth alloying properties in GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Lu [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Lu, Pengfei, E-mail: photon.bupt@gmail.com [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Cao, Huawei; Cai, Ningning; Yu, Zhongyuan [State Key Laboratory of Information Photonics and Optical Communications, Ministry of Education, Beijing University of Posts and Telecommunications, PO Box 72, Beijing 100876 (China); Gao, Tao [Institute of Atomic and Molecular Physics, Sichuan University, Chengdu 610065 (China); Wang, Shumin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Photonics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Gothenburg (Sweden)

    2013-09-15

    First-principles calculations have been performed to investigate the structural, electronic and optical properties of bismuth alloying in GaAs nanowires. A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration and the band edge shifts when spin–orbit coupling (SOC) is considered. The insertion of Bi atom leads to hybridization of Ga/As/Bi p states which contributes a lot around Fermi level. Scissor effect is involved. The optical properties are presented, including dielectric function, optical absorption spectra and reflectivity, which are also varied with the increasing of Bi concentrations. - Graphical abstract: Top view of Bi-doped GaAs nanowires. Ga, As, and Bi atoms are denoted with grey, purple and red balls, respectively. Display Omitted - Highlights: • A typical model of Ga{sub 31}As{sub 31} nanowires is introduced for its reasonable band gap. • The band gap of GaAs{sub 1−x}Bi{sub x} shrinks clearly with the increasing Bi concentration. • The band edge shifts when spin–orbit coupling (SOC) is considered. • The insertion of Bi atom leads to hybridization of Ga/As/Bi p states.

  15. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  16. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  17. Critical thresholds in flocking hydrodynamics with non-local alignment.

    Science.gov (United States)

    Tadmor, Eitan; Tan, Changhui

    2014-11-13

    We study the large-time behaviour of Eulerian systems augmented with non-local alignment. Such systems arise as hydrodynamic descriptions of agent-based models for self-organized dynamics, e.g. Cucker & Smale (2007 IEEE Trans. Autom. Control 52, 852-862. (doi:10.1109/TAC.2007.895842)) and Motsch & Tadmor (2011 J. Stat. Phys. 144, 923-947. (doi:10.1007/s10955-011-0285-9)) models. We prove that, in analogy with the agent-based models, the presence of non-local alignment enforces strong solutions to self-organize into a macroscopic flock. This then raises the question of existence of such strong solutions. We address this question in one- and two-dimensional set-ups, proving global regularity for subcritical initial data. Indeed, we show that there exist critical thresholds in the phase space of the initial configuration which dictate the global regularity versus a finite-time blow-up. In particular, we explore the regularity of non-local alignment in the presence of vacuum. © 2014 The Author(s) Published by the Royal Society. All rights reserved.

  18. Aligning the unalignable: bacteriophage whole genome alignments.

    Science.gov (United States)

    Bérard, Sèverine; Chateau, Annie; Pompidor, Nicolas; Guertin, Paul; Bergeron, Anne; Swenson, Krister M

    2016-01-13

    In recent years, many studies focused on the description and comparison of large sets of related bacteriophage genomes. Due to the peculiar mosaic structure of these genomes, few informative approaches for comparing whole genomes exist: dot plots diagrams give a mostly qualitative assessment of the similarity/dissimilarity between two or more genomes, and clustering techniques are used to classify genomes. Multiple alignments are conspicuously absent from this scene. Indeed, whole genome aligners interpret lack of similarity between sequences as an indication of rearrangements, insertions, or losses. This behavior makes them ill-prepared to align bacteriophage genomes, where even closely related strains can accomplish the same biological function with highly dissimilar sequences. In this paper, we propose a multiple alignment strategy that exploits functional collinearity shared by related strains of bacteriophages, and uses partial orders to capture mosaicism of sets of genomes. As classical alignments do, the computed alignments can be used to predict that genes have the same biological function, even in the absence of detectable similarity. The Alpha aligner implements these ideas in visual interactive displays, and is used to compute several examples of alignments of Staphylococcus aureus and Mycobacterium bacteriophages, involving up to 29 genomes. Using these datasets, we prove that Alpha alignments are at least as good as those computed by standard aligners. Comparison with the progressive Mauve aligner - which implements a partial order strategy, but whose alignments are linearized - shows a greatly improved interactive graphic display, while avoiding misalignments. Multiple alignments of whole bacteriophage genomes work, and will become an important conceptual and visual tool in comparative genomics of sets of related strains. A python implementation of Alpha, along with installation instructions for Ubuntu and OSX, is available on bitbucket (https://bitbucket.org/thekswenson/alpha).

  19. Homozygotic intronic GAA mutation in three siblings with late-onset Pompe's disease Mutação homozigótica intrônica no gene GAA em três irmãos com doença de Pompe de início tardio

    Directory of Open Access Journals (Sweden)

    Anderson Kuntz Grzesiuk

    2010-04-01

    Full Text Available Pompe's disease (PD is a metabolic myopathy caused by the accumulation of lysosomal glycogen, secondary to acid α-glucosidase (GAA enzyme deficiency. Childhood and late-onset forms are described, differing by the age of onset and symptoms. In this study were analyzed affected siblings with Pompe's disease (PD and their distinct clinical and pathological presentations. METHOD: Diagnosis was performed by the clinical presentation of limb-girdle dystrophies and respiratory compromise. Confirmatory diagnoses were conducted by muscle biopsy, GAA activity measurement and by GAA gene genotyping. RESULTS: The findings suggested muscular involvement due to GAA deficiency. GAA genotyping showed they are homozygous for the c.-32-3C>A mutation. CONCLUSION: Herein we reported a family where three out of five siblings were diagnosed with late-onset PD, although it is a rare metabolic disease inherited in an autossomal recessive manner. We emphasize the importance of including this presentation within the differential diagnoses of the limb-girdle dystrophies once enzyme replacement therapy is available.A doença de Pompe (DP é uma miopatia originada do acúmulo lisossomal de glicogênio, devido à deficiência da enzima α-glicosidase ácida (GAA, sendo descritas formas de inicio precoce e tardio. Neste estudo analisamos retrospectivamente o perfil clinico e patológico de 3 irmãos portadores de doença de Pompe de inicio tardio. MÉTODO: O diagnóstico foi realizado mediante apresentação clinica de distrofia de cinturas associado a comprometimento respiratório, sendo confirmado por biópsia muscular e análise da atividade e genotipagem da GAA. RESULTADOS: Os exames clínicos e laboratoriais demonstram envolvimento muscular devido à deficiência da GAA, com uma mutação c.-32-3C>A em homozigose. CONCLUSÃO: Relatamos os aspectos clínicos e laboratoriais de 3 irmãos afetados por doença de Pompe de início tardio. Enfatizamos a importância de

  20. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  1. Antisites and anisotropic diffusion in GaAs and GaSb

    KAUST Repository

    Tahini, H. A.; Bracht, H.; Chroneos, Alexander; Grimes, R. W.; Murphy, S. T.; Schwingenschlö gl, Udo

    2013-01-01

    The significant diffusion of Ga under Ga-rich conditions in GaAs and GaSb is counter intuitive as the concentration of Ga vacancies should be depressed although Ga vacancies are necessary to interpret the experimental evidence for Ga transport

  2. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  3. X-ray diffraction study on pressure-induced phase transformation in nanocrystalline GaAs

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Olsen, J. S.; Gerward, Leif

    2002-01-01

    We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two-component ......We have shown that the onset and transition pressures of the GaAs I --> II transition are 17 GPa and 20 GPa, respectively, for both bulk and nanophase material. The observed gradual change in resistivity of nanophase GaAs,at the semiconductor-to-metal transition is explained by the two...

  4. Stable Amplification and High Current Drop Bistable Switching in Supercritical GaAs Tills

    DEFF Research Database (Denmark)

    Izadpanah, S.H; Jeppsson, B; Jeppesen, Palle

    1974-01-01

    Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance.......Bistable switching with current drops of 40% and switching times of 100 ps are obtained in pulsed operation of 10¿m supercritically doped n+ nn+ GaAs Transferred Electron Devices (TEDs). When CW-operated the same devices exhibit a 5-17 GHz bandwidth for the stable negative resistance....

  5. Study on Dynamic Alignment Technology of COIL Resonator

    International Nuclear Information System (INIS)

    Xiong, M D; Zou, X J; Guo, J H; Jia, S N; Zhang, Z B

    2006-01-01

    The performance of great power chemical oxygen-iodine laser (COIL) beam is decided mostly by resonator mirror maladjustment and environment vibration. To improve the performance of light beam, an auto-alignment device is used in COIL resonator, the device can keep COIL resonator collimating by adjusting the optical components of resonator. So the coupling model of COIL resonator is present. The multivariable self study fuzzy uncoupling arithmetic and six-dimensional micro drive technology are used to design a six-input-three-output uncoupling controller, resulting in the realization of the high precision dynamic alignment. The experiments indicate that the collimating range of this system is 8 mrad, precision is 5 urad and frequency response is 20Hz, which meet the demand of resonator alignment system

  6. Self-organized InGaAs/GaAs quantum dot arrays for use in high-efficiency intermediate-band solar cells

    International Nuclear Information System (INIS)

    Shoji, Yasushi; Okada, Yoshitaka; Akimoto, Katsuhiro

    2013-01-01

    We have investigated the material properties of multi-layer stacked InGaAs/GaAs quantum dots (QDs) grown on GaAs (311)B substrates. Symmetrical lens-shaped QDs were observed along [01-1], while their shape was asymmetric along the [-233] azimuth surrounded by two different dominant facets. Further, QDs were vertically aligned in the [311] direction when viewed along [01-1], while the alignment was inclined with respect to the growth direction when viewed along [-233]. The inclination angle of vertical alignment QDs became monotonically smaller from 22° to 2° with decreasing spacer layer thickness from 40 to 20 nm. Time-resolved photoluminescence measurements showed that multi-stacked QDs with thinner spacer layers resulted in increased PL decay times. We believe that an electronically coupled QD state or an intermediate band was formed, if the spacer layer thickness was reduced below 20 nm in this material system. For an InGaAs/GaAs QD solar cell grown on a GaAs (311)B substrate, the external quantum efficiency showed a clear increase in the longer wavelength range due to an additive contribution from the QD layers. Furthermore, photocurrent production due to two-step absorption of sub-bandgap photons, which is a key element that is necessary to be demonstrated for an increase in the efficiency of a single-junction solar cell beyond the Shockley-Queisser, was observed at room temperature under one sun condition. This photocurrent production increased under a forward-bias regime as the QDs were partially filled with carriers under the bias.

  7. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  8. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  9. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  10. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  11. Optical pumping and negative luminescence polarization in charged GaAs quantum dots

    Science.gov (United States)

    Shabaev, Andrew; Stinaff, Eric A.; Bracker, Allan S.; Gammon, Daniel; Efros, Alexander L.; Korenev, Vladimir L.; Merkulov, Igor

    2009-01-01

    Optical pumping of electron spins and negative photoluminescence polarization are observed when interface quantum dots in a GaAs quantum well are excited nonresonantly by circularly polarized light. Both observations can be explained by the formation of long-lived dark excitons through hole spin relaxation in the GaAs quantum well prior to exciton capture. In this model, optical pumping of resident electron spins is caused by capture of dark excitons and recombination in charged quantum dots. Negative polarization results from accumulation of dark excitons in the quantum well and is enhanced by optical pumping. The dark exciton model describes the experimental results very well, including intensity and bias dependence of the photoluminescence polarization and the Hanle effect.

  12. Modulating the forces between self-assembling molecules to control the shape of vesicles and the mechanics and alignment of nanofiber networks

    Science.gov (United States)

    Greenfield, Megan Ann

    One of the great challenges in supramolecular chemistry is the design of molecules that can self-assemble into functional aggregates with well-defined three-dimensional structures and bulk material properties. Since the self-assembly of nanostructures is greatly influenced by both the nature of the self-assembling components and the environmental conditions in which the components assemble, this work explores how changes in the molecular design and the environment affect the properties of self-assembled structures. We first explore how to control the mechanical properties of self-assembled fibrillar networks by changing environmental conditions. We report here on how changing pH, screening ions, and solution temperature affect the gelation, stiffness, and response to deformation of peptide amphiphile gels. Although the morphology of PA gels formed by charge neutralization and salt-mediated charge screening are similar by electron microscopy, rheological measurements indicate that the calcium-mediated ionic bridges in CaCl2-PA gels form stronger intra- and inter-fiber crosslinks than the hydrogen bonds formed by the protonated carboxylic acid residues in HCl-PA gels. In contrast, the structure of PA gels changes drastically when the PA solution is annealed prior to gel formation. Annealed PA solutions are birefringent and can form viscoelastic strings of aligned nanofibers when manually dragged across a thin film of CaCl2. These aligned arrays of PA nanofibers hold great promise in controlling the orientation of cells in three-dimensions. Separately, we applied the principles of molecular design to create buckled membrane nanostructures that mimic the shape of viruses. When oppositely charged amphiphilic molecules are mixed they can form vesicles with a periodic two-dimensional ionic lattice that opposes the membrane's natural curvature and can result in vesicle buckling. Our results demonstrate that a large +3 to -1 charge imbalance between the cationic and anionic

  13. The influence of γ-irradiation cobalt 60 on electrical properties of undoped GaAs treated with hydrogen plasma

    International Nuclear Information System (INIS)

    Korshunov, F.P.; Kurilovich, N.F.; Prokhorenko, T.A.; Bumaj, Yu.A.; Ul'yashin, A.G.

    1999-01-01

    The influence of exposition to a hydrogen plasma (hydrogenation) on the electrical properties alteration under gamma-irradiation in bulk GaAs have been investigated. It is shown that crystals hydrogenation before irradiation leads to particularly passivation of electrically active defects that are responsible for carriers scattering and removing processes in irradiated crystals. Radiation defects thermostability in hydrogenated GaAs crystals is lower than that in non hydrogenated ones. The energetic levels position of main defect that effects on electrical properties alteration after irradiation in GaAs crystals was detected. It is equal to E D =E C -0,125±0,0005 eV

  14. Infrared readout electronics; Proceedings of the Meeting, Orlando, FL, Apr. 21, 22, 1992

    Science.gov (United States)

    Fossum, Eric R.

    The present volume on IR readout electronics discusses cryogenic readout using silicon devices, cryogenic readout using III-V and LTS devices, multiplexers for higher temperatures, and focal-plane signal processing electronics. Attention is given to the optimization of cryogenic CMOS processes for sub-10-K applications, cryogenic measurements of aerojet GaAs n-JFETs, inP-based heterostructure device technology for ultracold readout applications, and a three-terminal semiconductor-superconductor transimpedance amplifier. Topics addressed include unfulfilled needs in IR astronomy focal-plane readout electronics, IR readout integrated circuit technology for tactical missile systems, and radiation-hardened 10-bit A/D for FPA signal processing. Also discussed are the implementation of a noise reduction circuit for spaceflight IR spectrometers, a real-time processor for staring receivers, and a fiber-optic link design for INMOS transputers.

  15. Laser-induced band-gap collapse in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-03-01

    We present experimentally determined values of the dielectric constant of GaAs at photon energies of 2.2 and 4.4 eV following excitation of the sample with 1.9-eV, 70-fs laser pulses spanning a fluence range from 0 to 2.5 kJ/m2. The data show that the response of the dielectric constant to the excitation is dominated by changes in the electronic band structure and not by the optical susceptibility of the excited free carriers. The behavior of the dielectric constant indicates a drop in the average bonding-antibonding splitting of GaAs following the laser-pulse excitation. This drop in the average splitting leads to a collapse of the band gap on a picosecond time scale for excitation at fluences near the damage threshold of 1.0 kJ/m2 and on a subpicosecond time scale at higher excitation fluences. The changes in the electronic band structure result from a combination of electronic screening of the ionic potential as well as structural deformation of the lattice caused by the destabilization of the covalent bonds.

  16. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  17. Biexciton emission from single isoelectronic traps formed by nitrogen-nitrogen pairs in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Takamiya, Kengo; Fukushima, Toshiyuki; Yagi, Shuhei; Hijikata, Yasuto; Yaguchi, Hiroyuki [Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku , Saitama 338-8570 (Japan); Mochizuki, Toshimitsu; Yoshita, Masahiro; Akiyama, Hidefumi [Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Kuboya, Shigeyuki; Onabe, Kentaro [Department of Advanced Materials Science, The University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581 (Japan); Katayama, Ryuji [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2013-12-04

    We have studied photoluminescence (PL) from individual isoelectronic traps formed by nitrogen-nitrogen (NN) pairs in GaAs. Sharp emission lines due to exciton and biexciton were observed from individual isoelectronic traps in nitrogen atomic-layer doped (ALD) GaAs. The binding energy of biexciton bound to individual isoelectronic traps was approximately 8 meV. Both the exciton and biexciton luminescence lines show completely random polarization and no fine-structure splitting. These results are desirable to the application to the quantum cryptography used in the field of quantum information technology.

  18. Lifetime measurements by open circuit voltage decay in GaAs and InP diodes

    International Nuclear Information System (INIS)

    Bhimnathwala, H.G.; Tyagi, S.D.; Bothra, S.; Ghandhi, S.K.; Borrego, J.M.

    1990-01-01

    Minority carrier lifetimes in the base of solar cells made in GaAs and InP are measured by open circuit voltage decay method. This paper describes the measurement technique and the conditions under which the minority carrier lifetimes can be measured. Minority carrier lifetimes ranging from 1.6 to 34 ns in InP of different doping concentrations are measured. A minority carrier lifetime of 6 ns was measured in n-type GaAs which agrees well with the lifetime of 5.7 ns measured by transient microwave reflection

  19. Capacitance-voltage characteristics of GaAs ion-implanted structures

    Directory of Open Access Journals (Sweden)

    Privalov E. N.

    2008-08-01

    Full Text Available A noniterative numerical method is proposed to calculate the barrier capacitance of GaAs ion-implanted structures as a function of the Schottky barrier bias. The features of the low- and high-frequency capacitance-voltage characteristics of these structures which are due to the presence of deep traps are elucidated.

  20. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  1. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    Energy Technology Data Exchange (ETDEWEB)

    Boardman, D

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of {approx}1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/{mu}Gy mm{sup 2}, for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  2. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    International Nuclear Information System (INIS)

    Boardman, D.

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of ∼1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/μGy mm 2 , for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  3. Study of irradiation defects in GaAs

    International Nuclear Information System (INIS)

    Loualiche, S.

    1982-11-01

    Characterization techniques: C(V) differential capacity, DLTS deep level transient spectroscopy, DDLTS double deep level transient spectroscopy and DLOS deep level optical spectroscopy are studied and theoretical and experimental fundamentals are re-examined. In particular the centres created by ionic or electronic bombardment of p-type GaAs. New quantitative theoretical bases for the C(V) method are obtained. Study of the optical properties of traps due to irradiation using DLOS. The nature of irradiation defects are discussed [fr

  4. Semi-insulating GaAs detectors of fast neutrons

    International Nuclear Information System (INIS)

    Sagatova, A.; Sedlackova, K.; Necas, V.; Zatko, B.; Dubecky, F.; Bohacek, P.

    2012-01-01

    The present work deals with the technology of HDPE neutron conversion layer application on the surface of semi-insulating (SI) GaAs detectors via developed polypropylene (PP) based glue. The influence of glue deposition on the electric properties of the detectors was studied as well as the ability of the detectors to register the fast neutrons from "2"3"9Pu-Be neutron source. (authors)

  5. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  6. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  7. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  8. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  9. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  10. Temperature-Driven Change in the Unstable Growth Mode on Patterned GaAs(001)

    International Nuclear Information System (INIS)

    Tadayyon-Eslami, T.; Phaneuf, R. J.; Kan, H.-C.; Calhoun, L. C.

    2006-01-01

    We observe a dramatic change in the unstable growth mode during GaAs molecular beam epitaxy on patterned GaAs(001) as the temperature is lowered through approximately 540 deg. C, roughly coincident with the preroughening temperature. Observations of the As 2 flux dependence, however, rule out thermodynamic preroughening as driving the growth mode change. Similar observations rule out the change in surface reconstruction as the cause. Instead, we find evidence that the change in the unstable growth mode can be explained by a competition between the decreased adatom collection rate on small terraces and a small anisotropic barrier to adatom diffusion downward across step bunches

  11. Negative ion formation in the scattering of state-selected NO+ on GaAs(110)

    International Nuclear Information System (INIS)

    Martin, J.S.; Greeley, J.N.; Morris, J.R.; Ferenchok, B.T.; Jacobs, D.C.

    1993-01-01

    A hyperthermal beam of state-selected NO + X 1 Σ + (v,j) impinges on a clean, well characterized GaAs(110) surface. The resulting two-electron transfer products NO-and O- are independently interrogated with a novel ion imaging technique as a function of NO + translational and vibrational energies. The products are shown to have different appearance thresholds, product translational energy distributions, and NO + vibrational energy dependencies. Most notably, vibrational energy is an order of magnitude more effective that translational energy in activating O- formation at a collision energy of 45 eV. The O- angular distribution exhibits a correlation with translational energy which is asymmetric about the surface normal. These results suggest that the probability of O- formation is dependent on the molecules point of impact with the GaAs (110) surface. The dynamical features of the NO + /GaAs(110) reaction will be discussed in terms of the three independent coordinates addressed in this experiment: the diatom internuclear separation, the molecule-surface distance, and the surface impact parameter

  12. Ultra-broadband Nonlinear Microwave Monolithic Integrated Circuits in SiGe, GaAs and InP

    DEFF Research Database (Denmark)

    Krozer, Viktor; Johansen, Tom Keinicke; Djurhuus, Torsten

    2006-01-01

    .5 GHz and ≫ 10 GHz for SiGe BiCMOS and GaAs MMIC, respectively. Analysis of the frequency behaviour of frequency converting devices is presented for improved mixer design. Millimeter-wave front-end components for advanced microwave imaging and communications purposes have also been demonstrated......Analog MMIC circuits with ultra-wideband operation are discussed in view of their frequency limitation and different circuit topologies. Results for designed and fabricated frequency converters in SiGe, GaAs, and InP technologies are presented in the paper. RF type circuit topologies exhibit a flat...... conversion gain with a 3 dB bandwidth of 10 GHz for SiGe and in excess of 20 GHz for GaAs processes. The concurrent LO-IF isolation is better than -25 dB, without including the improvement due to the combiner circuit. The converter circuits exhibit similar instantaneous bandwidth at IF and RF ports of ≫ 7...

  13. Optical techniques to feed and control GaAs MMIC modules for phased array antenna applications

    Science.gov (United States)

    Bhasin, K. B.; Anzic, G.; Kunath, R. R.; Connolly, D. J.

    1986-01-01

    A complex signal distribution system is required to feed and control GaAs monolithic microwave integrated circuits (MMICs) for phased array antenna applications above 20 GHz. Each MMIC module will require one or more RF lines, one or more bias voltage lines, and digital lines to provide a minimum of 10 bits of combined phase and gain control information. In a closely spaced array, the routing of these multiple lines presents difficult topology problems as well as a high probability of signal interference. To overcome GaAs MMIC phased array signal distribution problems optical fibers interconnected to monolithically integrated optical components with GaAs MMIC array elements are proposed as a solution. System architecture considerations using optical fibers are described. The analog and digital optical links to respectively feed and control MMIC elements are analyzed. It is concluded that a fiber optic network will reduce weight and complexity, and increase reliability and performance, but higher power will be required.

  14. Vertically aligned ZnO nanorods via self-assembled spray pyrolyzed nanoparticles for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Dwivedi, Charu; Dutta, V

    2012-01-01

    Well-aligned zinc oxide (ZnO) nanorods are fabricated on indium-tin-oxide (ITO) coated glass substrates via self-assembly of ZnO nanoparticles created using continuous spray pyrolysis (CoSP) technique. The method involves pre-treatment by dip-coating the substrate with a solution comprising of zinc salt for creating a seed layer, and then spray-pyrolyzed ZnO nanoparticles self-assemble on the pre-treated substrate. The effect of the substrate pre-treatment and the deposition time (t dep ) of nanoparticles is investigated. The results show that the substrate pre-treatment influences the growth of ZnO nanorods which are absent without the pre-treatment. Nanoparticle collection and nanorod growth on different substrates are done simultaneously. The thin films of as-grown nanorods are used as photoelectrode materials to fabricate dye-sensitized solar cells (DSSCs) and the effect of nanorods grown for different times has been studied. The best performance with this cell structure is found for the layer with t dep =15 min, which showed a conversion efficiency of 1.77% for the cell area of 0.25 cm 2

  15. Integration of single-photon sources and detectors on GaAs

    NARCIS (Netherlands)

    Digeronimo, G.E.; Petruzzella, Maurangelo; Birindelli, Simone; Gaudio, Rosalinda; Poor, Sartoon Fattah; van Otten, Frank W.M.; Fiore, Andrea

    2016-01-01

    Quantum photonic integrated circuits (QPICs) on a GaAs platform allow the generation, manipulation, routing, and detection of non-classical states of light, which could pave the way for quantum information processing based on photons. In this article, the prototype of a multi-functional QPIC is

  16. Spin-Relaxation Anisotropy in a GaAs Quantum Dot

    NARCIS (Netherlands)

    Scarlino, P.; Kawakami, E.; Stano, P.; Shafiei, M.; Reichl, C.; Wegscheider, W.; Vandersypen, L.M.K.

    2014-01-01

    We report that the electron spin-relaxation time T1 in a GaAs quantum dot with a spin-1/2 ground state has a 180° periodicity in the orientation of the in-plane magnetic field. This periodicity has been predicted for circular dots as being due to the interplay of Rashba and Dresselhaus spin orbit

  17. Surface study of organopalladium molecules on S-terminated GaAs

    International Nuclear Information System (INIS)

    Konishi, Tomoya; Toujyou, Takashi; Ishikawa, Takuma; Teraoka, Teruki; Ueta, Yukiko; Kihara, Yoshifumi; Moritoki, Hideji; Tono, Tatsuo; Musashi, Mio; Tada, Takashi; Tsukamoto, Shiro; Nishiwaki, Nagatoshi; Fujikawa, Seiji; Takahasi, Masamitu; Bell, Gavin; Shimoda, Masahiko

    2011-01-01

    Organopalladium species ({Pd}) immobilized on an S-terminated GaAs substrate (S/GaAs) effectively catalyzes C-C bond formation in the Mizoroki-Heck reaction with cycle durability. However, the immobilizing mechanism of {Pd} is unknown. In this study, we deposited Pd(OCOCH 3 ) 2 on S/GaAs in two different methods, namely dry-physical vapor-deposition and wetchemical deposition, and compared the catalytic activities in the Mizoroki-Heck reaction. Also, S-termination and {Pd}-immobilization on GaAs grains were performed by the wet-chemical method to monitor the change in the surface chemical structure during the preparation process with diffuse reflectance Fourier transform infrared spectroscopy (FT-IR). FT-IR measurements implied that the immobilization of catalytic active {Pd} was related to the OH groups on the S-terminated surface. {Pd}-S/GaAs prepared dryphysically showed poor catalytic activity, because {Pd} was not immobilized under absence of OH groups. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. The Mn site in Mn-doped GaAs nanowires: an EXAFS study

    International Nuclear Information System (INIS)

    D’Acapito, F; Rovezzi, M; Boscherini, F; Jabeen, F; Bais, G; Piccin, M; Rubini, S; Martelli, F

    2012-01-01

    We present an EXAFS study of the Mn atomic environment in Mn-doped GaAs nanowires. Mn doping has been obtained either via the diffusion of the Mn used as seed for the nanowire growth or by providing Mn during the growth of Au-induced wires. As a general finding, we observe that Mn forms chemical bonds with As but is not incorporated in a substitutional site. In Mn-induced GaAs wires, Mn is mostly found bonded to As in a rather disordered environment and with a stretched bond length, reminiscent of that exhibited by MnAs phases. In Au-seeded nanowires, along with stretched MnAs coordination, we have found the presence of Mn in a MnAu intermetallic compound. (paper)

  19. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  20. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  1. AlignMe—a membrane protein sequence alignment web server

    Science.gov (United States)

    Stamm, Marcus; Staritzbichler, René; Khafizov, Kamil; Forrest, Lucy R.

    2014-01-01

    We present a web server for pair-wise alignment of membrane protein sequences, using the program AlignMe. The server makes available two operational modes of AlignMe: (i) sequence to sequence alignment, taking two sequences in fasta format as input, combining information about each sequence from multiple sources and producing a pair-wise alignment (PW mode); and (ii) alignment of two multiple sequence alignments to create family-averaged hydropathy profile alignments (HP mode). For the PW sequence alignment mode, four different optimized parameter sets are provided, each suited to pairs of sequences with a specific similarity level. These settings utilize different types of inputs: (position-specific) substitution matrices, secondary structure predictions and transmembrane propensities from transmembrane predictions or hydrophobicity scales. In the second (HP) mode, each input multiple sequence alignment is converted into a hydrophobicity profile averaged over the provided set of sequence homologs; the two profiles are then aligned. The HP mode enables qualitative comparison of transmembrane topologies (and therefore potentially of 3D folds) of two membrane proteins, which can be useful if the proteins have low sequence similarity. In summary, the AlignMe web server provides user-friendly access to a set of tools for analysis and comparison of membrane protein sequences. Access is available at http://www.bioinfo.mpg.de/AlignMe PMID:24753425

  2. Facile method to align crystalline needles composed of organic ...

    Indian Academy of Sciences (India)

    2017-09-23

    Sep 23, 2017 ... (using self-assembly) used for the purpose, its disadvantage is that a larger amount of the material dissolves in the solution than what ... Working hypothesis for aligning crystalline needles .... using a home-made equipment.

  3. Radiation effects in pigtailed GaAs and GaA1As LEDs

    International Nuclear Information System (INIS)

    Barnes, C.E.

    1981-06-01

    Permanent and transient radiation effects have been studied in Plessey pigtailed, high radiance GaAs and GaAlAs LEDs using neutron, gamma ray and X-ray sources. The radiation-induced source of degradation in these devices was determined by also examining both bare, unpigtailed LEDs and separate samples of the Corning fibers used as pigtails. No transient effects were observed in the unpigtailed LEDs during either pulsed neutron or X-ray exposure. In contrast, the Corning doped silica fibers exhibited strong transient attenuation following pulsed X-ray bombardment. Permanent neutron damage in these pigtailed LEDs consisted essentially of light output degradation in the LED itself. Permanent gamma ray effects due to a Co-60 irradiation of 1 megarad were restricted to a small increase in attenuation in the fiber. The two primary radiation effects were then transient attenuation in the fiber pigtail and permanent neutron-induced degradation of the LED

  4. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  5. Positioning of self-assembled InAs quantum dots by focused ion beam implantation

    International Nuclear Information System (INIS)

    Mehta, M.

    2007-01-01

    Self-assembled quantum dots (QDs) are envisioned as building blocks for realization of novel nanoelectronic devices, for which the site-selective growth is highly desirable. This thesis presents a successful route toward selective positioning of self-assembled InAs QDs on patterned GaAs surface by combination of in situ focused ion beam (FIB) implantation and molecular beam epitaxy (MBE) technology. First, a buffer layer of GaAs was grown by MBE before a square array of holes with a pitch of 1-2 μm was fabricated by FIB implantation of Ga and In, ions respectively. Later, an in-situ annealing step followed by InAs deposition was performed. The InAs QDs were preferentially formed in the holes generated by FIB. The influence of ion dose, annealing parameters and InAs amount was investigated in this work. With optimized parameters, more than 50 % single dot occupancy per hole is achieved. Furthermore, the photoluminescence spectra from positioned QDs confirm their good optical quality. (orig.)

  6. Comparative study of friction between metallic and conventional interactive self-ligating brackets in different alignment conditions

    Directory of Open Access Journals (Sweden)

    Sérgio Ricardo Jakob

    2014-06-01

    Full Text Available OBJECTIVE: The aim of this study was to compare the friction between three bracket models: conventional stainless steel (Ovation, Dentsply GAC, self-ligating ceramic (In-Ovation, Denstply GAC and self-ligating stainless steel brackets (In-Ovation R, Dentsply GAC. METHODS: Five brackets were used for each model. They were bonded to an aluminum prototype that allowed the simulation of four misalignment situations (n = 10. Three of these situations occurred at the initial phase (in which a 0.016-in nickel-titanium wire was used: 1. horizontal; 2. vertical; and 3. simultaneous horizontal/vertical. One of the situations occurred at the final treatment phase: 4. no misalignment (in which a 0.019 x 0.025-inch stainless steel rectangular wire was used. The wires slipped through the brackets and friction was measured by a Universal Testing Machine. RESULTS: Analysis of variance followed by Tukey's Test for multiple comparisons (α = 0.05 were applied to assess the results. Significant interaction (p < 0.01 among groups was found. For the tests that simulated initial alignment, Ovation(r bracket produced the highest friction. The two self-ligating models resulted in lower and similar values, except for the horizontal situation, in which In-Ovation C(r showed lower friction, which was similar to the In-Ovation R(r metallic model. For the no misalignment situation, the same results were observed. CONCLUSION: The self-ligating system was superior to the conventional one due to producing less friction. With regard to the material used for manufacturing the brackets, the In-Ovation C(r ceramic model showed less friction than the metallic ones.

  7. Magnetic anisotropy of MnAs-films on GaAs(0 0 1) studied with ferromagnetic resonance

    International Nuclear Information System (INIS)

    Lindner, J.; Tolinski, T.; Lenz, K.; Kosubek, E.; Wende, H.; Baberschke, K.; Ney, A.; Hesjedal, T.; Pampuch, C.; Koch, R.; Daeweritz, L.; Ploog, K.H.

    2004-01-01

    Thin films of MnAs grown on GaAs(0 0 1) show a self-organized structure of coexisting ferromagnetic α- and paramagnetic β-MnAs stripes in the temperature interval from 10 to 40 deg. C. We quantify the magnetic anisotropies of the α-stripes via ferromagnetic resonance and superconducting quantum interference device magnetometry for samples with thicknesses of 57 and 165 nm. The easy axis of magnetization is found to be located perpendicular to the stripe direction, whereas the direction parallel to the stripes is a hard one. While the intrinsic anisotropies show a bulk-like behavior and explain the direction of the hard axis, the key to understanding the direction of the easy axis is given by the demagnetizing fields due to the stripe formation

  8. GraphAlignment: Bayesian pairwise alignment of biological networks

    Directory of Open Access Journals (Sweden)

    Kolář Michal

    2012-11-01

    Full Text Available Abstract Background With increased experimental availability and accuracy of bio-molecular networks, tools for their comparative and evolutionary analysis are needed. A key component for such studies is the alignment of networks. Results We introduce the Bioconductor package GraphAlignment for pairwise alignment of bio-molecular networks. The alignment incorporates information both from network vertices and network edges and is based on an explicit evolutionary model, allowing inference of all scoring parameters directly from empirical data. We compare the performance of our algorithm to an alternative algorithm, Græmlin 2.0. On simulated data, GraphAlignment outperforms Græmlin 2.0 in several benchmarks except for computational complexity. When there is little or no noise in the data, GraphAlignment is slower than Græmlin 2.0. It is faster than Græmlin 2.0 when processing noisy data containing spurious vertex associations. Its typical case complexity grows approximately as O(N2.6. On empirical bacterial protein-protein interaction networks (PIN and gene co-expression networks, GraphAlignment outperforms Græmlin 2.0 with respect to coverage and specificity, albeit by a small margin. On large eukaryotic PIN, Græmlin 2.0 outperforms GraphAlignment. Conclusions The GraphAlignment algorithm is robust to spurious vertex associations, correctly resolves paralogs, and shows very good performance in identification of homologous vertices defined by high vertex and/or interaction similarity. The simplicity and generality of GraphAlignment edge scoring makes the algorithm an appropriate choice for global alignment of networks.

  9. Nature-aligned approaches to form students’ system motivation

    Directory of Open Access Journals (Sweden)

    Marina V. Ulyanova

    2017-01-01

    Full Text Available Sustainable development of the society involves the transition of the society from the current evolutional stage to a higher stage without revolutionary destruction of the existing frames of society. An individual, playing a prominent role in human history from time to time, is able to provide for the evolution of consciousness of the whole community, appearing on the top of the evolutional cone in the moment of passing of the system to the qualitatively new stage of its development. Only an integral individual, a person-creator possessing a high potential of harmony is able to accomplish such transition. The golden proportion of the social structure of the society implies a certain correlation of ontological categories of people, having personality orientation that characterizes them as a creator, consumer or destroyer. The modern approaches to education involve motivating a human being to self-improvement all his/her lifelong. The question is that, how much pedagogical systems correspond to the laws of harmony, which provide formation of social strata golden proportion structure considered not from the perspective of class position, but from the perspective of creative personality orientation. The analysis of the existing educational approaches showed, that the best indices satisfying the set social problem belong to noospheric pedagogics, based on nature-aligned methodology of teaching academic disciplines. It is built on principles of health protection and health development, intellectual potential, system motivation of an individual to self-perfection. Nature-aligned educational methodology is personality oriented and enables the student to accomplish object-subject transformation in the process of education, as a result of which, following the receipt of special educational knowledge, abilities and skills, he/she gets common educational abilities and skills, on the basis of which the processes of self-actualization, self

  10. Magnetic Alignment of Block Copolymer Microdomains by Intrinsic Chain Anisotropy.

    Science.gov (United States)

    Rokhlenko, Yekaterina; Gopinadhan, Manesh; Osuji, Chinedum O; Zhang, Kai; O'Hern, Corey S; Larson, Steven R; Gopalan, Padma; Majewski, Paweł W; Yager, Kevin G

    2015-12-18

    We examine the role of intrinsic chain susceptibility anisotropy in magnetic field directed self-assembly of a block copolymer using in situ x-ray scattering. Alignment of a lamellar mesophase is observed on cooling across the disorder-order transition with the resulting orientational order inversely proportional to the cooling rate. We discuss the origin of the susceptibility anisotropy, Δχ, that drives alignment and calculate its magnitude using coarse-grained molecular dynamics to sample conformations of surface-tethered chains, finding Δχ≈2×10^{-8}. From field-dependent scattering data, we estimate that grains of ≈1.2  μm are present during alignment. These results demonstrate that intrinsic anisotropy is sufficient to support strong field-induced mesophase alignment and suggest a versatile strategy for field control of orientational order in block copolymers.

  11. Differential evolution-simulated annealing for multiple sequence alignment

    Science.gov (United States)

    Addawe, R. C.; Addawe, J. M.; Sueño, M. R. K.; Magadia, J. C.

    2017-10-01

    Multiple sequence alignments (MSA) are used in the analysis of molecular evolution and sequence structure relationships. In this paper, a hybrid algorithm, Differential Evolution - Simulated Annealing (DESA) is applied in optimizing multiple sequence alignments (MSAs) based on structural information, non-gaps percentage and totally conserved columns. DESA is a robust algorithm characterized by self-organization, mutation, crossover, and SA-like selection scheme of the strategy parameters. Here, the MSA problem is treated as a multi-objective optimization problem of the hybrid evolutionary algorithm, DESA. Thus, we name the algorithm as DESA-MSA. Simulated sequences and alignments were generated to evaluate the accuracy and efficiency of DESA-MSA using different indel sizes, sequence lengths, deletion rates and insertion rates. The proposed hybrid algorithm obtained acceptable solutions particularly for the MSA problem evaluated based on the three objectives.

  12. TIME-DEPENDENT MOSSBAUER-SPECTROSCOPY AND 119MTE-IMPLANTED GAAS

    NARCIS (Netherlands)

    MO, D; ZHANG, GL; NIESEN, L; Waard , de Hendrik

    1991-01-01

    A new type of time-dependent Mossbauer spectroscopy is proposed and realized on the basis of using the two-step decay (119m)Te --> 113Sb --> Sn-119. For the GaAs samples, implanted with a dose of 110-keV (119m)Te + 10(15) stable Te/cm2 and annealed at 600-degrees-C, the relative intensities of

  13. Pathway-engineering for highly-aligned block copolymer arrays.

    Science.gov (United States)

    Choo, Youngwoo; Majewski, Paweł W; Fukuto, Masafumi; Osuji, Chinedum O; Yager, Kevin G

    2017-12-21

    While the ultimate driving force in self-assembly is energy minimization and the corresponding evolution towards equilibrium, kinetic effects can also play a very strong role. These kinetic effects, such as trapping in metastable states, slow coarsening kinetics, and pathway-dependent assembly, are often viewed as complications to be overcome. Here, we instead exploit these effects to engineer a desired final nano-structure in a block copolymer thin film, by selecting a particular ordering pathway through the self-assembly energy landscape. In particular, we combine photothermal shearing with high-temperature annealing to yield hexagonal arrays of block copolymer cylinders that are aligned in a single prescribed direction over macroscopic sample dimensions. Photothermal shearing is first used to generate a highly-aligned horizontal cylinder state, with subsequent thermal processing used to reorient the morphology to the vertical cylinder state in a templated manner. Finally, we demonstrate the successful transfer of engineered morphologies into inorganic replicas.

  14. Role of wave functions in electromagnetism : RAS from GaAs (110)

    NARCIS (Netherlands)

    Wijers, C.M.J.; de Boeij, P.L.

    2001-01-01

    We have calculated the reflectance anisotropy for the GaAs (110) surface using the discrete cellular method. This method extends the range of application of standard discrete dipole calculations by incorporating nonlocal polarizabilitites. The method adds a second quantum mechanical channel of

  15. Variations in first principles calculated defect energies in GaAs and ...

    Indian Academy of Sciences (India)

    Keywords. Ab initio calculations; semi-insulating GaAs; point defects. ... We are focusing on gallium arsenide. .... gallium vacancy in S & L, P et al and N & Z will exist in triple ... gallium antisite defect that include relaxation, a negative. U-effect is ...

  16. Ion induced charge collection in GaAs MESFETs

    International Nuclear Information System (INIS)

    Campbell, A.; Knudson, A.; McMorrow, D.; Anderson, W.; Roussos, J.; Espy, S.; Buchner, S.; Kang, K.; Kerns, D.; Kerns, S.

    1989-01-01

    Charge collection measurements on GaAs MESFET test structures demonstrate that more charge can be collected at the gate than is deposited in the active layer and more charge can be collected at the drain than the total amount of charge produced by the ion. Enhanced charge collection at the gate edge is also observed. The current transients produced by the energetic ions have been measured directly with about 20 picosecond resolution

  17. Solar heating of GaAs nanowire solar cells.

    Science.gov (United States)

    Wu, Shao-Hua; Povinelli, Michelle L

    2015-11-30

    We use a coupled thermal-optical approach to model the operating temperature rise in GaAs nanowire solar cells. We find that despite more highly concentrated light absorption and lower thermal conductivity, the overall temperature rise in a nanowire structure is no higher than in a planar structure. Moreover, coating the nanowires with a transparent polymer can increase the radiative cooling power by 2.2 times, lowering the operating temperature by nearly 7 K.

  18. Self-reports on students' learning processes are academic metacognitive knowledge

    Directory of Open Access Journals (Sweden)

    Cristiano Mauro Assis Gomes

    2014-01-01

    Full Text Available The current study postulates that students' self-reported perceptions on their academic processes are a type of metacognition: academic metacognitive knowledge (AMcK. We investigated, using Structural Equation Modeling (SEM, three hypotheses: (a AMcK explains the variance of factor scores of students' learning approaches (SLA and academic motivation (AM; (b AMcK is distinct from working metacognition (WMC; and (c AMcK has incremental validity, beyond WMC, on the explanation of general academic achievement (GAA variance. Two tests (indicators of WMC and two scales (indicators of AMcK were administered to 684 ten-to-eighteen-year-old Brazilian children and adolescents. Annual grades in Math, Portuguese, Geography and History were used as indicators of GAA. The results show that none of the three hypotheses can be refuted.

  19. MUON DETECTORS: ALIGNMENT

    CERN Multimedia

    G.Gomez.

    Since June of 2009, the muon alignment group has focused on providing new alignment constants and on finalizing the hardware alignment reconstruction. Alignment constants for DTs and CSCs were provided for CRAFT09 data reprocessing. For DT chambers, the track-based alignment was repeated using CRAFT09 cosmic ray muons and validated using segment extrapolation and split cosmic tools. One difference with respect to the previous alignment is that only five degrees of freedom were aligned, leaving the rotation around the local x-axis to be better determined by the hardware system. Similarly, DT chambers poorly aligned by tracks (due to limited statistics) were aligned by a combination of photogrammetry and hardware-based alignment. For the CSC chambers, the hardware system provided alignment in global z and rotations about local x. Entire muon endcap rings were further corrected in the transverse plane (global x and y) by the track-based alignment. Single chamber track-based alignment suffers from poor statistic...

  20. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples