WorldWideScience

Sample records for selective wet etching

  1. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  2. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  3. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  4. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  5. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  6. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  7. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  8. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  9. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  10. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  11. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  12. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  13. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  14. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  15. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  16. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  17. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  18. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  19. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  20. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  1. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  2. A study on electric properties for pulse laser annealing of ITO film after wet etching

    International Nuclear Information System (INIS)

    Lee, C.J.; Lin, H.K.; Li, C.H.; Chen, L.X.; Lee, C.C.; Wu, C.W.; Huang, J.C.

    2012-01-01

    The electric properties of ITO thin film after UV or IR laser annealing and wet etching was analyzed via grazing incidence in-plane X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectra and residual stress measurement. The laser annealing process readily induced microcracks or quasi-microcracks on the ITO thin film due to the residual tension stress of crystalline phase transformation between irradiated and non-irradiated areas, and these defects then became the preferred sites for a higher etching rate, resulting in discontinuities in the ITO thin film after the wet etching process. The discontinuities in the residual ITO thin film obstruct carrier transmission and further result in electric failure. - Highlights: ► The laser annealing process induces microcracks in InSnO 2 thin films. ► The defects result in higher local etching rate during wet etching. ► These process defects originate from residual tension stress. ► Decreasing the thermal shock is suggested in order to reduce these process defects.

  3. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  4. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  5. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  6. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); John, Sajeev [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Hafez, M.; Al-Ameer, S. S.; Al-Harby, T. S.; Al-Hadeethi, Y. [Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Bouwes, D. M. [iX-factory GmbH, Konrad Adenauer–Allee 11, 44263 Dortmund (Germany)

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, corresponding to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.

  7. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  8. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  9. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  10. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  11. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  12. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    Science.gov (United States)

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  13. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments

    International Nuclear Information System (INIS)

    Schukfeh, M I; Hansen, A; Tornow, M; Storm, K; Thelander, C; Samuelson, L; Hinze, P; Weimann, T; Beyer, A

    2014-01-01

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor–liquid–solid grown InAs nanowires with embedded InP segments of 10–60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap. (paper)

  14. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  15. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  16. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  17. Optical ridge waveguides preserving the thermo-optic features in LiNbO3 crystals fabricated by combination of proton implantation and selective wet etching.

    Science.gov (United States)

    Tan, Yang; Chen, Feng

    2010-05-24

    We report on a new, simple method to fabricate optical ridge waveguides in a z-cut LiNbO3 wafer by using proton implantation and selective wet etching. The measured modal field is well confined in the ridge waveguide region, which is also confirmed by the numerical simulation. With thermal annealing treatment at 400 degrees C, the propagation loss of the ridge waveguides is determined to be as low as approximately 0.9 dB/cm. In addition, the measured thermo-optic coefficients of the waveguides are in good agreement with those of the bulk, suggesting potential applications in integrated photonics.

  18. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  19. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  20. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  1. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  2. The influence of the laser spot size and the pulse number on laser-induced backside wet etching

    International Nuclear Information System (INIS)

    Boehme, R.; Zimmer, K.

    2005-01-01

    The laser-induced backside wet etching (LIBWE) of transparent solids at the interface to absorbing liquid is a new promising method for laser microstructuring. The influence of the laser spot size and the applied pulse number to the etch rate were investigated in detail for fused silica and two different liquids. Additional to the significant rise of the etch rate with increasing spot size considerable incubation effects have been observed at low laser fluences and pulse numbers. Based on the bubble formation during LIBWE processing, a relation between the bubble collapse time and the etch rate was ascertained. This relation fits the etch rate dependence on the spot size well. It is assumed that the deposition of decomposition products from the bubble accounts for the spot size influence the etch rate

  3. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  4. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  5. The Investigation of Intermediate Stage of Template Etching with Metal Droplets by Wetting Angle Analysis on (001 GaAs Surface

    Directory of Open Access Journals (Sweden)

    Lyamkina AA

    2011-01-01

    Full Text Available Abstract In this work, we study metal droplets on a semiconductor surface that are the initial stage for both droplet epitaxy and local droplet etching. The distributions of droplet geometrical parameters such as height, radius and volume help to understand the droplet formation that strongly influences subsequent nanohole etching. To investigate the etching and intermixing processes, we offer a new method of wetting angle analysis. The aspect ratio that is defined as the ratio of the height to radius was used as an estimation of wetting angle which depends on the droplet material. The investigation of the wetting angle and the estimation of indium content revealed significant materials intermixing during the deposition time. AFM measurements reveal the presence of two droplet groups that is in agreement with nanohole investigations. To explain this observation, we consider arsenic evaporation and consequent change in the initial substrate. On the basis of our analysis, we suggest the model of droplet evolution and the formation of two droplet groups.

  6. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  7. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    Science.gov (United States)

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  8. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  9. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  10. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  11. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  12. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  13. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  14. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  15. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  16. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  17. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  18. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  19. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    Science.gov (United States)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  20. Effects of UV light intensity on electrochemical wet etching of SiC for the fabrication of suspended graphene

    Science.gov (United States)

    O, Ryong-Sok; Takamura, Makoto; Furukawa, Kazuaki; Nagase, Masao; Hibino, Hiroki

    2015-03-01

    We report on the effects of UV light intensity on the photo assisted electrochemical wet etching of SiC(0001) underneath an epitaxially grown graphene for the fabrication of suspended structures. The maximum etching rate of SiC(0001) was 2.5 µm/h under UV light irradiation in 1 wt % KOH at a constant current of 0.5 mA/cm2. The successful formation of suspended structures depended on the etching rate of SiC. In the Raman spectra of the suspended structures, we did not observe a significant increase in the intensity of the D peak, which originates from defects in graphene sheets. This is most likely explained by the high quality of the single-crystalline graphene epitaxially grown on SiC.

  1. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  2. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    distance. In this dissertation it is presented the first nitride blue edge emitting LD with a photoelectrochemical etched current aperture (CA-LD) into the device active region. Photoelectrochemical etching (PECE) has emerged as a powerful wet etching technique for III-nitride compounds. Beyond the advantages of wet etching technique, PECE offers bandgap selectivity, which is particularly desirable because it allows more freedom in designing new and advanced devices with higher performances. In the first part of this thesis a review of PECE is presented, and it is shown how it can be used to achieve a selective and controllable deep undercut of the active region of LEDs and LDs, in particular the selective PECE of MQW active region of (10-10) m-plane and (20-2-1) plane structures is reported. In the second part of this thesis, the fabrication flow process of the CA-LD is described. The performance of these devices is compared with that of shallow etched ridge LDs with a nominally identical epitaxial structure and active region width and it is experimentally shown that the CA-LD design has superior performance. CW operation of a (20-2-1) CA-LD with a 1.5 microm wide active region is demonstrated. Finally, in the third and last part of this thesis, the CA-LD performance is discussed in more details, in particular, an analysis of optical scattering losses caused by the rough edges of the remnant PEC etched active region is presented.

  3. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  4. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  5. Fabrication of 3D solenoid microcoils in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Meng, Xiangwei; Yang, Qing; Chen, Feng; Shan, Chao; Liu, Keyin; Li, Yanyang; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-02-01

    This paper reports a flexible fabrication method for 3D solenoid microcoils in silica glass. The method consists of femtosecond laser wet etching (FLWE) and microsolidics process. The 3D microchannel with high aspect ratio is fabricated by an improved FLWE method. In the microsolidics process, an alloy was chosen as the conductive metal. The microwires are achieved by injecting liquid alloy into the microchannel, and allowing the alloy to cool and solidify. The alloy microwires with high melting point can overcome the limitation of working temperature and improve the electrical property. The geometry, the height and diameter of microcoils were flexibly fabricated by the pre-designed laser writing path, the laser power and etching time. The 3D microcoils can provide uniform magnetic field and be widely integrated in many magnetic microsystems.

  6. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  7. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    Science.gov (United States)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  8. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by different coherent lengths at two energies.

  9. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  10. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  12. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  13. Antireflective surface structures in glass by self-assembly of SiO2 nanoparticles and wet etching.

    Science.gov (United States)

    Maier, Thomas; Bach, David; Müllner, Paul; Hainberger, Rainer; Brückl, Hubert

    2013-08-26

    We describe the fabrication of an antireflective surface structure with sub-wavelength dimensions on a glass surface using scalable low-cost techniques involving sol-gel coating, thermal annealing, and wet chemical etching. The glass surface structure consists of sand dune like protrusions with 250 nm periodicity and a maximum peak-to-valley height of 120 nm. The antireflective structure increases the transmission of the glass up to 0.9% at 700 nm, and the transmission remains enhanced over a wide spectral range and for a wide range of incident angles. Our measurements reveal a strong polarization dependence of the transmission change.

  14. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  15. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  16. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  17. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  18. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich

    2017-01-12

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can be used to fabricate a variety of features, including an electrode, an interconnect, a channel, a reservoir, a contact hole, a trench, a pad, or a combination thereof. A variety of devices fabricated according to the methods are also provided. In some aspects, capacitive humidity sensors are provided that can be fabricated according to the provided methods. The capacitive humidity sensors can be fabricated with intricate electrodes, e.g. having a fractal pattern such as a Peano curve, a Hilbert curve, a Moore curve, or a combination thereof.

  19. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  20. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  1. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    Science.gov (United States)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  2. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  3. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  4. Enhancement of terahertz radiation in a Smith-Purcell backward-wave oscillator by an inverse wet-etched grating

    International Nuclear Information System (INIS)

    Kim, Jung-Il; Jeon, Seok-Gy; Kim, Geun-Ju; Kim, Jaehong

    2011-01-01

    A terahertz (THz) Smith-Purcell (SP) backward-wave oscillator with an inverse wet-etched grating based on silicon has been proposed to enhance radiation intensity. This grating strengthens the interactions between an electron beam and the evanescent wave due to the adjacent surface structure between gratings that improves the magnitude of the electric field up to 1.7 times compared to the conventional rectangular gratings. A two-dimensional particle-in-cell (PIC) simulation shows that the radiated power is increased up to 2.3 times higher at the radiated frequency of 0.66 THz for an electron-beam energy of 30 keV.

  5. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  6. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  7. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  8. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  9. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  10. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  11. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  12. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  13. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    Science.gov (United States)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  14. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  15. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  16. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  17. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    Science.gov (United States)

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  18. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  19. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  20. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  1. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  2. Selective etching of injection molded zirconia-toughened alumina: Towards osseointegrated and antibacterial ceramic implants.

    Science.gov (United States)

    Flamant, Quentin; Caravaca, Carlos; Meille, Sylvain; Gremillard, Laurent; Chevalier, Jérôme; Biotteau-Deheuvels, Katia; Kuntz, Meinhard; Chandrawati, Rona; Herrmann, Inge K; Spicer, Christopher D; Stevens, Molly M; Anglada, Marc

    2016-12-01

    Due to their outstanding mechanical properties and excellent biocompatibility, zirconia-toughened alumina (ZTA) ceramics have become the gold standard in orthopedics for the fabrication of ceramic bearing components over the last decade. However, ZTA is bioinert, which hampers its implantation in direct contact with bone. Furthermore, periprosthetic joint infections are now the leading cause of failure for joint arthroplasty prostheses. To address both issues, an improved surface design is required: a controlled micro- and nano-roughness can promote osseointegration and limit bacterial adhesion whereas surface porosity allows loading and delivery of antibacterial compounds. In this work, we developed an integrated strategy aiming to provide both osseointegrative and antibacterial properties to ZTA surfaces. The micro-topography was controlled by injection molding. Meanwhile a novel process involving the selective dissolution of zirconia (selective etching) was used to produce nano-roughness and interconnected nanoporosity. Potential utilization of the porosity for loading and delivery of antibiotic molecules was demonstrated, and the impact of selective etching on mechanical properties and hydrothermal stability was shown to be limited. The combination of injection molding and selective etching thus appears promising for fabricating a new generation of ZTA components implantable in direct contact with bone. Zirconia-toughened alumina (ZTA) is the current gold standard for the fabrication of orthopedic ceramic components. In the present work, we propose an innovative strategy to provide both osseointegrative and antibacterial properties to ZTA surfaces: we demonstrate that injection molding allows a flexible design of surface micro-topography and can be combined with selective etching, a novel process that induces nano-roughness and surface interconnected porosity without the need for coating, avoiding reliability issues. These surface modifications have the

  3. Fabrication of etched facets and vertical couplers in InP for packaging and on-wafer test

    NARCIS (Netherlands)

    Lemos Alvares Dos Santos, Rui; D'Agostino, D.; Soares, F. M.; Haghighi, H. Rabbani; Williams, K. A.; Leijtens, X. J. M.

    2016-01-01

    In this letter, the fabrication and the characterization of angled and straight etched facets in InP-based technology are reported. In addition, we report on etched facets combined with coupler mirrors for vertical outcoupling, realized with a wet-etching process.

  4. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Fabrication of 3D electro-thermal micro actuators in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Li, Qichao; Shan, Chao; Yang, Qing; Chen, Feng; Bian, Hao; Hou, Xun

    2017-02-01

    This paper demonstrates a novel electro-thermal micro actuator's design, fabrication and device tests which combine microfluidic technology and microsolidics process. A three-dimensional solenoid microchannel with high aspect ratio is fabricated inside the silica glass by an improved femtosecond laser wet etch (FLWE) technology, and the diameter of the spiral coil is only 200 μm. Molten alloy (Bi/In/Sn/Pb) with high melting point is injected into the three-dimensional solenoid microchannel inside the silica glass , then it solidifys and forms an electro-thermal micro actuator. The device is capable of achieving precise temperature control and quick response, and can also be easily integrated into MEMS, sensors and `lab on a chip' (LOC) platform inside the fused silica substrate.

  6. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  7. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  8. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  9. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  10. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  11. Selective formation of porous layer on n-type InP by anodic etching combined with scratching

    International Nuclear Information System (INIS)

    Seo, Masahiro; Yamaya, Tadafumi

    2005-01-01

    The selective formation of porous layer on n-type InP (001) surface was investigated by using scratching with a diamond scriber followed by anodic etching in deaerated 0.5M HCl. Since the InP specimen was highly doped, the anodic etching proceeded in the dark. The potentiodynamic polarization showed the anodic current shoulder in the potential region between 0.8 and 1.3V (SHE) for the scratched area in addition to the anodic current peak at 1.7V (SHE) for the intact area. The selective formation of porous layer on the scratched are was brought by the anodic etching at a constant potential between 1.0 and 1.2V (SHE) for a certain time. The nucleation and growth of etch pits on intact area, however, took place when the time passed the critical value. The cross section of porous layer on the scratched area perpendicular to the [1-bar 10] or [110] scratching direction had a V-shape, while the cross section of porous layer on the scratched area parallel to the [1-bar 10] or [110] scratching direction had a band structure with stripes oriented to the [1-bar 11] or [11-bar 1] direction. Moreover, nano-scratching at a constant normal force in the micro-Newton range followed by anodic etching showed the possibility for selective formation of porous wire with a nano-meter width

  12. Selective formation of porous layer on n-type InP by anodic etching combined with scratching

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Masahiro [Graduate School of Engineering, Hokkaido University, Kita-13 Jo, Nishi-8 Chome, Kita-ku, Sapporo 060-8628 (Japan)]. E-mail: seo@elechem1-mc.eng.hokudai.ac.jp; Yamaya, Tadafumi [Graduate School of Engineering, Hokkaido University, Kita-13 Jo, Nishi-8 Chome, Kita-ku, Sapporo 060-8628 (Japan)

    2005-11-10

    The selective formation of porous layer on n-type InP (001) surface was investigated by using scratching with a diamond scriber followed by anodic etching in deaerated 0.5M HCl. Since the InP specimen was highly doped, the anodic etching proceeded in the dark. The potentiodynamic polarization showed the anodic current shoulder in the potential region between 0.8 and 1.3V (SHE) for the scratched area in addition to the anodic current peak at 1.7V (SHE) for the intact area. The selective formation of porous layer on the scratched are was brought by the anodic etching at a constant potential between 1.0 and 1.2V (SHE) for a certain time. The nucleation and growth of etch pits on intact area, however, took place when the time passed the critical value. The cross section of porous layer on the scratched area perpendicular to the [1-bar 10] or [110] scratching direction had a V-shape, while the cross section of porous layer on the scratched area parallel to the [1-bar 10] or [110] scratching direction had a band structure with stripes oriented to the [1-bar 11] or [11-bar 1] direction. Moreover, nano-scratching at a constant normal force in the micro-Newton range followed by anodic etching showed the possibility for selective formation of porous wire with a nano-meter width.

  13. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  14. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  15. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  16. Single step sequential polydimethylsiloxane wet etching to fabricate a microfluidic channel with various cross-sectional geometries

    Science.gov (United States)

    Wang, C.-K.; Liao, W.-H.; Wu, H.-M.; Lo, Y.-H.; Lin, T.-R.; Tung, Y.-C.

    2017-11-01

    Polydimethylsiloxane (PDMS) has become a widely used material to construct microfluidic devices for various biomedical and chemical applications due to its desirable material properties and manufacturability. PDMS microfluidic devices are usually fabricated using soft lithography replica molding methods with master molds made of photolithogrpahy patterned photoresist layers on silicon wafers. The fabricated microfluidic channels often have rectangular cross-sectional geometries with single or multiple heights. In this paper, we develop a single step sequential PDMS wet etching process that can be used to fabricate microfluidic channels with various cross-sectional geometries from single-layer PDMS microfluidic channels. The cross-sections of the fabricated channel can be non-rectangular, and varied along the flow direction. Furthermore, the fabricated cross-sectional geometries can be numerically simulated beforehand. In the experiments, we fabricate microfluidic channels with various cross-sectional geometries using the developed technique. In addition, we fabricate a microfluidic mixer with alternative mirrored cross-sectional geometries along the flow direction to demonstrate the practical usage of the developed technique.

  17. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  18. Influence of sputtering conditions on the optical and electrical properties of laser-annealed and wet-etched room temperature sputtered ZnO:Al thin films

    Energy Technology Data Exchange (ETDEWEB)

    Boukhicha, Rym, E-mail: rym.boukhicha@polytechnique.edu [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Charpentier, Coralie [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Prod' Homme, Patricia [Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Roca i Cabarrocas, Pere [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Lerat, Jean-François; Emeraud, Thierry [Photovoltaic Business Unit, Excico Group NV, Kempische Steenweg 305/2, B-3500 Hasselt (Belgium); Johnson, Erik [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France)

    2014-03-31

    We explore the influence of the sputtering deposition conditions on the outcome of an excimer laser anneal and chemical etching process with the goal of producing highly textured substrates for thin film silicon solar cells. Aluminum-doped zinc oxide (ZnO:Al) thin films were prepared on glass substrates by radio frequency magnetron sputtering from a ceramic target at room temperature. The effects of the process pressure (0.11–1.2 Pa) and oxygen flow (0–2 sccm) on the optical and electrical properties of ZnO:Al thin films have been studied both before and after an excimer laser annealing treatment followed by a dilute HCl chemical etch. The as-deposited films varied from completely opaque to yellowish. Thin film laser annealing dramatically improves the optical properties of the most opaque thin films. After laser annealing at the optimum fluence, the average transmittance in the visible wavelength range was around 80% for most films, and reasonable electrical performance was obtained for the films deposited at lower pressures and without oxygen flux (7 Ω/□ for films of 1 μm). After etching, all films displayed a dramatic improvement in haze, but only the low pressure, low oxygen films retained acceptable electrical properties (< 11 Ω/□). - Highlights: • Al:ZnO thin films were deposited at room temperature. • The ZnO:Al films were excimer laser annealed and then wet-etched. • The optical and electrical properties were studied in details.

  19. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  20. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Enamel Wetness Effects on Microshear Bond Strength of Different Bonding Agents (Adhesive Systems): An in vitro Comparative Evaluation Study.

    Science.gov (United States)

    Kulkarni, Girish; Mishra, Vinay K

    2016-05-01

    The purpose of this study was to compare the effect of enamel wetness on microshear bond strength using different adhesive systems. To evaluate microshear bond strength of three bonding agents on dry enamel; to evaluate microshear bond strength of three bonding agents on wet enamel; and to compare microshear bond strength of three different bonding agents on dry and wet enamel. Sixty extracted noncarious human premolars were selected for this study. Flat enamel surfaces of approximately 3 mm were obtained by grinding the buccal surfaces of premolars with water-cooled diamond disks. This study evaluated one etch-and-rinse adhesive system (Single Bond 2) and two self-etching adhesive systems (Clearfil SE Bond and Xeno-V). The specimens were divided into two groups (n = 30). Group I (dry) was air-dried for 30 seconds and in group II (wet) surfaces were blotted with absorbent paper to remove excess water. These groups were further divided into six subgroups (n = 10) according to the adhesives used. The resin composite, Filtek Z 250, was bonded to flat enamel surfaces that had been treated with one of the adhesives, following the manufacturer's instructions. After being stored in water at 37°C for 24 hours, bonded specimens were stressed in universal testing machine (Fig. 3) at a crosshead speed of 1 mm/min. The data were evaluated with one-way and two-way analysis of variance (ANOVA), t-test, and Tukey's Multiple Post hoc tests (a = 0.05). The two-way ANOVA and Tukey's Multiple Post hoc tests showed significant differences among adhesive systems, but wetness did not influence microshear bond strength (p = 0.1762). The one-way ANOVA and t-test showed that the all-in-one adhesive (Xeno-V) was the only material influenced by the presence of water on the enamel surface. Xeno-V showed significantly higher microshear bond strength when the enamel was kept wet. Single Bond 2 adhesive showed significantly higher microshear bond strength as compared with Xeno-V adhesive but no

  2. Ethanol Wet-bonding Technique Sensitivity Assessed by AFM

    OpenAIRE

    Osorio, E.; Toledano, M.; Aguilera, F.S.; Tay, F.R.; Osorio, R.

    2010-01-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed...

  3. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  4. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  5. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  6. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  7. Effect of ethanol-wet-bonding technique on resin–enamel bonds

    Directory of Open Access Journals (Sweden)

    Muhammet Kerim Ayar

    2014-03-01

    Conclusion: The ethanol-wet-bonding technique may increase the bond strength of commercial adhesives to enamel. The chemical composition of the adhesives can affect the bond strength of adhesives when bonding to acid-etched enamel, using the ethanol-wet-bonding technique. Some adhesive systems used in the present study may simultaneously be applied to enamel and dentin using ethanol-wet-bonding. Furthermore, deploying ethanol-wet-bonding for the tested commercial adhesives to enamel can increase the adhesion abilities of these adhesives to enamel.

  8. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  9. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    Science.gov (United States)

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  10. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  11. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  12. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  13. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  14. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  15. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  16. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  17. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    Science.gov (United States)

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  18. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  19. Nanoporous polymeric nanofibers based on selectively etched PS-b-PDMS block copolymers.

    Science.gov (United States)

    Demirel, Gokcen B; Buyukserin, Fatih; Morris, Michael A; Demirel, Gokhan

    2012-01-01

    One-dimensional nanoporous polymeric nanofibers have been fabricated within an anodic aluminum oxide (AAO) membrane by a facile approach based on selective etching of poly(dimethylsiloxane) (PDMS) domains in polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) block copolymers that had been formed within the AAO template. It was observed that prior to etching, the well-ordered PS-b-PDMS nanofibers are solid and do not have any porosity. The postetched PS nanofibers, on the other hand, had a highly porous structure having about 20-50 nm pore size. The nanoporous polymeric fibers were also employed as a drug carrier for the native, continuous, and pulsatile drug release using Rhodamine B (RB) as a model drug. These studies showed that enhanced drug release and tunable drug dosage can be achieved by using ultrasound irradiation. © 2011 American Chemical Society

  20. Preparation of fluorescent mesoporous hollow silica-fullerene nanoparticles via selective etching for combined chemotherapy and photodynamic therapy

    Science.gov (United States)

    Yang, Yannan; Yu, Meihua; Song, Hao; Wang, Yue; Yu, Chengzhong

    2015-07-01

    Well-dispersed mesoporous hollow silica-fullerene nanoparticles with particle sizes of ~50 nm have been successfully prepared by incorporating fullerene molecules into the silica framework followed by a selective etching method. The fabricated fluorescent silica-fullerene composite with high porosity demonstrates excellent performance in combined chemo/photodynamic therapy.Well-dispersed mesoporous hollow silica-fullerene nanoparticles with particle sizes of ~50 nm have been successfully prepared by incorporating fullerene molecules into the silica framework followed by a selective etching method. The fabricated fluorescent silica-fullerene composite with high porosity demonstrates excellent performance in combined chemo/photodynamic therapy. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr02769a

  1. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  2. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  3. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  4. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  5. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  6. Imaging and etching, soft x-ray microscopy on whole wet cells

    International Nuclear Information System (INIS)

    Gilbert, J.R.; Pine, J.

    1993-01-01

    The authors have produced images of whole wet tissue culture cells with the Stony Brook/BNL scanning transmission x-ray microscope (STXM). For fixed cells the authors have taken images at theoretical resolutions of ∼50-75nm, and in practice have measured FWHM of features down to near 100nm, without any exotic image processing. For unfixed (i.e., initially live) cells the authors have imaged with 100nm pixels and measured features down to 250nm. In order to do this the authors have developed, tested and used a wet cell for maintaining fixed or live cells on the STXM stage during imaging. The design of the wet cell and the culture substrates that go with it make the STXM compatible with almost all standard systems for surface adherent tissue culture. The authors will show some new images of whole wet fixed and unfixed cells, with visible sub-micron features. The authors will also report data that helps to characterize the tissue damage due to x-ray absorption during STXM imaging

  7. Crystallographic dependent in-situ CBr4 selective nano-area etching and local regrowth of InP/InGaAs by MOVPE

    DEFF Research Database (Denmark)

    Kuznetsova, Nadezda; Kulkova, Irina; Semenova, Elizaveta

    2014-01-01

    Selective area etching and growth in the metalorganic vapor phase epitaxy (MOVPE) reactor on nano-scale structures have been examined. Using different mask orientations, crystallographic dependent etching of InP can be observed when carbon tetrabromide (CBr4) is used as an etchant. Scanning...

  8. Complex three-dimensional structures in Si{1 0 0} using wet bulk micromachining

    International Nuclear Information System (INIS)

    Pal, Prem; Sato, Kazuo

    2009-01-01

    Complex three-dimensional structures for microelectromechanical systems (MEMS) are fabricated in Si{1 0 0} wafers using wet bulk micromachining. The structures are divided into two categories: fixed and freestanding. The fabrication processes for both types utilize single wafers with sequentially deposited nitride and oxide layers, local oxidation of silicon (LOCOS) and two steps of wet anisotropic etching. The fixed structures contain perfectly sharp edges. Thermally deposited oxide is used as the material for the freestanding structures. Wet etching is performed in tetramethyl ammonium hydroxide (TMAH) with and without Triton X-100 (C 14 H 22 O(C 2 H 4 O) n , n = 9–10). For the fixed structures, both etching steps are performed either in 25 wt% TMAH + Triton or pure TMAH or both, depending upon the type of the structures. In the case of freestanding systems, TMAH + Triton is utilized first, followed by pure TMAH. The fabrication methods enable densely arrayed structures, allowing the manufacture of corrugated diaphragms, compact size liquid (or gas) flow delivery systems, newly shaped mold for soft MEMS structures (e.g. PDMS (polydimethylsiloxane)) and other applications. The present research is an approach to fabricate advanced MEMS structures, extending the range of 3D structures fabricated by silicon anisotropic etching

  9. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  10. Anti-reflection textured structures by wet etching and island lithography for surface-enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Bo-Kai [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Cheng, Hsin-Hung [Department of Marine Engineering, Taipei College of Maritime Technology, Taipei 11174, Taiwan (China); Nien, Li-Wei; Chen, Miin-Jang [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Nagao, Tadaaki [Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba, Ibaraki 305-0044 (Japan); Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Hsueh, Chun-Hway, E-mail: hsuehc@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2015-12-01

    Graphical abstract: - Highlights: • We fabricated textured SERS substrate with a high surface area and low reflectance. • Large surface area of substrate contains more gold nanodroplets to absorb analytes. • Low reflectance of textured SERS substrate enabled multiple reflections of incident laser light. • We obtained strong SERS enhancement from nanopillar-on-pyramid SERS substrate. - Abstract: A high surface area and low reflection textured surface-enhanced Raman scattering (SERS) substrate with plasmonic gold nanodroplets fabricated by wet etching and island lithography was reported in the present study. Specifically, four textured substrates, planar, pyramid, nanopillar, and nanopillar-on-pyramid, were fabricated. The fabricated structures were simulated using the finite-difference time-domain method and the results agreed with the reflection and dark-field scattering measurements. Although the SERS signals varied in different measured regions because of the random nanostructure, the SERS substrates with nanopillar-on-pyramid structure always have the stronger enhancement factor than the SERS substrates with only pyramids or nanopillars. Based on the atomic force microscope and reflection measurements, the nanopillar-on-pyramid structure provided a large surface area and multiple reflections for SERS enhancement, which was about 3 orders of magnitude larger than that of the planar substrate. Our results can be applied to fabricate the inexpensive, large surface area, and high SERS enhancement substrates.

  11. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  12. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  13. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  14. UV-assisted selective chemical etching of relief gratings in Er/Yb-codoped IOG1 phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, C; Pissadakis, S [Foundation for Research and Technology-Hellas, Institute of Electronic Structure and Laser, Vasilika Vouton, PO Box 1527, Heraklion 71 110, GREECE (Greece)

    2007-04-15

    The patterning of sub-micron periodicity Bragg reflectors in Er/Yb-codoped IOG1, phosphate glass is demonstrated. A high yield patterning technique is presented, wherein high volume damage is induced into the glass matrix by exposure to intense UV radiation, and subsequently a chemical development in a strong acid selectively etches the exposed areas. The grating reflectors were fabricated by employing an elliptical Talbot interferometer and the output of a 213nm, 150ps frequency quintupled Nd:YAG laser. The grating depth of the etched relief pattern in time was measured at fixed time intervals and the dependence is presented in upon the etching time and exposure conditions. The gratings fabricated are examined by atomic and scanning electron microscopy for revealing the topology of the relief structure. Gratings with period of the order of 500nm were fabricated, having a maximum depth of 60nm.

  15. Effect of rubber polarity on selective wetting of carbon nanotubes in ternary blends

    Directory of Open Access Journals (Sweden)

    H. H. Le

    2015-11-01

    Full Text Available Based on atomic force microscopy (AFM and Fourier transform infrared spectroscopy (FTIR analysis of the rubber-filler gel (wetting concept the kinetics of selective wetting of carbon nanotubes (CNTs in ternary styrene butadiene rubber (SBR/butadiene rubber (BR/natural rubber (NR blends was qualitatively and quantitatively characterized. Almost all CNTs are found to be wetted by the non-polar NR but not by the other non-polar rubber like BR or weakly polar SBR. It was proposed that phospholipids, which are linked to the α-terminal of NR can interact with the CNT surface through cation-π interactions forming strong bonding between NR and CNTs. Using the corrected surface tension value of NR, which involves the effect of phospholipids found in our previous work the selective wetting of CNTs in ternary rubber blends can be well predicted using the Z-model for a thermodynamic equilibrium state. By replacing the non-polar BR by a polar rubber like nitrile butadiene rubber (NBR as a blend component CNTs are wetted by NBR slightly more than by NR thanks to the strong interaction between CNTs and nitrile groups of NBR. SBR remains unbound to CNTs in both blends.

  16. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  17. Effect of rubber polarity on selective wetting of carbon nanotubes in ternary blends

    OpenAIRE

    Le, H.H.; Parsaker, M.; Sriharish, M.N.; Henning, S.; Menzel, M.; Wiessner, S.; Das, A.; Do, Q.K.; Heinrich, G.; Radusch, H.J.

    2015-01-01

    Based on atomic force microscopy (AFM) and Fourier transform infrared spectroscopy (FTIR) analysis of the rubber-filler gel (wetting concept) the kinetics of selective wetting of carbon nanotubes (CNTs) in ternary styrene butadiene rubber (SBR)/butadiene rubber (BR)/natural rubber (NR) blends was qualitatively and quantitatively characterized. Almost all CNTs are found to be wetted by the non-polar NR but not by the other non-polar rubber like BR or weakly polar SBR. It was proposed that phos...

  18. Variable selection based near infrared spectroscopy quantitative and qualitative analysis on wheat wet gluten

    Science.gov (United States)

    Lü, Chengxu; Jiang, Xunpeng; Zhou, Xingfan; Zhang, Yinqiao; Zhang, Naiqian; Wei, Chongfeng; Mao, Wenhua

    2017-10-01

    Wet gluten is a useful quality indicator for wheat, and short wave near infrared spectroscopy (NIRS) is a high performance technique with the advantage of economic rapid and nondestructive test. To study the feasibility of short wave NIRS analyzing wet gluten directly from wheat seed, 54 representative wheat seed samples were collected and scanned by spectrometer. 8 spectral pretreatment method and genetic algorithm (GA) variable selection method were used to optimize analysis. Both quantitative and qualitative model of wet gluten were built by partial least squares regression and discriminate analysis. For quantitative analysis, normalization is the optimized pretreatment method, 17 wet gluten sensitive variables are selected by GA, and GA model performs a better result than that of all variable model, with R2V=0.88, and RMSEV=1.47. For qualitative analysis, automatic weighted least squares baseline is the optimized pretreatment method, all variable models perform better results than those of GA models. The correct classification rates of 3 class of 30% wet gluten content are 95.45, 84.52, and 90.00%, respectively. The short wave NIRS technique shows potential for both quantitative and qualitative analysis of wet gluten for wheat seed.

  19. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  20. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  1. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  2. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  3. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  4. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  5. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  6. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  7. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  8. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  9. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  10. High-quality InN grown on KOH wet etched N-polar InN template by RF-MBE

    International Nuclear Information System (INIS)

    Muto, D.; Araki, T.; Kitagawa, S.; Kurouchi, M.; Nanishi, Y.; Naoi, H.; Na, H.

    2006-01-01

    We have succeeded in dramatically decreasing the density of dislocations in InN by regrowing InN films on micro-facetted N-polar InN templates. The micro-facetted N-polar InN templates were formed by wet etching in a 10 mol/l KOH solution. InN films were regrown on the micro-facetted N-polar InN templates and on flat surface N-polar InN templates for comparison by radio-frequency plasma-assisted molecular beam epitaxy. InN regrown on micro-facetted InN had considerably smaller twist distribution than that grown on the flat InN templates. From transmission electron microscopy observation, it was confirmed that the InN grown on the micro-facetted InN template had much lower density of dislocations than that grown on the flat InN template, and moreover the propagation of edge dislocations was almost completely terminated at the interface between the regrown InN and the micro-facetted InN template. Based on the results, we propose that regrowth of InN on micro-facetted InN templates is an effective way to obtain high-quality InN films. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  12. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Directory of Open Access Journals (Sweden)

    An-Na Choi

    2017-10-01

    Full Text Available The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS testing and confocal laser scanning microscopy (CLSM. Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying, 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05. Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p < 0.05. One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives.

  13. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  14. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  15. Effectiveness of immediate bonding of etch-and-rinse adhesives to simplified ethanol-saturated dentin

    Directory of Open Access Journals (Sweden)

    Leandro Afonso Guimarães

    2012-04-01

    Full Text Available This study examined the immediate bond strength of etch-and-rinse adhesives to demineralized dentin saturated with either water or absolute ethanol. The research hypothesis was that there would be no difference in bond strength to dentin between water or ethanol wet-bonding techniques. The medium dentin of 20 third molars was exposed (n = 5. The dentin surface was then acid-etched, left moist and randomly assigned to be saturated via either water wet-bonding (WBT or absolute ethanol wet-bonding (EBT. The specimens were then treated with one of the following etch-and-rinse adhesive systems: a 3-step, water-based system (Adper Scotchbond Multipurpose, or SBMP or a 2-step, ethanol/water-based system (Adper Single Bond 2, or SB. Resin composite build-ups were then incrementally constructed. After water storage for 24 h at 37°C, the tensile strength of the specimens was tested in a universal testing machine (0.5 mm/min. Data were analyzed by two-way ANOVA and Tukey's test (a = 5%. The failure modes were verified using a stereomicroscope (40'. For both adhesives, no significant difference in bond strength was observed between WBT and EBT (p > 0.05. The highest bond strength was observed for SB, regardless of the bonding technique (p < 0.05. No significant interaction between adhesives and bonding techniques was noticed (p = 0.597. There was a predominance of adhesive failures for all tested groups. The EBT and WBT displayed similar immediate bond strength means for both adhesives. The SB adhesive exhibited higher means for all conditions tested. Further investigations are needed to evaluate long-term bonding to dentin mediated by commercial etch-and-rinse adhesives using the EBT approach.

  16. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  17. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    Science.gov (United States)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  18. Selective Template Wetting Routes to Hierarchical Polymer Films: Polymer Nanotubes from Phase-Separated Films via Solvent Annealing.

    Science.gov (United States)

    Ko, Hao-Wen; Cheng, Ming-Hsiang; Chi, Mu-Huan; Chang, Chun-Wei; Chen, Jiun-Tai

    2016-03-01

    We demonstrate a novel wetting method to prepare hierarchical polymer films with polymer nanotubes on selective regions. This strategy is based on the selective wetting abilities of polymer chains, annealed in different solvent vapors, into the nanopores of porous templates. Phase-separated films of polystyrene (PS) and poly(methyl methacrylate) (PMMA), two commonly used polymers, are prepared as a model system. After anodic aluminum oxide (AAO) templates are placed on the films, the samples are annealed in vapors of acetic acid, in which the PMMA chains are swollen and wet the nanopores of the AAO templates selectively. As a result, hierarchical polymer films containing PMMA nanotubes can be obtained after the AAO templates are removed. The distribution of the PMMA nanotubes of the hierarchical polymer films can also be controlled by changing the compositions of the polymer blends. This work not only presents a novel method to fabricate hierarchical polymer films with polymer nanotubes on selective regions, but also gives a deeper understanding in the selective wetting ability of polymer chains in solvent vapors.

  19. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  20. Selective wetting-induced micro-electrode patterning for flexible micro-supercapacitors.

    Science.gov (United States)

    Kim, Sung-Kon; Koo, Hyung-Jun; Lee, Aeri; Braun, Paul V

    2014-08-13

    Selective wetting-induced micro-electrode patterning is used to fabricate flexible micro-supercapacitors (mSCs). The resulting mSCs exhibit high performance, mechanical stability, stable cycle life, and hold great promise for facile integration into flexible devices requiring on-chip energy storage. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  2. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  3. Performance improvement and better scalability of wet-recessed and wet-oxidized AlGaN/GaN high electron mobility transistors

    Science.gov (United States)

    Takhar, Kuldeep; Meer, Mudassar; Upadhyay, Bhanu B.; Ganguly, Swaroop; Saha, Dipankar

    2017-05-01

    We have demonstrated that a thin layer of Al2O3 grown by wet-oxidation of wet-recessed AlGaN barrier layer in an AlGaN/GaN heterostructure can significantly improve the performance of GaN based high electron mobility transistors (HEMTs). The wet-etching leads to a damage free recession of the gate region and compensates for the decreased gate capacitance and increased gate leakage. The performance improvement is manifested as an increase in the saturation drain current, transconductance, and unity current gain frequency (fT). This is further augmented with a large decrease in the subthreshold current. The performance improvement is primarily ascribed to an increase in the effective velocity in two-dimensional electron gas without sacrificing gate capacitance, which make the wet-recessed gate oxide-HEMTs much more scalable in comparison to their conventional counterpart. The improved scalability leads to an increase in the product of unity current gain frequency and gate length (fT × Lg).

  4. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  5. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  6. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  7. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  8. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  9. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  10. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  11. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  12. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  13. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    Science.gov (United States)

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  14. Ion-Exchange-Induced Selective Etching for the Synthesis of Amino-Functionalized Hollow Mesoporous Silica for Elevated-High-Temperature Fuel Cells.

    Science.gov (United States)

    Zhang, Jin; Liu, Jian; Lu, Shanfu; Zhu, Haijin; Aili, David; De Marco, Roland; Xiang, Yan; Forsyth, Maria; Li, Qingfeng; Jiang, San Ping

    2017-09-20

    As differentiated from conventional synthetic processes, amino-functionalized hollow mesoporous silica (NH 2 -HMS) has been synthesized using a new and facile strategy of ion-exchange-induced selective etching of amino-functionalized mesoporous silica (NH 2 -meso-silica) by an alkaline solution. Nuclear magnetic resonance (NMR) spectroscopy and in situ time-resolved small-angle X-ray scattering (SAXS) reveal that ion-exchange-induced selective etching arises from the gradient distribution of OH - in the NH 2 -meso-silica nanospheres. Moreover, the ion-exchange-induced selective etching mechanism is verified through a successful synthesis of hollow mesoporous silica. After infiltration with phosphotungstic acid (PWA), PWA-NH 2 -HMS nanoparticles are dispersed in the poly(ether sulfone)-polyvinylpyrrolidone (PES-PVP) matrix, forming a hybrid PWA-NH 2 -HMS/PES-PVP nanocomposite membrane. The resultant nanocomposite membrane with an optimum loading of 10 wt % of PWA-NH 2 -HMS showed an enhanced proton conductivity of 0.175 S cm -1 and peak power density of 420 mW cm -2 at 180 °C under anhydrous conditions. Excellent durability of the hybrid composite membrane fuel cell has been demonstrated at 200 °C. The results of this study demonstrated the potential of the facile synthetic strategy in the fabrication of NH 2 -HMS with controlled mesoporous structure for application in nanocomposite membranes as a technology platform for elevated-temperature proton exchange membrane fuel cells.

  15. Influence of chemistry on wetting dynamics of nanotextured hydrophobic surfaces.

    Science.gov (United States)

    Di Mundo, Rosa; Palumbo, Fabio; d'Agostino, Riccardo

    2010-04-06

    In this work, the role of a chemical parameter, such as the degree of fluorination, on the wetting behavior of nanotextured hydrophobic surfaces is investigated. Texture and chemistry tuning of the surfaces has been accomplished with single batch radiofrequency low-pressure plasma processes. Polystyrene substrates have been textured by CF(4) plasma etching and subsequently covered by thin films with a tunable F-to-C ratio, obtained in discharges fed with C(4)F(8)-C(2)H(4). Measurements of wetting dynamics reveal a regime transition from adhesive-hydrophobic to slippery-superhydrophobic, i.e., from wet to non wet states, as the F-to-C rises at constant topography. Such achievements are strengthened by calculation of the solid fraction of surface water contact area applying Cassie-Baxter advancing and receding equations to water contact angle data of textured and flat reference surfaces.

  16. Electrodeless wet etching of n-GaN assisted with ultraviolet light

    International Nuclear Information System (INIS)

    Skriniarova, J.; Novotny, I.

    2012-01-01

    In this paper we studied photo-assisted electrodeless etching (ELPEC) of n-GaN in a K_2S_2O_8/KOH solution irradiated continuously with UV light. We investigated the impact of mask material on n-GaN patterning. As mask material thin layers of Ti, Pt and Au were used. The ratio of mask covered surface area to uncovered one was 7:1, 1:2 and 1:5. The K_2S_2O_8 oxidizing agent concentration was kept in the range from 0.006 to 0.1 M, the KOH electrolyte concentration was kept in the range from 0.004 to 0.04 M. (authors)

  17. Glass frit bonding with controlled width and height using a two-step wet silicon etching procedure

    Science.gov (United States)

    Yifang, Liu; Daner, Chen; Liwei, Lin; Gaofeng, Zheng; Jianyi, Zheng; Lingyun, Wang; Daoheng, Sun

    2016-03-01

    A simple and versatile two-step silicon wet etching technique for the control of the width and height of the glass frit bonding layer has been developed to improve bonding strength and reliability in wafer-level microelectromechanical systems (MEMS) packaging processes. The height of the glass frit bonding layer is set by the design of a vertical reference wall which regulates the distance between the silicon wafer and the encapsulation capping substrate. On the other hand, the width of the bonding layer is constrained between two micro grooves which are used to accommodate the spillages of extra glass frit during the bonding process. An optimized thermal bonding process, including the formation of glass liquid, removal of gas bubbles under vacuum and the filling of voids under normal atmospheric condition has been developed to suppress the formation of the bubbles/voids. The stencil printing and pre-sintering processes for the glass frit have been characterized before the thermal bonding process under different magnitudes of bonding pressure. The bonding gap thickness is found to be equal to the height of the reference wall of 10 μm in the prototype design. The bubbles/voids are found to be suppressed effectively and the bonding strength increases from 10.2 to 19.1 MPa as compared with a conventional thermal annealing process in air. Experimentally, prototype samples are measured to have passed the high hermetic sealing leakage tests of 5  ×  10-8 atm cc s-1.

  18. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  19. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  1. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  2. Design and application of a metal wet-etching post-process for the improvement of CMOS-MEMS capacitive sensors

    International Nuclear Information System (INIS)

    Tsai, Ming-Han; Sun, Chih-Ming; Liu, Yu-Chia; Fang, Weileun; Wang, Chuanwei

    2009-01-01

    This study presents a process design methodology to improve the performance of a CMOS-MEMS gap-closing capacitive sensor. In addition to the standard CMOS process, the metal wet-etching approach is employed as the post-CMOS process to realize the present design. The dielectric layers of the CMOS process are exploited to form the main micro mechanical structures of the sensor. The metal layers of the CMOS process are used as the sensing electrodes and sacrificial layers. The advantages of the sensor design are as follows: (1) the parasitic capacitance is significantly reduced by the dielectric structure, (2) in-plane and out-of-plane sensing gaps can be reduced to increase the sensitivity, and (3) plate-type instead of comb-type out-of-plane sensing electrodes are available to increase the sensing electrode area. To demonstrate the feasibility of the present design, a three-axis capacitive CMOS-MEMS accelerometers chip is implemented and characterized. Measurements show that the sensitivities of accelerometers reach 11.5 mV G −1 (in the X-, Y-axes) and 7.8 mV G −1 (in the Z-axis), respectively, which are nearly one order larger than existing designs. Moreover, the detection of 10 mG excitation using the three-axis accelerometer is demonstrated for both in-plane and out-of-plane directions

  3. COMPOSITE RESIN BOND STRENGTH TO ETCHED DENTINWITH ONE SELF PRIMING ADHESIVE

    Directory of Open Access Journals (Sweden)

    P SAMIMI

    2002-09-01

    Full Text Available Introduction. The purpose of this study was to compare shear bond strength of composite resins to etched dentin in both dry and wet dentin surface with active and inactive application of a single-bottle adhesive resin (Single Bond, 3M Dental products. Methods. Fourthy four intact human extracted molars and premolars teeth were selected. The facial surfaces of the teeth were grounded with diamond bur to expose dentin. Then specimens were divided into four groups of 11 numbers (9 Molars and 2 Premolars. All the samples were etched with Phosphoric Acid Gel 35% and then rinsed for 10 seconds. The following stages were carried out for each group: Group I (Active-Dry: After rinsing, air drying of dentin surface for 15 seconds, active priming of adhesive resin for 15 seconds, air drying for 5 seconds, the adhesive resin layer was light cured for 10 seconds. Group III (Inactive-Dry:After rinsing, air drying of dentin surface for 15 seconds, adhesive resin was applied and air dryied for 5 seconds, the adhesive layer was light cured for 10 seconds. Group III (Active-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, active priming of adhesive resin for 15 seconds and air drying for 5 seconds, the adhesive layer was light cured for 10 seconds. Group IV (Inactive-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, the adhesive resin was applied and air dryied for 5 seconds and then cured for 10 seconds. After adhesive resin application, composite resin (Z250, 3M Dental products was applied on prepared surface with cylindrical molds (with internal diameter of 2.8mm, & height of 5mm and light-cured for 100 seconds (5x20s. The samples were then thermocycled. They were located in 6±3c water .temperature for 10 seconds and then 15 seconds in inviromental temperature, 10s in 55±3c water temperature and then were located at room temperature for 15s. This test was repeated for 100s. All of the specimens

  4. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  5. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  6. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  7. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  8. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  9. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  10. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  11. Extrafibrillar collagen demineralization-based chelate-and-rinse technique bridges the gap between wet and dry dentin bonding.

    Science.gov (United States)

    Mai, Sui; Wei, Chin-Chuan; Gu, Li-Sha; Tian, Fu-Cong; Arola, Dwayne D; Chen, Ji-Hua; Jiao, Yang; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-15

    Limitations associated with wet-bonding led to the recent development of a selective demineralization strategy in which dentin was etched with a reduced concentration of phosphoric acid to create exclusive extrafibrillar demineralization of the collagen matrix. However, the use of acidic conditioners removes calcium via diffusion of very small hydronium ions into the intrafibrillar collagen water compartments. This defeats the purpose of limiting the conditioner to the extrafibrillar space to create a collagen matrix containing only intrafibrillar minerals to prevent collapse of the collagen matrix. The present work examined the use of polymeric chelators (the sodium salt of polyacrylic acid) of different molecular weights to selectively demineralize extrafibrillar dentin. These polymeric chelators exhibit different affinities for calcium ions (isothermal titration calorimetry), penetrated intrafibrillar dentin collagen to different extents based on their molecular sizes (modified size-exclusion chromatography), and preserve the dynamic mechanical properties of mineralized dentin more favorably compared with completely demineralized phosphoric acid-etched dentin (nanoscopical dynamic mechanical analysis). Scanning and transmission electron microscopy provided evidence for retention of intrafibrillar minerals in dentin surfaces conditioned with polymeric chelators. Microtensile bond strengths to wet-bonded and dry-bonded dentin conditioned with these polymeric chelators showed that the use of sodium salts of polyacrylic acid for chelating dentin prior to bonding did not result in significant decline in resin-dentin bond strength. Taken together, the findings led to the conclusion that a chelate-and-rinse conditioning technique based on extrafibrillar collagen demineralization bridges the gap between wet and dry dentin bonding. The chelate-and-rinse dental adhesive bonding concept differentiates from previous research in that it is based on the size

  12. Guidelines for the determination of selected trace metals in aerosols and in wet precipitation

    International Nuclear Information System (INIS)

    1988-01-01

    This publication describes sampling and analytical procedures suitable for the collection and analysis of representative samples of atmospheric aerosols and wet precipitation for selected trace metals. 11 refs, 2 tabs

  13. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Science.gov (United States)

    Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon

    2017-01-01

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p adhesives. PMID:29068404

  14. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  15. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  16. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  17. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  18. Quantifying the Sub-Cellular Distributions of Gold Nanospheres Uptaken by Cells through Stepwise, Site-Selective Etching.

    Science.gov (United States)

    Xia, Younan; Huo, Da

    2018-04-10

    A quantitative understanding of the sub-cellular distributions of nanoparticles uptaken by cells is important to the development of nanomedicine. With Au nanospheres as a model system, here we demonstrate, for the first time, how to quantify the numbers of nanoparticles bound to plasma membrane, accumulated in cytosol, and entrapped in lysosomes, respectively, through stepwise, site-selective etching. Our results indicate that the chance for nanoparticles to escape from lysosomes is insensitive to the presence of targeting ligand although ligand-receptor binding has been documented as a critical factor in triggering internalization. Furthermore, the presence of serum proteins is shown to facilitate the binding of nanoparticles to plasma membrane lacking the specific receptor. Collectively, these findings confirm the potential of stepwise etching in quantitatively analyzing the sub-cellular distributions of nanoparticles uptaken by cells in an effort to optimize the therapeutic effect. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  20. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  1. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  2. Development of a Silicon Microneedle with Three-Dimensional Sharp Tip by Electrochemical Etching

    Science.gov (United States)

    Izumi, Hayato; Okamoto, Tokusuke; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication technique of silicon microneedle of conical sharp point. The electrochemical etching technique is employed for sharpening the tip of a pillar, which is diced from a silicon wafer. A finely smooth tip surface is obtained due to electrochemical etching reactions, and is effective for easy insertion. The fabrication method is based on inexpensive wet etching, which does not require expensive fabrication facilities such as deep reactive ion etching (DRIE). A sharp needle was successfully fabricated, the tip angle of which was considerably small and was distributed within the range from 15 to 30 deg. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. As the results, the resistance force during insertion was much reduced compared to those of two-dimensional sharp needles. Imitating mosquito's motion, the effectiveness of applying vibration to the fabricated needle during insertion was also confirmed. After biocompatible Parylene coating, puncturing a human skin was demonstrated assuming a lancet usage for the diabetics, in which the bleeding was surely observed.

  3. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  4. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  5. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  6. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  7. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  8. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  9. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  10. Effect of a re‑wetting agent on bond strength of an adhesive to ...

    African Journals Online (AJOL)

    Objective: This study investigated the effect of a re‑wetting agent on the microtensile bond strengths (μTBS) of primary and permanent dentin after acid or laser etching. Materials and Methods: Twelve permanent and 12 primary molar teeth were ground to expose an occlusal dentin surface. Each group teeth were randomly ...

  11. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  12. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  13. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  14. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  15. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  16. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  17. Wetting properties of hybrid structure with hydrophilic ridges and hydrophobic channels

    Science.gov (United States)

    Lee, Dong-Ki; Choi, Su Young; Park, Min Soo; Cho, Young Hak

    2018-02-01

    In the present study, we fabricated a hybrid structure where the upper surface of the ridge is hydrophilic and the inner surface of the channel is hydrophobic. Laser-induced backside wet etching (LIBWE) process was performed to machine the hybrid structure on a Pyrex glass substrate. Wetting properties were evaluated from static contact angles (CAs) measurement in parallel and orthogonal directions. The water droplet on the hybrid structure was in the Cassie-Baxter state and showed anisotropic wetting property along groove lines. Moisture condensation studies under humid condition indicated that water droplets grew and coalesced on the ridge with hydrophilicity. Furthermore, water-oil separation was tested using a microfluidic chip with the developed hybrid structure. In case of hybrid microfluidic chip, the water could not flow into channel but the hexadecane could flow due to the capillary pressure difference.

  18. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  19. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  20. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  1. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  2. Selection of micro-fabrication techniques on stainless steel sheet for skin friction

    NARCIS (Netherlands)

    Zhang, Sheng; Zeng, Xiangqiong; Matthews, David Thomas Allan; Igartua, A.; Rodriguez Vidal, E.; Contreras Fortes, J.; Saenz de Viteri, V.; Pagano, F.; Wadman, B.; Wiklund, E.D.; van der Heide, Emile

    2016-01-01

    This review gives a concise introduction to the state-of-art techniques used for surface texturing, e.g., wet etching, plasma etching, laser surface texturing (LST), 3D printing, etc. In order to fabricate deterministic textures with the desired geometric structures and scales, the innovative

  3. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  4. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  5. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  6. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  7. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  8. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  9. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  10. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  11. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  12. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  13. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  14. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  15. Isotropically etched radial micropore for cell concentration, immobilization, and picodroplet generation.

    Science.gov (United States)

    Perroud, Thomas D; Meagher, Robert J; Kanouff, Michael P; Renzi, Ronald F; Wu, Meiye; Singh, Anup K; Patel, Kamlesh D

    2009-02-21

    To enable several on-chip cell handling operations in a fused-silica substrate, small shallow micropores are radially embedded in larger deeper microchannels using an adaptation of single-level isotropic wet etching. By varying the distance between features on the photolithographic mask (mask distance), we can precisely control the overlap between two etch fronts and create a zero-thickness semi-elliptical micropore (e.g. 20 microm wide, 6 microm deep). Geometrical models derived from a hemispherical etch front show that micropore width and depth can be expressed as a function of mask distance and etch depth. These models are experimentally validated at different etch depths (25.03 and 29.78 microm) and for different configurations (point-to-point and point-to-edge). Good reproducibility confirms the validity of this approach to fabricate micropores with a desired size. To illustrate the wide range of cell handling operations enabled by micropores, we present three on-chip functionalities: continuous-flow particle concentration, immobilization of single cells, and picoliter droplet generation. (1) Using pressure differentials, particles are concentrated by removing the carrier fluid successively through a series of 44 shunts terminated by 31 microm wide, 5 microm deep micropores. Theoretical values for the concentration factor determined by a flow circuit model in conjunction with finite volume modeling are experimentally validated. (2) Flowing macrophages are individually trapped in 20 microm wide, 6 microm deep micropores by hydrodynamic confinement. The translocation of transcription factor NF-kappaB into the nucleus upon lipopolysaccharide stimulation is imaged by fluorescence microscopy. (3) Picoliter-sized droplets are generated at a 20 microm wide, 7 microm deep micropore T-junction in an oil stream for the encapsulation of individual E. coli bacteria cells.

  16. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    Science.gov (United States)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  17. Characterization of selectively etched halloysite nanotubes by acid treatment

    Science.gov (United States)

    Garcia-Garcia, Daniel; Ferri, Jose M.; Ripoll, Laura; Hidalgo, Montserrat; Lopez-Martinez, Juan; Balart, Rafael

    2017-11-01

    Halloysite nanotubes (HNTs) are a type of naturally occurring inorganic nanotubes that are characterized by a different composition between their external and internal walls. The internal walls are mainly composed of alumina whilst external walls are composed of silica. This particular structure offers a dual surface chemistry that allows different selective surface treatments which can be focused on increasing the lumen, increasing porosity, etc. In this work, HNTs were chemically treated with different acids (sulphuric, acetic and acrylic acid), for 72 h at a constant temperature of 50 °C. As per the obtained results, the treatment with sulphuric acid is highly aggressive and the particular shape of HNTs is almost lost, with a remarkable increase in porosity. The BET surface area increases from 52.9 (untreated HNTs) up to 132.4 m2 g-1 with sulphuric acid treatment, thus showing an interesting potential in the field of catalysis. On the other hand, the treatment with acetic acid led to milder effects with a noticeable increase in the lumen diameter that changed from 13.8 nm (untreated HNTs) up to 18.4 nm which the subsequent increase in the loading capacity by 77.8%. The aluminium content was measured by X-ray fluorescence (XRF) and laser induced breakdown spectroscopy (LIBS). The final results using two systems, suggest a good correlation between the acid strength and the aluminium reduction. Consequently, is possible to conclude that new applications for HNTs can be derived from selective etching with acids. Sulphuric acid widens the potential of HNTs in the field of catalysis while weak acids such as acetic and acrylic acids give a controlled and homogeneous lumen increase with the corresponding increase in the loading capacity.

  18. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  19. Surface passivation of mixed-halide perovskite CsPb(BrxI1-x)3 nanocrystals by selective etching for improved stability.

    Science.gov (United States)

    Jing, Qiang; Zhang, Mian; Huang, Xiang; Ren, Xiaoming; Wang, Peng; Lu, Zhenda

    2017-06-08

    In recent years, there has been an unprecedented rise in the research of halide perovskites because of their important optoelectronic applications, including photovoltaic cells, light-emitting diodes, photodetectors and lasers. The most pressing question concerns the stability of these materials. Here faster degradation and PL quenching are observed at higher iodine content for mixed-halide perovskite CsPb(Br x I 1-x ) 3 nanocrystals, and a simple yet effective method is reported to significantly enhance their stability. After selective etching with acetone, surface iodine is partially etched away to form a bromine-rich surface passivation layer on mixed-halide perovskite nanocrystals. This passivation layer remarkably stabilizes the nanocrystals, making their PL intensity improved by almost three orders of magnitude. It is expected that a similar passivation layer can also be applied to various other kinds of perovskite materials with poor stability issues.

  20. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  1. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  2. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  3. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  4. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  5. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  6. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  7. High aspect ratio micro tool manufacturing for polymer replication using mu EDM of silicon, selective etching and electroforming

    DEFF Research Database (Denmark)

    Tosello, Guido; Bissacco, Giuliano; Tang, Peter Torben

    2008-01-01

    Mass fabrication of polymer micro components with high aspect ratio micro-structures requires high performance micro tools allowing the use of low cost replication processes such as micro injection moulding. In this regard an innovative process chain, based on a combination of micro electrical di...... discharge machining (mu EDM) of a silicon substrate, electroforming and selective etching was used for the manufacturing of a micro tool. The micro tool was employed for polymer replication by means of the injection moulding process....

  8. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  9. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  10. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  11. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  12. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    Science.gov (United States)

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  13. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  14. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  15. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  16. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  17. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  18. Ethanol wet-bonding technique sensitivity assessed by AFM.

    Science.gov (United States)

    Osorio, E; Toledano, M; Aguilera, F S; Tay, F R; Osorio, R

    2010-11-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed. Tested protocols were: (1) water-rinse (control); (2) 100% ethanol-rinse (1-min); (3) 100% ethanol-rinse (5-min); and (4) progressive ethanol replacement (50-100%). Surface roughness, fibril diameter, and interfibrillar spaces were determined with atomic force microscopy and analyzed by one-way analysis of variance and the Student-Newman-Keuls test (α = 0.05). Dentin roughness and fibril diameter significantly decreased when 100% ethanol (1-5 min) was used for rinsing (p ethanol produced collapse and shrinkage of collagen fibrils. Ascending ethanol concentrations did not collapse the matrix and shrank the fibrils less than absolute ethanol-rinses.

  19. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  20. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  1. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  2. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  3. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  4. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  5. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  6. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  7. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  8. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  10. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  11. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  12. Selective Acid Etching Improves the Bond Strength of Universal Adhesive to Sound and Demineralized Enamel of Primary Teeth.

    Science.gov (United States)

    Antoniazzi, Bruna Feltrin; Nicoloso, Gabriel Ferreira; Lenzi, Tathiane Larissa; Soares, Fabio Zovico Maxnuck; Rocha, Rachel de Oliveira

    To evaluate the influence of enamel condition and etching strategy on bond strength of a universal adhesive in primary teeth. Thirty-six primary molars were randomly assigned to six groups (n = 6) according to the enamel condition (sound [S] and demineralized [DEM]/cariogenic challenge by pH cycling prior to restorative procedures) and adhesive system (Scotchbond Universal Adhesive [SBU]) used in either etch-and-rinse (ER) or selfetching (SE) mode, with Clearfil SE Bond as the self-etching control. The adhesives were applied to flat enamel surfaces and composite cylinders (0.72 mm2) were built up. After 24-h storage in water, specimens were subjected to the microshear test. Bond strength (MPa) data were analyzed using two-way ANOVA and Tukey's post-hoc tests (α = 0.05). Significant differences were found considering the factors adhesive system (p = 0.003) and enamel condition (p = 0.001). Demineralized enamel negatively affected the bond strength, with μSBS values approximately 50% lower than those obtained for sound enamel. SBU performed better in etch-and-rinse mode, and the bond strength found for SBU applied in self-etching mode was similar to that of CSE. Enamel etching with phosphoric acid improves the bond strength of a universal adhesive system to primary enamel. Demineralized primary enamel results in lower bond strength.

  13. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  14. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  15. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  16. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  17. Fabrication and characterization of a nanometer-sized optical fiber electrode based on selective chemical etching for scanning electrochemical/optical microscopy.

    Science.gov (United States)

    Maruyama, Kenichi; Ohkawa, Hiroyuki; Ogawa, Sho; Ueda, Akio; Niwa, Osamu; Suzuki, Koji

    2006-03-15

    We have already reported a method for fabricating ultramicroelectrodes (Suzuki, K. JP Patent, 2004-45394, 2004). This method is based on the selective chemical etching of optical fibers. In this work, we undertake a detailed investigation involving a combination of etched optical fibers with various types of tapered tip (protruding-shape, double- (or pencil-) shape and triple-tapered electrode) and insulation with electrophoretic paint. Our goal is to establish a method for fabricating nanometer-sized optical fiber electrodes with high reproducibility. As a result, we realized pencil-shaped and triple-tapered electrodes that had radii in the nanometer range with high reproducibility. These nanometer-sized electrodes showed well-defined sigmoidal curves and stable diffusion-limited responses with cyclic voltammetry. The pencil-shaped optical fiber, which has a conical tip with a cone angle of 20 degrees , was effective for controlling the electrode radius. The pencil-shaped electrodes had higher reproducibility and smaller electrode radii (r(app) etched optical fiber electrodes. By using a pencil-shaped electrode with a 105-nm radius as a probe, we obtained simultaneous electrochemical and optical images of an implantable interdigitated array electrode. We achieved nanometer-scale resolution with a combination of scanning electrochemical microscopy SECM and optical microscopy. The resolution of the electrochemical and optical images indicated sizes of 300 and 930 nm, respectively. The neurites of living PC12 cells were also successfully imaged on a 1.6-microm scale by using the negative feedback mode of an SECM.

  18. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  19. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  20. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  1. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  2. Production Relationships among Cassava Farmers in Etche Local ...

    African Journals Online (AJOL)

    The study examined production relationships among cassava farmers in Etche L.G.A. of Rivers State, Nigeria. Multistage random sampling technique was used in the data generation exercise. A total of 96 cassava farmers were randomly selected from three out of the five clans for interview using structured questionnaire.

  3. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  4. Effect of the duration of a wet KCN etching step and post deposition annealing on the efficiency of Cu2ZnSnSe4 solar cells

    OpenAIRE

    Sahayaraj, Sylvester; Brammertz, Guy; Vermang, Bart; Ranjbar, Samaneh; Meuris, Marc; Vleugels, Jef; Poortmans, Jef

    2016-01-01

    The influence of the duration of the KCN etching step on the efficiency of Cu2ZnSnSe4 (CZTSe) solar cells and Post deposition annealing (PDA) has been explored. CZTSe thin film absorbers prepared by selenization at 450 degrees C were etched by 5 wt% KCN/KOH from 30s up to 360 s before solar cell processing. KCN etching times above 120 s resulted in poor efficiencies. The fill factor (FF) and short circuit current density Jsc) of these devices were affected severely. After annealing the solar ...

  5. Proportion quantitative analysis and etching of {110} planes on tungsten single crystal coating surface

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Rende, E-mail: dallasbiam@163.com [Beijing Institute of Aeronautical Materials, Aviation Key Laboratory of Science and Technology on Advanced Corrosion and Protection for Aviation Material, Department 5, P.O. Box 81-5, Beijing 100095 (China); Tan, Chengwen; Yu, Xiaodong [School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-05-05

    Tungsten single crystal and poly crystal were treated by electrolytic etching in a 3% by weight solution of NaOH in distilled water. The method for determining the proportion of {110} planes and characteristic morphology on the coating surface after electrolytic etching were investigated using EBSD and auto-focusing microscope. Then the optimization of process parameters for electrolytic etching is achieved. In order to compare the effect of process parameters, three process parameters were selected for the tungsten single crystal electrolytic etching. Through analyzing the change of {110} planes' proportion, we found that when the coatings are etched with 1.4 amp/cm{sup 2} and 3 min, {110} planes can be exposed in the greatest degree that can reach 61.4% on tubular surfaces. The proposed approach greatly improves the proportion of {110} planes relative to the original surface. - Highlights: • Tungsten single/poly crystals treated by electrolytic etching in solution of NaOH. • The {110} planes have the lower surface free energy than {112}. • Some {112} planes etched firstly, the {110} planes exposed at last during etching. • {110} planes exposed to the greatest extent with 1.4 amp/cm{sup 2} and 3 min.

  6. Acid Etching as Surface Treatment Method for Luting of Glass-Ceramic Restorations, part 1: Acids, Application Protocol and Etching Effectiveness

    Directory of Open Access Journals (Sweden)

    Emilija Barjaktarova-Valjakova

    2018-03-01

    CONCLUSION: Acid etching of the bonding surface of glass - ceramic restorations is considered as the most effective treatment method that provides a reliable bond with composite cement. Selective removing of the glassy matrix of silicate ceramics results in a micromorphological three-dimensional porous surface that allows micromechanical interlocking of the luting composite.

  7. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  8. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  9. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  10. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  11. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  12. Etching of semiconductors and metals by the photonic jet with shaped optical fiber tips

    Science.gov (United States)

    Pierron, Robin; Lecler, Sylvain; Zelgowski, Julien; Pfeiffer, Pierre; Mermet, Frédéric; Fontaine, Joël

    2017-10-01

    The etching of semiconductors and metals by a photonic jet (PJ) generated with a shaped optical fiber tip is studied. Etched marks with a diameter of 1 μm have been realized on silicon, stainless steel and titanium with a 35 kHz pulsed laser, emitting 100 ns pulses at 1064 nm. The selection criteria of the fiber and its tip are discussed. We show that a 100/140 silica fiber is a good compromise which takes into account the injection, the working distance and the energy coupled in the higher-order modes. The energy balance is performed on the basis of the known ablation threshold of the material. Finally, the dependence between the etching depth and the number of pulses is studied. Saturation is observed probably due to a redeposition of the etched material, showing that a higher pulse energy is required for deeper etchings.

  13. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  14. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  15. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  16. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  17. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  18. Electrodeposited Ni nanowires-track etched P.E.T. composites as selective solar absorbers

    Science.gov (United States)

    Lukhwa, R.; Sone, B.; Kotsedi, L.; Madjoe, R.; Maaza, M.

    2018-05-01

    This contribution reports on the structural, optical and morphological properties of nanostructured flexible solar-thermal selective absorber composites for low temperature applications. The candidate material in the system is consisting of electrodeposited nickel nano-cylinders embedded in track-etched polyethylene terephthalate (PET) host membrane of pore sizes ranging between 0.3-0.8µm supported by conductive nickel thin film of about 0.5µm. PET were irradiated with 11MeV/u high charged xenon (Xe) ions at normal incidence. The tubular and metallic structure of the nickel nano-cylinders within the insulator polymeric host forms a typical ceramic-metal nano-composite "Cermet". The produced material was characterized by the following techniques: X-ray diffraction (XRD) for structural characterization to determine preferred crystallographic structure, and grain size of the materials; Scanning electron microscopy (SEM) to determine surface morphology, particle size, and visual imaging of distribution of structures on the surface of the substrate; Atomic force microscopy (AFM) to characterize surface roughness, surface morphology, and film thickness, and UV-Vis-NIR spectrophotometer to measure the reflectance, then to determine solar absorption

  19. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  20. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  1. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  2. Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors

    KAUST Repository

    Wei, Binbin

    2018-03-18

    Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm−2 at 1.0 mA cm−2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm−3 and a maximum power density of 6.6 W cm−3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.

  3. Porous CrN thin films by selectively etching CrCuN for symmetric supercapacitors

    Science.gov (United States)

    Wei, Binbin; Mei, Gui; Liang, Hanfeng; Qi, Zhengbing; Zhang, Dongfang; Shen, Hao; Wang, Zhoucheng

    2018-05-01

    Transition metal nitrides are regarded as a new class of excellent electrode materials for high-performance supercapacitors due to their superior chemical stability and excellent electrical conductivity. We synthesize successfully the porous CrN thin films for binder-free supercapacitor electrodes by reactive magnetron co-sputtering and selective chemical etching. The porous CrN thin film electrodes exhibit high-capacitance performance (31.3 mF cm-2 at 1.0 mA cm-2) and reasonable cycling stability (94% retention after 20000 cycles). Moreover, the specific capacitance is more than two-fold higher than that of the CrN thin film electrodes in previous work. In addition, a symmetric supercapacitor device with a maximum energy density of 14.4 mWh cm-3 and a maximum power density of 6.6 W cm-3 is achieved. These findings demonstrate that the porous CrN thin films will have potential applications in supercapacitors.

  4. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  5. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  6. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  7. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  8. Ion-beam enhanced etching for the 3D structuration of lithium niobate

    International Nuclear Information System (INIS)

    Gischkat, Thomas

    2010-01-01

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO 3 ).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO 3 with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO 3 . Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO 3 . This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [de

  9. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  11. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  12. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  13. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  14. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  15. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  16. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  17. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  18. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    Science.gov (United States)

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost ( 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  19. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  20. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  1. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  2. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  3. Effect of certain production parameters and post-production treatments on the etching characteristics of CR-39 sheets

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, G.; Toth-Szilagyi, M.; Hunyadi, I.; Hafez, A.-F.

    1986-01-01

    There is a permanent need in producing track recording detectors of well defined behaviour from CR-39 monomer. Therefore, more studies on the role of production parameters determining the stability of main etching characteristics (bulk etch rate, Vsub(B);etch rate ratio, Vsub(T)/Vsub(B);opaqueness after longer etching periods) are highly required. In our studies we have examined different commercial CR-39 sheets (Homalite, Pershore, MA-ND) to compare their registration sensitivity to selected alpha and proton energies. In addition, we have investigated the main etching parameters of CR-39 sheets manufactured under different casting conditions varying the concentration of initiator and certain additives. Finally, the effect of some post-production treatments, annealing and storage in vacuum, on the Vsub(B) and Vsub(T)/Vsub(B) values is studied.

  4. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process

    DEFF Research Database (Denmark)

    Chang, Bingdong; Leussink, Pele; Jensen, Flemming

    2018-01-01

    The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch ra...

  5. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  6. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  7. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  8. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  9. Microleakage assessment of fissure sealant following fissurotomy bur or pumice prophylaxis use before etching

    Directory of Open Access Journals (Sweden)

    Ali Bagherian

    2013-01-01

    The aim of this investigation was to compare the microleakage level of fissure sealants prepared by a fissurotomy bur or pumice prophylaxis prior to acid etching. Materials and Methods: Ninety freshly extracted healthy maxillary premolar teeth were randomly selected for this investigation. Teeth were then divided into three fissure sealant preparatory groups of A: Fissurotomy bur + acid etch; B: Pumice prophylaxis + acid etch and C: Acid etch alone. Sealant was applied to the occlusal fissures of all specimens using a plastic instrument. This was to avoid any air trap under the sealant. Sample teeth were first thermocycled (1000 cycles, 20 s dwell time and then coated with two layers of nail varnish leaving 2 mm around the sealant. This was then followed by immersion in basic fuchsin 3%. Processed teeth were sectioned longitudinally and examined under a stereomicroscope for microleakage assessment using a score of 0-3. Collected data was then subjected to Kruskall-Wallis Analysis of Variance and Mann-Whitney U-test. P < 0.05 was considered as significant. Results: Teeth in fissurotomy bur and pumice prophylaxis groups had significantly reduced level of microleakage than those in acid etch alone (P = 0.005 and P = 0.003, respectively. Conclusion: Use of fissurotomy bur and pumice prophylaxis accompanied with acid etching appears to have a more successful reduction of microleakage than acid etch alone.

  10. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  11. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  12. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  13. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  14. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  15. Isolation, selection and evaluation of yeasts for use in fermentation of coffee beans by the wet process.

    Science.gov (United States)

    de Melo Pereira, Gilberto Vinícius; Soccol, Vanete Thomaz; Pandey, Ashok; Medeiros, Adriane Bianchi Pedroni; Andrade Lara, João Marcos Rodrigues; Gollo, André Luiz; Soccol, Carlos Ricardo

    2014-10-01

    During wet processing of coffee, the ripe cherries are pulped, then fermented and dried. This study reports an experimental approach for target identification and selection of indigenous coffee yeasts and their potential use as starter cultures during the fermentation step of wet processing. A total of 144 yeast isolates originating from spontaneously fermenting coffee beans were identified by molecular approaches and screened for their capacity to grow under coffee-associated stress conditions. According to ITS-rRNA gene sequencing, Pichia fermentans and Pichia kluyveri were the most frequent isolates, followed by Candida Candida glabrata, quercitrusa, Saccharomyces sp., Pichia guilliermondii, Pichia caribbica and Hanseniaspora opuntiae. Nine stress-tolerant yeast strains were evaluated for their ability to produce aromatic compounds in a coffee pulp simulation medium and for their pectinolytic activity. P. fermentans YC5.2 produced the highest concentrations of flavor-active ester compounds (viz., ethyl acetate and isoamyl acetate), while Saccharomyces sp. YC9.15 was the best pectinase-producing strain. The potential impact of these selected yeast strains to promote flavor development in coffee beverages was investigated for inoculating coffee beans during wet fermentation trials at laboratory scale. Inoculation of a single culture of P. fermentans YC5.2 and co-culture of P. fermentans YC5.2 and Saccharomyces sp. YC9.15 enhanced significantly the formation of volatile aroma compounds during the fermentation process compared to un-inoculated control. The sensory analysis indicated that the flavor of coffee beverages was influenced by the starter cultures, being rated as having the higher sensory scores for fruity, buttery and fermented aroma. This demonstrates a complementary role of yeasts associated with coffee quality through the synthesis of yeast-specific volatile constituents. The yeast strains P. fermentans YC5.2 and Saccharomyces sp. YC9.15 have a great

  16. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  17. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  18. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  19. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  20. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  1. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  2. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  3. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  4. Fabrication of Microcomponents by Electrochemical Manufacturing: Advanced Feed-Through Metallisation on Silicon and Nickel Micromechanical Resonators

    DEFF Research Database (Denmark)

    Tang, Peter Torben; Heschel, Matthias; Ravnkilde, Jan Tue

    2000-01-01

    Electrochemical processes such as electroplating, wet selective etching (or controlled corrosion) and electroless plating are powerful tools for fabrication of MEMS (Micro ElectroMechanical Systems) products. Especially when the electrochemical processes are used in combination with UV-lithograph......Electrochemical processes such as electroplating, wet selective etching (or controlled corrosion) and electroless plating are powerful tools for fabrication of MEMS (Micro ElectroMechanical Systems) products. Especially when the electrochemical processes are used in combination with UV......, as well as nickel/gold pads for conductive adhesive bonding, are also deposited by electroplating. The second example is a simple, inexpensive, low-temperature electroplating process for fabrication of released, stress-free nickel comb resonators. Since the manufacturing sequence only involves low...

  5. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  6. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  7. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  8. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  9. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  10. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  11. Selective Laser Sintering And Melting Of Pristine Titanium And Titanium Ti6Al4V Alloy Powders And Selection Of Chemical Environment For Etching Of Such Materials

    Directory of Open Access Journals (Sweden)

    Dobrzański L.A.

    2015-09-01

    Full Text Available The aim of the investigations described in this article is to present a selective laser sintering and melting technology to fabricate metallic scaffolds made of pristine titanium and titanium Ti6Al4V alloy powders. Titanium scaffolds with different properties and structure were manufactured with this technique using appropriate conditions, notably laser power and laser beam size. The purpose of such elements is to replace the missing pieces of bones, mainly cranial and facial bones in the implantation treatment process. All the samples for the investigations were designed in CAD/CAM (3D MARCARM ENGINEERING AutoFab (Software for Manufacturing Applications software suitably integrated with an SLS/SLM system. Cube-shaped test samples dimensioned 10×10×10 mm were designed for the investigations using a hexagon-shaped base cell. The so designed 3D models were transferred to the machine software and the actual rapid manufacturing process was commenced. The samples produced according to the laser sintering technology were subjected to chemical processing consisting of etching the scaffolds’ surface in different chemical mediums. Etching was carried out to remove the loosely bound powder from the surface of scaffolds, which might detach from their surface during implantation treatment and travel elsewhere in an organism. The scaffolds created were subjected to micro- and spectroscopic examinations

  12. Microfabricated Cantilevers Based on Sputtered Thin-Film Ni50Ti50 Shape Memory Alloy (SMA)

    Science.gov (United States)

    2015-08-01

    surface coating developed during the NiTi deposition or anneal that is relatively resistant to the wet etch. Fig. 2 SEMs after the NiTi wet -etch...SEMs of NiTi devices after the 600 °C anneal , wet -etch patterning of the NiTi. A 120-nm Au capping layer was also sputtered. Figure 3a shows a 200-nm...Ni50Ti50 Cantilever 2 3. Results and Discussion 3 3.1 Wet -Etch Patterning NiTi 3 3.2 Dry-Etch Release of NiTi Devices 5 3.3 Thermal Actuation of

  13. Design considerations for wet flue gas desulfurization systems - wet scrubber hardware issues

    Energy Technology Data Exchange (ETDEWEB)

    Hurwitz, H.

    1994-12-31

    About 20 years ago the first wet flue gas desulfurization systems installed on coal fired utility boilers in the United States were experiencing extreme operating problems. In addition to their failure to achieve the necessary SO{sub 2} removal efficiencies, these FGD systems required a major investment in maintenance, both material and labor, just to remain operational. These first generation systems demonstrated that a lack of understanding of the chemistry and operating conditions of wet flue gas desulfurization can lead to diastrous results. As the air pollution control industry developed, both in the United States and in Japan, a second generation of FGD systems was introduced. These designs incorporated major improvements in both system chemistry control and in the equipment utilized in the process. Indeed, the successful introduction of utility gas desulfurization systems in Germany was possible only through the transfer of the technology improvements developed in the US and in Japan. Today, technology has evolved to a third generation of wet flue gas desulfurication systems and these systems are now offered worldwide through a series of international licensing agreements. The rapid economic growth and development in Asia and the Pacific Rim combined with existing problems in ambient air quality in these same geographic areas, has resulted in the use of advanced air pollution control systems; including flue gas desulfurization both for new utility units and for many retrofit projects. To meet the requirements of the utility industry, FGD systems must meet high standards of reliability, operability and performance. Key components in achieving these objectives are: FGD System reliability/operability/performance; FGD system supplier qualifications; process design; equipment selection. This paper will discuss each of the essential factors with a concentration on the equipment selection and wet scrubber hardware issues.

  14. Fabrication of a terahertz quantum-cascade laser with a double metal waveguide based on multilayer GaAs/AlGaAs heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khabibullin, R. A., E-mail: khabibullin@isvch.ru; Shchavruk, N. V.; Pavlov, A. Yu.; Ponomarev, D. S.; Tomosh, K. N.; Galiev, R. R.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Zhukov, A. E.; Cirlin, G. E.; Zubov, F. I.; Alferov, Zh. I. [Russian Academy of Sciences, Saint Petersburg Academic University—Nanotechnology Research and Education Center (Russian Federation)

    2016-10-15

    The Postgrowth processing of GaAs/AlGaAs multilayer heterostructures for terahertz quantumcascade lasers (QCLs) are studied. This procedure includes the thermocompression bonding of In–Au multilayer heterostructures with a doped n{sup +}-GaAs substrate, mechanical grinding, and selective wet etching of the substrate, and dry etching of QCL ridge mesastripes through a Ti/Au metallization mask 50 and 100 μm wide. Reactive-ion-etching modes with an inductively coupled plasma source in a BCl{sub 3}/Ar gas mixture are selected to obtain vertical walls of the QCL ridge mesastripes with minimum Ti/Au mask sputtering.

  15. Effect of a whitening agent application on enamel bond strength of self-etching primer systems.

    Science.gov (United States)

    Miyazaki, Masashi; Sato, Hikaru; Sato, Tomomi; Moore, B Keith; Platt, Jeffrey A

    2004-06-01

    Though reduction in bond strength after tooth whitening has been reported, little is known about it's effect on enamel bond strength of two-step bonding systems that exclude phosphoric acid etching prior to bonding agent application. The purpose of this study was to determine the effect of whitening procedure using an in-office whitening agent on enamel bond strength of self-etching primer systems. Three self-etching primer systems, Imperva Fluoro Bond, Mac Bond II, Clearfil SE Bond, and a one-bottle adhesive system Single Bond as a control material, were used. Bovine mandibular incisors were mounted in self-curing resin and the facial enamel or dentin surfaces were ground wet on 600-grit SiC paper. An in-office whitening agent, Hi-Lite was applied on the tooth surface according to the manufacturer's instruction. Bonding procedures were done soon after rinsing off the whitening agent or after 24 hours storage in distilled water. Specimens without whitening procedure were prepared as controls. Fifteen specimens per test group were stored in 37 degrees C distilled water for 24 hours, then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Duncan multiple range test were used for statistical analysis of the results. For the specimens made soon after rinsing off the whitening agent, a significant decrease in enamel bond strength was observed for all the bonding systems used. For the specimens made after 24 hours storage in water, a small decrease in enamel bond strength was observed and no significant differences were found compared to those of controls (without whitening). From the results of this study, enamel bond strengths of the self-etching primer systems might be affected to a lesser degree after rinsing with water followed by 24 hours storage in water.

  16. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  17. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  18. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  19. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    OpenAIRE

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted ...

  20. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  1. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  2. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  3. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  4. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  5. Shear bond strength and debonding characteristics of metal and ceramic brackets bonded with conventional acid-etch and self-etch primer systems: An in-vivo study.

    Science.gov (United States)

    Mirzakouchaki, Behnam; Shirazi, Sajjad; Sharghi, Reza; Shirazi, Samaneh; Moghimi, Mahsan; Shahrbaf, Shirin

    2016-02-01

    Different in-vitro studies have reported various results regarding shear bond strength (SBS) of orthodontic brackets when SEP technique is compared to conventional system. This in-vivo study was designed to compare the effect of conventional acid-etching and self-etching primer adhesive (SEP) systems on SBS and debonding characteristics of metal and ceramic orthodontic brackets. 120 intact first maxillary and mandibular premolars of 30 orthodontic patients were selected and bonded with metal and ceramic brackets using conventional acid-etch or self-etch primer system. The bonded brackets were incorporated into the wire during the study period to simulate the real orthodontic treatment condition. The teeth were extracted and debonded after 30 days. The SBS, debonding characteristics and adhesive remnant indices (ARI) were determined in all groups. The mean SBS of metal brackets was 10.63±1.42 MPa in conventional and 9.38±1.53 MPa in SEP system, (P=0.004). No statistically significant difference was noted between conventional and SEP systems in ceramic brackets. The frequency of 1, 2 and 3 ARI scores and debonding within the adhesive were the most common among all groups. No statistically significant difference was observed regarding ARI or failure mode of debonded specimens in different brackets or bonding systems. The SBS of metal brackets bonded using conventional system was significantly higher than SEP system, although the SBS of SEP system was clinically acceptable. No significant difference was found between conventional and SEP systems used with ceramic brackets. Total SBS of metal brackets was significantly higher than ceramic brackets. Due to adequate SBS of SEP system in bonding the metal brackets, it can be used as an alternative for conventional system. Shear bond strength, Orthodontic brackets, Adhesive remnant index, self-etch.

  6. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  7. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  8. Selective Oxidation and Reactive Wetting During Hot-Dip Galvanizing of a 1.0 pct Al-0.5 pct Si TRIP-Assisted Steel

    Science.gov (United States)

    Bellhouse, E. M.; McDermid, J. R.

    2012-07-01

    Selective oxidation and reactive wetting during continuous galvanizing were studied for a low-alloy transformation induced plasticity (TRIP)-assisted steel with 0.2 pct C, 1.5 pct Mn, 1.0 pct Al and 0.5 pct Si. Three process atmospheres were tested during annealing prior to galvanizing: 220 K (-53 °C) dew point (dp) N2-20 pct H2, 243 K (-30 °C) dp N2-5 pct H2 and 278 K (+5 °C) dp N2-5 pct H2. The process atmosphere oxygen partial pressure affected the oxide chemistry, morphology and thickness. For the 220 K (-53 °C) dp and 243 K (-30 °C) dp process atmospheres, film and nodule-type manganese, silicon and aluminum containing oxides were observed at the surface. For the 278 K (+5 °C) dp atmosphere, MnO was observed at the grain boundaries and as thicker localized surface films. Oxide morphology, thickness and chemistry affected reactive wetting, with complete wetting being observed for the 220 K (-53 °C) dp and 243 K (-30 °C) dp process atmospheres and incomplete reactive wetting being observed for the 278 K (+5 °C) dp atmosphere. Complete reactive wetting for the 220 K (-53 °C) dp and 243 K (-30 °C) dp process atmospheres was attributed to a combination of zinc bridging of oxides, aluminothermic reduction of surface oxides and wetting of the oxides. Incomplete wetting for the 278 K (+5 °C) dp atmosphere was attributed to localized thick MnO films.

  9. Superluminescent light emitting diodes on naturally survived InGaN/GaN lateral nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Banerjee, D.; Sankaranarayanan, S.; Khachariya, D.; Nadar, M. B.; Ganguly, S.; Saha, D., E-mail: dipankarsaha@iitb.ac.in [Applied Quantum Mechanics Laboratory, Centre of Excellence in Nanoelectronics, Indian Institute of Technology Bombay, Powai, Mumbai 400076 (India)

    2016-07-18

    We demonstrate a method for nanowire formation by natural selection during wet anisotropic chemical etching in boiling phosphoric acid. Nanowires of sub-10 nm lateral dimensions and lengths of 700 nm or more are naturally formed during the wet etching due to the convergence of the nearby crystallographic hexagonal etch pits. These nanowires are site controlled when formed in augmentation with dry etching. Temperature and power dependent photoluminescence characterizations confirm excitonic transitions up to room temperature. The exciton confinement is enhanced by using two-dimensional confinement whereby enforcing greater overlap of the electron-hole wave-functions. The surviving nanowires have less defects and a small temperature variation of the output electroluminescent light. We have observed superluminescent behaviour of the light emitting diodes formed on these nanowires. There is no observable efficiency roll off for current densities up to 400 A/cm{sup 2}.

  10. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  11. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  12. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  13. Analysis on Wetting Deformation Properties of Silty Clay

    Directory of Open Access Journals (Sweden)

    Xinrong Liu

    2014-06-01

    Full Text Available Changes in water level that cause deformation and stability problems often occur in foundation pit engineering. Water damage is one of the main problems that will lead to disasters in foundation pit engineering. Research findings with regard to properties of wetting deformation due to water damage can be applied not only in foundation pit engineering, slope engineering, hydraulic engineering, and mining engineering but also in related issues in the field of theoretical research and practice. In this study, the characteristics of silty clay deformation after wetting are examined from the perspective of the effect of wetting on the side wall of foundation pit, and wetting experiments on silty clay of a selected area’s stratum located in Chongqing Municipality are conducted under different confining pressures and stress levels through a multi-function triaxial apparatus. Then, laws of silty clay wetting deformation are obtained, and the relationship between wetting stress level and wetting deformation amount is also figured out. The study reveals that the maximum values of wetting deformation under different confining pressures have appear at a particular stress level; therefore, the related measures should be taken to avoid this deformation in the process of construction.

  14. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  15. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  16. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  17. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  18. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  19. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  20. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  1. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  2. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  3. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    International Nuclear Information System (INIS)

    Li, P; Xie, J; Cheng, J; Wu, K K

    2014-01-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25–80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface. (paper)

  4. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    Science.gov (United States)

    Li, P.; Xie, J.; Cheng, J.; Wu, K. K.

    2014-07-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25-80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface.

  5. Rapid prototyping of 2D glass microfluidic devices based on femtosecond laser assisted selective etching process

    Science.gov (United States)

    Kim, Sung-Il; Kim, Jeongtae; Koo, Chiwan; Joung, Yeun-Ho; Choi, Jiyeon

    2018-02-01

    Microfluidics technology which deals with small liquid samples and reagents within micro-scale channels has been widely applied in various aspects of biological, chemical, and life-scientific research. For fabricating microfluidic devices, a silicon-based polymer, PDMS (Polydimethylsiloxane), is widely used in soft lithography, but it has several drawbacks for microfluidic applications. Glass has many advantages over PDMS due to its excellent optical, chemical, and mechanical properties. However, difficulties in fabrication of glass microfluidic devices that requires multiple skilled steps such as MEMS technology taking several hours to days, impedes broad application of glass based devices. Here, we demonstrate a rapid and optical prototyping of a glass microfluidic device by using femtosecond laser assisted selective etching (LASE) and femtosecond laser welding. A microfluidic droplet generator was fabricated as a demonstration of a microfluidic device using our proposed prototyping. The fabrication time of a single glass chip containing few centimeter long and complex-shaped microfluidic channels was drastically reduced in an hour with the proposed laser based rapid and simple glass micromachining and hermetic packaging technique.

  6. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  7. Highly selective and sensitive paper-based colorimetric sensor using thiosulfate catalytic etching of silver nanoplates for trace determination of copper ions.

    Science.gov (United States)

    Chaiyo, Sudkate; Siangproh, Weena; Apilux, Amara; Chailapakul, Orawon

    2015-03-25

    A novel, highly selective and sensitive paper-based colorimetric sensor for trace determination of copper (Cu(2+)) ions was developed. The measurement is based on the catalytic etching of silver nanoplates (AgNPls) by thiosulfate (S2O3(2-)). Upon the addition of Cu(2+) to the ammonium buffer at pH 11, the absorption peak intensity of AuNPls/S2O3(2-) at 522 nm decreased and the pinkish violet AuNPls became clear in color as visible to the naked eye. This assay provides highly sensitive and selective detection of Cu(2+) over other metal ions (K(+), Cr(3+), Cd(2+), Zn(2+), As(3+), Mn(2+), Co(2+), Pb(2+), Al(3+), Ni(2+), Fe(3+), Mg(2+), Hg(2+) and Bi(3+)). A paper-based colorimetric sensor was then developed for the simple and rapid determination of Cu(2+) using the catalytic etching of AgNPls. Under optimized conditions, the modified AgNPls coated at the test zone of the devices immediately changes in color in the presence of Cu(2+). The limit of detection (LOD) was found to be 1.0 ng mL(-1) by visual detection. For semi-quantitative measurement with image processing, the method detected Cu(2+) in the range of 0.5-200 ng mL(-1)(R(2)=0.9974) with an LOD of 0.3 ng mL(-1). The proposed method was successfully applied to detect Cu(2+) in the wide range of real samples including water, food, and blood. The results were in good agreement according to a paired t-test with results from inductively coupled plasma-optical emission spectrometry (ICP-OES). Copyright © 2015. Published by Elsevier B.V.

  8. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  9. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  10. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  11. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  12. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  13. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  14. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  15. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  16. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  18. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  19. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  20. Adhesion to pulp chamber dentin: Effect of ethanol-wet bonding technique and proanthocyanidins application

    Directory of Open Access Journals (Sweden)

    Pallavi Sharma

    2015-01-01

    Full Text Available Aim: To evaluate the microleakage of a simplified etch-and-rinse adhesive bonded to pulp chamber dentin with water-wet bonding (WWB or ethanol-wet bonding (EWB with and without proanthocyanidins (PA application. Materials and Methods: Total 88 non-carious extracted human molar teeth were sectioned horizontally to expose the pulp chambers 1.5 mm coronal to the cemento-enamel junction. After the pulp tissue extirpation, canal orifices were enlarged and the root ends were sealed. The samples were randomly divided equally into following four groups according to the four bonding techniques performed using Adper Single Bond 2 [SB] adhesive (1 WWB; (2 EWB; (3 WWB and PA application [WWB + PA]; (4 EWB and PA application [EWB + PA]. Composite resin restorations were performed in all the pulp chambers. Total 20 samples from each group were subjected to microleakage evaluation, and two samples per group were assessed under scanning electron microscope for interfacial micromorphology. Results: The least microleakage score was observed in group 2 (EWB with similar results seen in group 4 (EWB + PA (P = 0.918. Group 2 (EWB showed significantly less microleakage than group 1 (WWB; P = 0.002 and group 3 (WWB + PA; P = 0.009. Group 4 (EWB + PA also depicted significantly reduced microleakage as compared with group 1 (WWB; P = 0.001 and group 3 (WWB + PA; P = 0.003. Conclusion: The use of EWB technique in a clinically relevant simplified dehydration protocol significantly reduced microleakage in simplified etch-and-rinse adhesive, Adper Single Bond 2, bonded to pulp chamber dentin. Application of PA had no significant effect on the microleakage of the adhesive bonded with either WWB or EWB.

  1. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  2. Study of Ni Metallization in Macroporous Si Using Wet Chemistry for Radio Frequency Cross-Talk Isolation in Mixed Signal Integrated Circuits.

    Science.gov (United States)

    Zhang, Xi; Xu, Chengkun; Chong, Kyuchul; Tu, King-Ning; Xie, Ya-Hong

    2011-05-25

    A highly conductive moat or Faraday cage of through-the-wafer thickness in Si substrate was proposed to be effective in shielding electromagnetic interference thereby reducing radio frequency (RF) cross-talk in high performance mixed signal integrated circuits. Such a structure was realized by metallization of selected ultra-high-aspect-ratio macroporous regions that were electrochemically etched in p - Si substrates. The metallization process was conducted by means of wet chemistry in an alkaline aqueous solution containing Ni 2+ without reducing agent. It is found that at elevated temperature during immersion, Ni 2+ was rapidly reduced and deposited into macroporous Si and a conformal metallization of the macropore sidewalls was obtained in a way that the entire porous Si framework was converted to Ni. A conductive moat was as a result incorporated into p - Si substrate. The experimentally measured reduction of crosstalk in this structure is 5~18 dB at frequencies up to 35 GHz.

  3. Study of Ni Metallization in Macroporous Si Using Wet Chemistry for Radio Frequency Cross-Talk Isolation in Mixed Signal Integrated Circuits

    Directory of Open Access Journals (Sweden)

    King-Ning Tu

    2011-05-01

    Full Text Available A highly conductive moat or Faraday cage of through-the-wafer thickness in Si substrate was proposed to be effective in shielding electromagnetic interference thereby reducing radio frequency (RF cross-talk in high performance mixed signal integrated circuits. Such a structure was realized by metallization of selected ultra-high-aspect-ratio macroporous regions that were electrochemically etched in p− Si substrates. The metallization process was conducted by means of wet chemistry in an alkaline aqueous solution containing Ni2+ without reducing agent. It is found that at elevated temperature during immersion, Ni2+ was rapidly reduced and deposited into macroporous Si and a conformal metallization of the macropore sidewalls was obtained in a way that the entire porous Si framework was converted to Ni. A conductive moat was as a result incorporated into p− Si substrate. The experimentally measured reduction of crosstalk in this structure is 5~18 dB at frequencies up to 35 GHz.

  4. Study of Ni Metallization in Macroporous Si Using Wet Chemistry for Radio Frequency Cross-Talk Isolation in Mixed Signal Integrated Circuits

    Science.gov (United States)

    Zhang, Xi; Xu, Chengkun; Chong, Kyuchul; Tu, King-Ning; Xie, Ya-Hong

    2011-01-01

    A highly conductive moat or Faraday cage of through-the-wafer thickness in Si substrate was proposed to be effective in shielding electromagnetic interference thereby reducing radio frequency (RF) cross-talk in high performance mixed signal integrated circuits. Such a structure was realized by metallization of selected ultra-high-aspect-ratio macroporous regions that were electrochemically etched in p− Si substrates. The metallization process was conducted by means of wet chemistry in an alkaline aqueous solution containing Ni2+ without reducing agent. It is found that at elevated temperature during immersion, Ni2+ was rapidly reduced and deposited into macroporous Si and a conformal metallization of the macropore sidewalls was obtained in a way that the entire porous Si framework was converted to Ni. A conductive moat was as a result incorporated into p− Si substrate. The experimentally measured reduction of crosstalk in this structure is 5~18 dB at frequencies up to 35 GHz. PMID:28879960

  5. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  6. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  7. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  8. Fabrication of Capacitive Micromachined Ultrasonic Transducers Using a Boron Etch-Stop Method

    DEFF Research Database (Denmark)

    Diederichsen, Søren Elmin; Sandborg-Olsen, Filip; Engholm, Mathias

    2016-01-01

    wt% potassium hydroxide solution with isopropyl alcohol added to increase the etch selectivity to the highly doped boron layer. The resulting plate thickness uniformity is estimated from scanning electron micrographs to a mean value of 2.00μm±2.5%. The resonant frequency in air for a 1-D linear CMUT...

  9. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  10. In Vitro Evaluation of Shear Bond Strength of Self Etching Primers to Dentin

    Directory of Open Access Journals (Sweden)

    Reena Vora

    2012-01-01

    Full Text Available Objectives: To evaluate and compare the shear bond strength of four self etching primer adhesives to dentin. Materials & Methods: A total of 75 extracted human maxillary and mandibular molars were selected for the study. The teeth were divided into 5 groups of 15 teeth each, Group A- AdheSE (Ivoclar Vivadent, Group B-Adper prompt (3M ESPE, Group C- i bond (Heraeus-Kulzer, Group D-XenoIII (Dentsply, De Trey Group E-Single bond (3M ESPE was used and served as control. All the adhesives were applied according to the manufacturer′s instructions. Composite post was built on these bonded surfaces using Z-100 hybrid composite. The teeth were subjected to thermocycling for 500 cycles between 5°C to 55°C. The teeth were then mounted on universal testing machine and fractured under a shearing load, applied at a speed of 0.2mm/min. The readings were noted, tabulated and shear bond strength calculated in Mega Pascal (Mpa units. Results: There was significant difference in the mean shear bond strength of the four self etching primers, adhesives tested. Shear strength values were in the range of 16.57 to 21.73 Mpa. Xeno III gave the highest mean of shear bond strength whereas Adhe SE showed the lowest value of shear strength. Conclusion: Based on the results of the study, it can be concluded that contemporary self etching primer adhesives bond successfully to dentin. Moreover the bonding ability of Self Etching Systems seems to be comparable to the conventional Total Etch Systems.

  11. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  12. Characteristics of SiO{sub 2} etching with a C{sub 4}F{sub 8}/Ar/CHF{sub 3}/O{sub 2} gas mixture in 60-MHz/2-MHz dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, M. H.; Kang, S. K.; Park, J. Y.; Yeom, G. Y. [Sungkyunkwan University, Suwon (Korea, Republic of)

    2011-11-15

    Nanoscale SiO{sub 2} contact holes were etched by using C{sub 4}F{sub 8}/CHF{sub 3}/O{sub 2}/Ar gas mixtures in dual frequency capacitively coupled plasmas (DF-CCPs) where a 60-MHz source power was applied to the top electrode while a 2-MHz bias power was applied to the bottom electrode. The initial increase in the CHF{sub 3} gas flow rate at a fixed CHF{sub 3}+O{sub 2} flow rate increased the SiO{sub 2} etch rate as well as SiO{sub 2} etch selectivity over that of the amorphous carbon layer (ACL). When the high-frequency (HF) power was increased both SiO{sub 2} etch rate and the etch selectivity over ACL were increased. For a 300 W/500 W power ratio of 60-MHz HF power/ 2-MHz low-freqeuncy (LF) and a gas mixture of Ar (140 sccm) /C{sub 4}F{sub 8} (30 sccm) /CHF{sub 3} (25 sccm) /O{sub 2} (5 sccm) while maintaining 20 mTorr, an anisotropic etch profile with an SiO{sub 2} etch rate of 3350 A/min and an etch selectivity of higher than 6 over ACL could be obtained.

  13. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  14. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  15. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  16. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  17. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  18. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  19. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  20. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  1. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    Science.gov (United States)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  2. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  3. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  4. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  5. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  6. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  7. Designer-Wet Micromodels for Studying Potential Changes in Wettability during Microbial Enhanced Oil Recovery

    Science.gov (United States)

    Armstrong, R. T.; Wildenschild, D.

    2010-12-01

    Microbial Enhanced Oil Recovery (MEOR) is a process where microorganisms are used for tertiary recovery of oil. Some bacteria can facilitate the mobilization of oil through the production of amphiphilic compounds called biosurfactants that reduce the interfacial tension (IFT) between immiscible phases. Additionally, most bacteria have an inclination to colonize surfaces and form biofilm, which can change a reservoir's wetting properties or clog preferential flow paths. Herein, we aim to understand changes in wettability during MEOR under mixed wettability conditions within silicon etched micromodels and to identify the type of oil field (i.e. based on wettability) in which MEOR is likely to be most profitable. To quantify porous media wettability, macro-scale indexes (obtained with techniques such as the Carter or Amott methods) are used regularly. However, these measurements lack the capability for characterization of changes in wettability during MEOR treatment, and only provide macro-scale information. In an effort to understand micro-scale temporal and spatial changes in wettability we measure interfacial curvature from stereo microscope images using level set methods. Curvature, from the perspective of the oil phase, is positive for a concave interface (i.e. water-wet surface) and negative for a convex interface (i.e. oil-wet surface). Thus, shifts in the radius of curvature distribution (i.e. from positive to negative or conversely) are indicative of wettability changes. Both curvature distributions using level-set methods and the Carter method are used to characterize wettability before and after microbial treatment. In preliminary studies aimed at understanding wettability changes due to microbial surface interactions by Bacillus mojavensis JF-2, oil droplets were placed on glass slides suspended in growth media and the resulting contact angle was measured over time. Results showed that a water-wet surface will become more water wet as JF-2 accumulated in

  8. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  9. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  10. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  11. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  12. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  13. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  14. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  15. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  16. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  17. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  18. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  19. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  20. Continuous-wave operation of a $(20\\bar{2}\\bar{1})$ InGaN laser diode with a photoelectrochemically etched current aperture

    KAUST Repository

    Megalini, Ludovico; Becerra, Daniel L.; Farrell, Robert M.; Pourhashemi, A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.; Cohen, Daniel A.

    2015-01-01

    © 2015 The Japan Society of Applied Physics. We demonstrated selective and controllable undercut etching of the InGaN/GaN multiple quantum well (MQW) active region of a (2021) laser diode (LD) structure by photoelectrochemical etching. This technique was used to fabricate current aperture edge-emitting blue laser diodes (CALDs), whose performance was compared with that of shallow-etched ridge LDs with a nominally identical epitaxial structure. The threshold current density, threshold voltage, peak output power, and series resistance for the CA-LD (shallow-etched LD) with a 2.5-μm-wide active region were 4.4 (8.1) kA/cm2, 6.1 (7.7) V, 96.5 (63.5)mW, and 4.7 (6.0)Ω under pulsed conditions and before facet coating, respectively.

  1. Continuous-wave operation of a $(20\\bar{2}\\bar{1})$ InGaN laser diode with a photoelectrochemically etched current aperture

    KAUST Repository

    Megalini, Ludovico

    2015-03-06

    © 2015 The Japan Society of Applied Physics. We demonstrated selective and controllable undercut etching of the InGaN/GaN multiple quantum well (MQW) active region of a (2021) laser diode (LD) structure by photoelectrochemical etching. This technique was used to fabricate current aperture edge-emitting blue laser diodes (CALDs), whose performance was compared with that of shallow-etched ridge LDs with a nominally identical epitaxial structure. The threshold current density, threshold voltage, peak output power, and series resistance for the CA-LD (shallow-etched LD) with a 2.5-μm-wide active region were 4.4 (8.1) kA/cm2, 6.1 (7.7) V, 96.5 (63.5)mW, and 4.7 (6.0)Ω under pulsed conditions and before facet coating, respectively.

  2. Technology of turbine plant operating with wet steam

    International Nuclear Information System (INIS)

    1989-01-01

    The technology of turbine plant operating with wet steam is a subject of continuing interest and importance, notably in view of the widespread use of wet steam cycles in nuclear power plants and the recent developments of advanced low pressure blading for both conventional and wet steam turbines. The nature of water formation in expanding steam has an important influence on the efficiency of turbine blading and on the integrity and safe operating life of blading and associated turbine and plant components. The subjects covered in this book include research, flow analysis and measurement, development and design of turbines and ancillary plant, selection of materials of construction, manufacturing methods and operating experience. (author)

  3. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  4. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  5. Wetting front instability in an initially wet unsaturated fracture

    International Nuclear Information System (INIS)

    Nicholl, M.J.; Glass, R.J.; Nguyen, H.A.

    1992-01-01

    Experimental results exploring gravity-driven wetting front instability in a pre-wetted, rough-walled analog fracture are presented. Initial conditions considered include a uniform moisture field wetted to field capacity of the analog fracture and the structured moisture field created by unstable infiltration into an initially dry fracture. As in previous studies performed under dry initial conditions, instability was found to result both at the cessation of stable infiltration and at flux lower than the fracture capacity under gravitational driving force. Individual fingers were faster, narrower, longer, and more numerous than observed under dry initial conditions. Wetting fronts were found to follow existing wetted structure, providing a mechanism for rapid recharge and transport

  6. Wetting front instability in an initially wet unsaturated fracture

    International Nuclear Information System (INIS)

    Nicholl, M.J.; Glass, R.J.; Nguyen, H.A.

    1993-01-01

    Experimental results exploring gravity-driven wetting from instability in a pre-wetted, rough-walled analog fractures such as those at Yucca Mountain are presented. Initial conditions considered include a uniform moisture field wetted to field capacity of the analog fracture and the structured moisture field created by unstable infiltration into an initially dry fracture. As in previous studies performed under dry initial conditions, instability was found to result both at the cessation of stable infiltration and at flux lower than the fracture capacity under gravitational driving force. Individual fingers were faster, narrower, longer, and more numerous than observed under dry initial conditions. Wetting fronts were found to follow existing wetted structure, providing a mechanism for rapid recharge and transport

  7. PREFACE: Dynamics of wetting Dynamics of wetting

    Science.gov (United States)

    Grest, Gary S.; Oshanin, Gleb; Webb, Edmund B., III

    2009-11-01

    Capillary phenomena associated with fluids wetting other condensed matter phases have drawn great scientific interest for hundreds of years; consider the recent bicentennial celebration of Thomas Young's paper on equilibrium contact angles, describing the geometric shape assumed near a three phase contact line in terms of the relevant surface energies of the constituent phases [1]. Indeed, nearly a century has passed since the seminal papers of Lucas and Washburn, describing dynamics of capillary imbibition [2, 3]. While it is generally appreciated that dynamics of fluid wetting processes are determined by the degree to which a system is out of capillary equilibrium, myriad complications exist that challenge the fundamental understanding of dynamic capillary phenomena. The topic has gathered much interest from recent Nobel laureate Pierre-Gilles de Gennes, who provided a seminal review of relevant dissipation mechanisms for fluid droplets spreading on solid surfaces [4] Although much about the dynamics of wetting has been revealed, much remains to be learned and intrinsic technological and fundamental interest in the topic drives continuing high levels of research activity. This is enabled partly by improved experimental capabilities for resolving wetting processes at increasingly finer temporal, spatial, and chemical resolution. Additionally, dynamic wetting research advances via higher fidelity computational modeling capabilities, which drive more highly refined theory development. The significance of this topic both fundamentally and technologically has resulted in a number of reviews of research activity in wetting dynamics. One recent example addresses the evaluation of existing wetting dynamics theories from an experimentalist's perspective [5]. A Current Opinion issue was recently dedicated to high temperature capillarity, including dynamics of high temperature spreading [6]. New educational tools have recently emerged for providing instruction in wetting

  8. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel.

    Science.gov (United States)

    Yazici, A Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-07-01

    The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C-55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Padhesives tested (P=.17). Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested.

  9. Bond strength of one-step self-etch adhesives and their predecessors to ground versus unground enamel

    Science.gov (United States)

    Yazici, A. Ruya; Yildirim, Zeren; Ertan, Atila; Ozgunaltay, Gül; Dayangac, Berrin; Antonson, Sibel A; Antonson, Donald E

    2012-01-01

    Objective The aim of this study was to compare the shear bond strength of several self-etch adhesives to their two-step predecessors to ground and unground enamel. Methods: Seventy-five extracted, non-carious human third molar teeth were selected for this study. The buccal surfaces of each tooth were mechanically ground to obtain flat enamel surfaces (ground enamel), while the lingual surfaces were left intact (unground enamel). The teeth were randomly divided into five groups according to the adhesive systems (n=15): one-step self-etch adhesive - Clearfil S3 Bond, its two-step predecessor - Clearfil SE Bond, one-step self-etch adhesive - AdheSE One, and its two-step predecessor - AdheSE, and a two-step etch-and-rinse adhesive - Adper Single Bond 2(control). After application of the adhesives to the buccal and lingual enamel surfaces of each tooth, a cylindrical capsule filled with a hybrid composite resin (TPH) was seated against the surfaces. The specimens were stored in distilled water at 37°C for 24 hours, followed by thermocy-cling (5°C–55°C/500 cycles). They were subjected to shear bond strength test in a universal testing machine at a crosshead speed of 1.0 mm/minute. The data were compared using a two-way ANOVA, followed by Bonferroni test at Padhesives exhibited statistically similar bond strengths to ground and unground enamel except for the etch-and-rinse adhesive that showed significantly higher bond strengths than the self-etch adhesives (Penamel for any of the adhesives tested (P=.17). Conclusion: Similar bond strengths to ground and unground enamel were achieved with one-step self-etch adhesives and their predecessors. Enamel preparation did not influence the bonding performance of the adhesives tested. PMID:22904656

  10. Micro-structuring of thick NdFeB films using high-power plasma etching for magnetic MEMS application

    International Nuclear Information System (INIS)

    Jiang, Yonggang; Fujita, Takayuki; Higuchi, Kohei; Maenaka, Kazusuke; Masaoka, Shingo; Uehara, Minoru

    2011-01-01

    This paper describes the micro-patterning of thick NdFeB magnetic films using a high-power plasma etching method. The effects of RF bias power and gas composition on the selectivity and etching rate are experimentally studied. A maximum etching rate of 60 nm min −1 is achieved with an inductively coupled plasma power of 500 W and a RF bias power of 200 W. A maximum selectivity of 0.26 between hard baked AZP4903 photoresist and NdFeB magnetic films is achieved when volumetric Cl 2 concentration is 2.5%. NdFeB micro-magnets as thick as 4.2 µm are achieved by using AZP4903 photoresist. Magnetic film as thick as 10 µm can be patterned by using SU-8 photoresist with a thickness of 100 µm as the mask. The magnetic property of patterned microstructures is characterized using a vibrating sample magnetometer and the magnetic field distribution is measured using a Hall effect sensor IC. The characterization results indicate that the patterned magnetic microstructures have a high magnetic remanance of 1.0 T, which is comparable to that of the non-patterned NdFeB films.

  11. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  13. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  14. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  15. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  16. Hydrogen production from nano-porous Si powder formed by stain etching

    Energy Technology Data Exchange (ETDEWEB)

    Litvinenko, S.; Alekseev, S.; Kuznetsov, G.; Skryshevsky, V. [Institute of High Technology of National Taras Shevchenko University of Kyiv, Volodymyrs' ka 64, Kyiv 01601 (Ukraine); Lysenko, V.; Barbier, D. [Lyon Institute of Nanotechnologies (INL), CNRS UMR-5270, University of Lyon, INSA de Lyon, 7 avenue Jean Capelle, Bat. Blaise Pascal, 69621 Villeurbanne Cedex (France); Venturello, A.; Geobaldo, F.; Garrone, E. [Politecnico di Torino, Department of Materials Science and Chemical Engineering, 10129 Torino (Italy); Gulina, L.; Tolstoy, V. [St-Petersburg State University, Chemical Department (Russian Federation)

    2010-07-15

    Hydrogen reservoirs based on porous silicon (PS) nanostructures are considered. Silicon-based hydrogen tanks are believed to be applicable for portable device energy supply and compatible with micro-sources of energy of new generation. Stain etching of silicon powder to produce PS is studied as a technology alternative to conventional electrochemical etching and application of the PS powder for hydrogen production is also described. Size selection of initial Si micro-particles constituting the powders was carried out by sedimentation technique. Hydrogen content in PS was investigated by FTIR spectroscopy. Extraction of hydrogen in water environment in presence of small amount of NH{sub 3} as catalyst was shown to have advantages such as safety and tunability, additional production of hydrogen from water dissociation, and a possibility to characterize PS as a hydrogen source material in terms of hydrogen effective shell and crystalline core conception. (author)

  17. Antibacterial Effect and Tensile Bond Strength of Self-etching Adhesive Resins with and without Methacryloyloxydodecylpyridinium Bromide: An in vitro Study.

    Science.gov (United States)

    Krishnamurthy, Madhuram; Kumar, V Naveen; Leburu, Ashok; Dhanavel, Chakravarthy; Selvendran, Kasiswamy E; Praveen, Nehrudhas

    2018-04-01

    Aim: The aim of the present study was to compare the antibacterial activity of a self-etching primer containing antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB) (Clearfil protect bond) with a conventional self-etching primer without MDPB (Clearfil SE bond) against Streptococcus mutans and the effect of incorporation of MDPB on the tensile bond strength of the experimental self-etching primer (Clearfil protect bond). Materials and methods: The antibacterial activity of the self-etching primers was assessed using agar disk diffusion method and the diameters of the zones of inhibition were measured and ranked. For tensile bond strength testing, 20 noncarious human molars were selected and randomly divided into two groups comprising 10 teeth in each group. Group I specimens were treated with Clearfil SE bond (without MDPB). Group II specimens were treated with Clearfil protect bond (with MDPB). Composite material was placed incrementally and cured for 40 seconds in all the specimens. Tensile bond strength was estimated using the Instron Universal testing machine at a crosshead speed of 1 mm/min. Results: The addition of MDPB into a self-etching primer exerts potential antibacterial effect against S. mutans. The tensile bond strength of MDPB containing self-etching primer was slightly lower than that of the conventional self-etching Clearfil protect bond primer, but the difference was not statistically significant. Conclusion: Thus, a self-etching primer containing MDPB will be a boon to adhesive dentistry as it has bactericidal property with adequate tensile bond strength. Clinical significance: The concept of prevention of extension in adhesive dentistry would result in micro/nanoleakage due to the presence of residual bacteria in the cavity. Self-etching primers with MDPB would improve the longevity of such restorations by providing adequate antibacterial activity without compromising the bond strength. Keywords: Antibacterial property

  18. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  19. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  20. [Wet work].

    Science.gov (United States)

    Kieć-Swierczyńska, Marta; Chomiczewska, Dorota; Krecisz, Beata

    2010-01-01

    Wet work is one of the most important risk factors of occupational skin diseases. Exposure of hands to the wet environment for more than 2 hours daily, wearing moisture-proof protective gloves for a corresponding period of time or necessity to wash hands frequently lead to the disruption of epidermal stratum corneum, damage to skin barrier function and induction of irritant contact dermatitis. It may also promote penetration of allergens into the skin and increase the risk of sensitization to occupational allergens. Exposure to wet work plays a significant role in occupations, such as hairdressers and barbers, nurses and other health care workers, cleaning staff, food handlers and metalworkers. It is more common among women because many occupations involving wet work are female-dominated. The incidence of wet-work-induced occupational skin diseases can be reduced by taking appropriate preventive measures. These include identification of high-risk groups, education of workers, organization of work enabling to minimize the exposure to wet work, use of personal protective equipment and skin care after work.

  1. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  2. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  3. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  4. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  5. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  6. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  7. [The durability of three self-etch adhesives bonded to dentin].

    Science.gov (United States)

    Tian, Fu-Cong; Wang, Xiao-Yan; Gao, Xue-Jun

    2013-04-01

    To investigate the durability of self-etch adhesives bonded to dentin in vitro. Forty-two extracted human molars were selected and occlusal dentin surfaces were exposed. The teeth were randomly distributed into three groups based on adhesives applied. The one-step self-etch adhesive B(Adper Prompt) and C(G-Bond) and two-step self-etch adhesive A (Clearfil SE bond) were used. After application of the adhesives to the dentin surfaces, composite crowns were built up, after 24 h water storage, the teeth were sectioned longitudinally into sticks (1.0 mm×1.0 mm bonding area) for microtensile testing or slabs (1 mm thick) for scanning electron microscopec (SEM) observation. Bonding strength (mTBS) and nano-leakage were evaluated immediately after cutting or after 6 months in water. The mTBS was analyzed using one-way ANOVA (SPSS 13.0). The nanoleakage was observed by SEM with a backscattered electron detector. Both adhesives and water storage time affected the mTBS. All adhesives showed decreased bond strength after six-month water aging [A dropped from (40.60 ± 5.76) MPa to (36.04 ± 3.15) MPa; B dropped from (19.06 ± 1.50) MPa to (11.19 ± 1.97) MPa; C dropped from (17.75 ± 1.10) MPa to (9.14 ± 1.15) MPa] (P adhesives tested were probably influenced by water aging, however, the two-step adhesive showed better durability than the one-step adhesives.

  8. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  9. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  10. Fabrication and Characterization of Micro-membrane GaN Light Emitting Diodes

    KAUST Repository

    Liao, Hsien-Yu

    2015-05-01

    Developing etching of GaN material system is the key to device fabrications. In this thesis, we report on the fabrication of high throughput lift-off of InGaN/GaN based micro-membrane light emitting diode (LED) from sapphire substrate using UV-assisted photoelectroless chemical (PEsC) etching. Unlike existing bandgap selective etching based on unconventional sacrificial layer, the current hydrofluoric acid based wet etching process enables the selective etching of undoped GaN layer already incorporated in standard commercial LED structures, thus attaining the leverage on high performance device design, and facile wet process technology. The lift-off micro-membrane LED showed 16% alleviated quantum efficiency droop under 200 mA/cm2 current injection, demonstrating the advantage of LED epitaxy exfoliation from the lattice-mismatched sapphire substrate. The origin of the performance improvement was investigated based on non-destructive characterization methods. Photoluminescence (PL) characterization showed a 7nm peak emission wavelength shift in the micro-membrane LED compared to the GaN-on-Sapphire LED. The Raman spectroscopy measurements correlate well with the PL observation that a 0.86 GPa relaxed compressive biaxial strain was achieved after the lift-off process. The micro-membrane LED technology enables further heterogeneous integration for forming pixelated red, green, blue (RGB) display on flexible and transparent substrate. The development of discrete and membrane LEDs using nano-fiber paper as the current spreading layer was also explored for such integration.

  11. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  12. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  13. Effect of etching time and resin bond on the flexural strength of IPS e.max Press glass ceramic.

    Science.gov (United States)

    Xiaoping, Luo; Dongfeng, Ren; Silikas, Nick

    2014-12-01

    To evaluate the effect of hydrofluoric acid (HFA) etching time and resin cement bond on the flexural strength of IPS e.max(®) Press glass ceramic. Two hundred and ten bars, 25mm×3mm×2mm, were made from IPS e.max(®) Press ingots through lost-wax, hot-pressed ceramic fabrication technology and randomly divided into five groups with forty-two per group after polishing. The ceramic surfaces of different groups were etched by 9.5% hydrofluoric acid gel for 0, 20, 40, 60 and 120s respectively. Two specimens of each group were selected randomly to examine the surface roughness and 3-dimensional topography with atomic force microscope (AFM), and microstructure was analyzed by the field emission scanning electron microscope (FE-SEM). Then each group were subdivided into two subgroups (n=20). One subgroup of this material was selected to receive a thin (approximately 0.1mm) layer of resin luting agent (Variolink N) whereas the other subgroup remained unaltered. Half of subgroup's specimens were thermocycled 10,000 times before a 3-point bending test in order to determine the flexural strength. Interface between resin cement and ceramic was examined with field emission scanning electronic microscope. Roughness values increased with increasing etching time. The mean flexural strength values of group 0s, 20s, 40s, 60s and 120s were 384±33, 347±43, 330±53, 327±67 and 317±41MPa respectively. Increasing HF etching times reduced the mean flexural strength (pglass ceramic, but resin cement bonding to appropriately etched surface would strengthen the dental ceramic. Copyright © 2014 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  14. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  15. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  16. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  17. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  18. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  19. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  20. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side