WorldWideScience

Sample records for selective lateral epitaxy

  1. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  2. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  3. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  4. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  5. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  6. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  7. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. One-pot growth of two-dimensional lateral heterostructures via sequential edge-epitaxy

    Science.gov (United States)

    Sahoo, Prasana K.; Memaran, Shahriar; Xin, Yan; Balicas, Luis; Gutiérrez, Humberto R.

    2018-01-01

    Two-dimensional heterojunctions of transition-metal dichalcogenides have great potential for application in low-power, high-performance and flexible electro-optical devices, such as tunnelling transistors, light-emitting diodes, photodetectors and photovoltaic cells. Although complex heterostructures have been fabricated via the van der Waals stacking of different two-dimensional materials, the in situ fabrication of high-quality lateral heterostructures with multiple junctions remains a challenge. Transition-metal-dichalcogenide lateral heterostructures have been synthesized via single-step, two-step or multi-step growth processes. However, these methods lack the flexibility to control, in situ, the growth of individual domains. In situ synthesis of multi-junction lateral heterostructures does not require multiple exchanges of sources or reactors, a limitation in previous approaches as it exposes the edges to ambient contamination, compromises the homogeneity of domain size in periodic structures, and results in long processing times. Here we report a one-pot synthetic approach, using a single heterogeneous solid source, for the continuous fabrication of lateral multi-junction heterostructures consisting of monolayers of transition-metal dichalcogenides. The sequential formation of heterojunctions is achieved solely by changing the composition of the reactive gas environment in the presence of water vapour. This enables selective control of the water-induced oxidation and volatilization of each transition-metal precursor, as well as its nucleation on the substrate, leading to sequential edge-epitaxy of distinct transition-metal dichalcogenides. Photoluminescence maps confirm the sequential spatial modulation of the bandgap, and atomic-resolution images reveal defect-free lateral connectivity between the different transition-metal-dichalcogenide domains within a single crystal structure. Electrical transport measurements revealed diode-like responses across the

  9. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  10. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  11. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    Science.gov (United States)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  12. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  13. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  14. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  15. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    International Nuclear Information System (INIS)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde; Liu, Chao; May Lau, Kei

    2014-01-01

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing

  16. High current density GaAs/Si rectifying heterojunction by defect free Epitaxial Lateral overgrowth on Tunnel Oxide from nano-seed.

    Science.gov (United States)

    Renard, Charles; Molière, Timothée; Cherkashin, Nikolay; Alvarez, José; Vincent, Laetitia; Jaffré, Alexandre; Hallais, Géraldine; Connolly, James Patrick; Mencaraglia, Denis; Bouchier, Daniel

    2016-05-04

    Interest in the heteroepitaxy of GaAs on Si has never failed in the last years due to the potential for monolithic integration of GaAs-based devices with Si integrated circuits. But in spite of this effort, devices fabricated from them still use homo-epitaxy only. Here we present an epitaxial technique based on the epitaxial lateral overgrowth of micrometer scale GaAs crystals on a thin SiO2 layer from nanoscale Si seeds. This method permits the integration of high quality and defect-free crystalline GaAs on Si substrate and provides active GaAs/Si heterojunctions with efficient carrier transport through the thin SiO2 layer. The nucleation from small width openings avoids the emission of misfit dislocations and the formation of antiphase domains. With this method, we have experimentally demonstrated for the first time a monolithically integrated GaAs/Si diode with high current densities of 10 kA.cm(-2) for a forward bias of 3.7 V. This epitaxial technique paves the way to hybrid III-V/Si devices that are free from lattice-matching restrictions, and where silicon not only behaves as a substrate but also as an active medium.

  17. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.

    2015-01-01

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon

  19. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  20. Quantum dots for future nanophotonic devices : lateral ordering, position, and number control

    NARCIS (Netherlands)

    Nötzel, R.; Sritirawisarn, N.; Selçuk, E.; Wang, H.; Yuan, J.

    2009-01-01

    We review our recent advances in the lateral ordering, position, and number control of self-organized epitaxial semiconductor quantum dots based on self-organized anisotropic strain engineering, growth on patterned substrates, and selective area growth.

  1. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  2. Influence of the carrier Gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, M. M., E-mail: MRozhavskaya@gmail.com; Lundin, V. V.; Zavarin, E. E.; Troshkov, S. I.; Brunkov, P. N.; Tsatsulnikov, A. F. [Russian Academy of Sciences, Ioffe Physical-Technical Institute (Russian Federation)

    2013-03-15

    The influence of the carrier gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN in stripe windows oriented along the crystallographic direction Left-Pointing-Angle-Bracket 11-bar00 Right-Pointing-Angle-Bracket GaN for various widths of the mask between the stripes is studied. It is shown that the addition of nitrogen in the reactor atmosphere leads to changes in the form of the stripes in the case of wide (40 {mu}m) mask from a rectangular form restricted by a {l_brace}1 1-bar20{r_brace} lateral face to a trapezoidal form restricted by a {l_brace}1 1-bar22{r_brace} lateral face. It is also shown that during growth in the nitrogen-hydrogen mixture, the gallium flow starts to considerably affect the form of the growing stripes. It is shown that the process is significantly unstable, which leads to a noticeable variation in the form type as the transverse section of the stripe increases.

  3. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  4. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  5. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  6. Epitaxial lateral overgrowth of Ga{sub x}In{sub 1-x}P toward direct Ga{sub x}In{sub 1-x}P/Si heterojunction

    Energy Technology Data Exchange (ETDEWEB)

    Omanakuttan, Giriprasanth; Stergiakis, Stamoulis; Sychugov, Ilya; Lourdudoss, Sebastian; Sun, Yan-Ting [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Sahgal, Abhishek [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Department of Physics, Indian Institute of Technology Delhi, New Delhi (India)

    2017-03-15

    The growth of GaInP by hydride vapor phase epitaxy (HVPE) was studied on planar GaAs, patterned GaAs for epitaxial lateral overgrowth (ELOG), and InP/Si seed templates for corrugated epitaxial lateral overgrowth (CELOG). First results on the growth of direct GaInP/Si heterojunction by CELOG is presented. The properties of Ga{sub x}In{sub (1-x)}P layer and their dependence on the process parameters were investigated by X-ray diffraction, including reciprocal lattice mapping (XRD-RLM), scanning electron microscopy equipped with energy-dispersive X-ray spectroscopy (SEM-EDS), photoluminescence (PL), and Raman spectroscopy. The fluctuation of Ga composition in the Ga{sub x}In{sub (1-x)}P layer was observed on planar substrate, and the strain caused by the composition variation is retained until relaxation occurs. Fully relaxed GaInP layers were obtained by ELOG and CELOG. Raman spectroscopy reveals that there is a certain amount of ordering in all of the layers except those grown at high temperatures. Orientation dependent Ga incorporation in the CELOG, but not in the ELOG Ga{sub x}In{sub (1-x)}P layer, and Si incorporation in the vicinity of direct Ga{sub x}In{sub (1-x)}P/Si heterojunction from CELOG are observed in the SEM-EDS analyses. The high optical quality of direct GaInP/Si heterojunction was observed by cross-sectional micro-PL mapping and the defect reduction effect of CELOG was revealed by high PL intensity in GaInP above Si. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Vertical and lateral heterogeneous integration

    Science.gov (United States)

    Geske, Jon; Okuno, Yae L.; Bowers, John E.; Jayaraman, Vijay

    2001-09-01

    A technique for achieving large-scale monolithic integration of lattice-mismatched materials in the vertical direction and the lateral integration of dissimilar lattice-matched structures has been developed. The technique uses a single nonplanar direct-wafer-bond step to transform vertically integrated epitaxial structures into lateral epitaxial variation across the surface of a wafer. Nonplanar wafer bonding is demonstrated by integrating four different unstrained multi-quantum-well active regions lattice matched to InP on a GaAs wafer surface. Microscopy is used to verify the quality of the bonded interface, and photoluminescence is used to verify that the bonding process does not degrade the optical quality of the laterally integrated wells. The authors propose this technique as a means to achieve greater levels of wafer-scale integration in optical, electrical, and micromechanical devices.

  8. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  9. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  10. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  11. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  12. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  13. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  14. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  15. Study of epitaxial lateral overgrowth of GaN for application in the fabrication of optoelectronic devices

    Science.gov (United States)

    Berry Ann, N. J.; Rodak, L. E.; Kasarla, Kalyan; Yang, Nanying; Korakakis, D.

    2005-10-01

    In this research effort, epitaxial lateral overgrowth (ELOG) of GaN on sapphire was performed by low-pressure metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor. All ELOG growths were stopped prior to complete coalescence, and the resulting cross-sections were characterized by scanning electron microscopy (SEM). Both vertical {1120} and inclined sidewalls were observed. Inclined {112n}sidewalls of various angles (n 2-2.2) were found as previously reported in the literature1. Both one-step and two-step ELOG processes were used to control the overgrowth geometry. It was confirmed that sidewall formation and growth rates are closely correlated with multiple parameters including temperature and V/III ratio1. It was also found that substrate rotation greatly influences sidewall evolution and vertical growth rate. A conceptual model was begun to completely describe the ELOG process in a horizontal reactor. It is speculated that the different sidewalls observed as a function of substrate orientation result from variation in the local V/III ratio. Once developed, the final model will be used to control the sidewalls in the growth of ELOG structures for the fabrication of novel optoelectronic devices.

  16. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  17. Selective lateral laser thyroarytenoid myotomy for adductor spasmodic dysphonia.

    Science.gov (United States)

    Hussain, A; Shakeel, M

    2010-08-01

    Selective lateral laser thyroarytenoid myotomy is a conceptually sound, simple, minimally invasive, repeatable and predictable new surgical procedure for treating adductor spasmodic dysphonia. This paper aims to introduce and describe the surgical technique, and to present a clinical case series and its outcomes. A prospective, clinical case series treated with selective lateral laser thyroarytenoid myotomy, with follow up of 2.5 years. Pre- and post-operative data were collected prospectively for patients undergoing selective lateral laser thyroarytenoid myotomy. These data included patient demographics, previous interventions for adductor spasmodic dysphonia, technical aspects of surgery and clinical outcome. Outcome data included clinical assessment, voice handicap index, need for further intervention, and patient satisfaction assessed by subjective improvement (detailed subjectively by the patients themselves and objectively using the Glasgow benefit inventory). Four patients (two men and two women; mean age 65 years; age range 41-80 years) were included. The mean duration of adductor spasmodic dysphonia was 11 years. All patients had previously been treated with botulinum toxin A. All patients reported improvement in voice quality, fluency, sustainability and elimination of voice breaks over 2.5 years' follow up. Clinical assessment revealed no alteration in mucosal wave, and complete relief of hyperadduction was observed on phonation. No patients required supplementary botulinum toxin treatment during follow up. Selective lateral laser thyroarytenoid myotomy seems to represent a curative procedure for adductor spasmodic dysphonia, a chronic, debilitating condition. This procedure is conceptually simple, minimally invasive and repeatable. It also seems to offer a safe and lasting alternative to botulinum toxin therapy.

  18. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  19. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  20. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  1. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    Science.gov (United States)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  2. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  3. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  4. Self-assembled magnetic nanostructures: Epitaxial Ni nanodots on TiN/Si (001) surface

    International Nuclear Information System (INIS)

    Zhou, H.; Narayan, J.

    2006-01-01

    Systems containing single domain magnetic particles are of great interest in view of their possible applications in ultrahigh-density data storage and magnetoelectronic devices. The focus of this work is plan-view STEM Z-contrast imaging study of the self-assembly growth of magnetic nickel nanostructures by domain matching epitaxy under Volmer-Weber (V-W) mode. The growth was carried out using pulsed laser deposition (PLD) technique with epitaxial titanium nitride film as the template, which was in turn grown on silicon (001) substrate via domain matching epitaxy. Our results show that the base of nickel islands is rectangular with the two principal edges parallel to two orthogonal directions, which is [110] and [1-bar 1 0] for [001] oriented growth. The size distribution of the islands is relatively narrow, comparable to that obtained from self-assembled islands grown under Stranski-Krastanow (S-K) mode. A certain degree of self-organization was also found in the lateral distribution of islands: island chains were observed along the directions close to , which are also the edge directions. The interaction between neighboring islands through the island edge-induced strain field is believed to be responsible for the size uniformity and the lateral ordering

  5. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  6. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  7. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  8. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  9. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  10. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  11. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  12. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  13. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  14. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  15. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  16. Dynamics of a broad-area diode laser with lateral-mode-selected long-cavity feedback

    DEFF Research Database (Denmark)

    Chi, Mingjun; Petersen, Paul Michael

    2014-01-01

    The temporal dynamics of a broad-area diode laser with lateral-mode-selected long-cavity feedback is studied experimentally. Different dynamics are observed when different lateral modes are selected. When the feedback mirror is aligned perfectly and high-order modes are selected, in most....... When the feedback mirror is aligned non-perfectly, pulse-package oscillation is observed, for the first time to our knowledge, in a diode laser with long-cavity feedback....... of the cases, the output of the laser shows a periodic oscillation corresponding to a single roundtrip external-cavity loop, but the dynamic behavior disappears in some case; when the zero-order lateral-mode is selected, periodic oscillation corresponding to a double roundtrip external-cavity loop is observed...

  17. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  18. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  19. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  20. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  1. Selective area epitaxy of monolithic white-light InGaN/GaN quantum well microstripes with dual color emission

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yuejing; Tong, Yuying; Yang, Guofeng, E-mail: gfyang@jiangnan.edu.cn; Yao, Chujun; Sun, Rui; Cai, Lesheng; Xu, Guiting; Wang, Jin; Zhang, Qing; Ye, Xuanchao; Wu, Mengting; Wen, Zhiqin [School of Science, Jiangnan University, Wuxi 214122 (China)

    2015-09-15

    Monolithic color synthesis is demonstrated using InGaN/GaN multiple quantum wells (QWs) grown on GaN microstripes formed by selective area epitaxy on SiO{sub 2} mask patterns. The striped microfacet structure is composed of (0001) and (11-22) planes, attributed to favorable surface polarity and surface energy. InGaN/GaN QWs on different microfacets contain spatially inhomogeneous compositions owing to the diffusion of adatoms among the facets. This unique property allows the microfacet QWs to emit blue light from the (11-22) plane and yellow light from the top (0001) plane, the mixing of which leads to the perception of white light emission.

  2. Selective area epitaxy of monolithic white-light InGaN/GaN quantum well microstripes with dual color emission

    International Nuclear Information System (INIS)

    Li, Yuejing; Tong, Yuying; Yang, Guofeng; Yao, Chujun; Sun, Rui; Cai, Lesheng; Xu, Guiting; Wang, Jin; Zhang, Qing; Ye, Xuanchao; Wu, Mengting; Wen, Zhiqin

    2015-01-01

    Monolithic color synthesis is demonstrated using InGaN/GaN multiple quantum wells (QWs) grown on GaN microstripes formed by selective area epitaxy on SiO 2 mask patterns. The striped microfacet structure is composed of (0001) and (11-22) planes, attributed to favorable surface polarity and surface energy. InGaN/GaN QWs on different microfacets contain spatially inhomogeneous compositions owing to the diffusion of adatoms among the facets. This unique property allows the microfacet QWs to emit blue light from the (11-22) plane and yellow light from the top (0001) plane, the mixing of which leads to the perception of white light emission

  3. [Pay attention to the selective lateral pelvic lymph node dissection in mid-low rectal cancer].

    Science.gov (United States)

    Meng, Wenjian; Wang, Ziqiang

    2017-03-25

    Lateral pelvic lymph node metastasis is an important metastatic mode and a major cause of locoregional recurrence of mid-low rectal cancer. Recently, there is an East-West discrepancy in regard to the diagnosis, clinical significance, treatment and prognosis of lateral pelvic lymph node metastasis. In the West, lateral nodal involvement may represent systemic disease and preoperative chemoradiotherapy can sterilize clinically suspected lateral nodes. Thus, in many Western countries, the standard therapy for lower rectal cancer is total mesorectal excision with chemoradiotherapy, and pelvic sidewall dissection is rarely performed. In the East, and Japan in particular, however, there is a positive attitude in regard to lateral pelvic lymph node dissection (LPND). They consider that lateral pelvic lymph node metastasis is as regional metastasis, and the clinically suspected lateral nodes can not be removed by neoadjuvant chemoradiotherapy. The selective LPND after neoadjuvant chemoradiotherapy may be found to be promising treatment for the improvement of therapeutic benefits in these patients. Therefore, the large-scale prospective studies are urgently required to improve selection criteria for LPND and neoadjuvant treatment to prevent overtreatment in the near future. Selective LPND after neoadjuvant treatment based on modern imaging techniques is expected to reduce locoregional recurrence and improve long-term survival in patients with mid-low rectal cancer.

  4. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  5. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  6. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  7. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  8. Lateral Spread of Orientation Selectivity in V1 is Controlled by Intracortical Cooperativity

    Science.gov (United States)

    Chavane, Frédéric; Sharon, Dahlia; Jancke, Dirk; Marre, Olivier; Frégnac, Yves; Grinvald, Amiram

    2011-01-01

    Neurons in the primary visual cortex receive subliminal information originating from the periphery of their receptive fields (RF) through a variety of cortical connections. In the cat primary visual cortex, long-range horizontal axons have been reported to preferentially bind to distant columns of similar orientation preferences, whereas feedback connections from higher visual areas provide a more diverse functional input. To understand the role of these lateral interactions, it is crucial to characterize their effective functional connectivity and tuning properties. However, the overall functional impact of cortical lateral connections, whatever their anatomical origin, is unknown since it has never been directly characterized. Using direct measurements of postsynaptic integration in cat areas 17 and 18, we performed multi-scale assessments of the functional impact of visually driven lateral networks. Voltage-sensitive dye imaging showed that local oriented stimuli evoke an orientation-selective activity that remains confined to the cortical feedforward imprint of the stimulus. Beyond a distance of one hypercolumn, the lateral spread of cortical activity gradually lost its orientation preference approximated as an exponential with a space constant of about 1 mm. Intracellular recordings showed that this loss of orientation selectivity arises from the diversity of converging synaptic input patterns originating from outside the classical RF. In contrast, when the stimulus size was increased, we observed orientation-selective spread of activation beyond the feedforward imprint. We conclude that stimulus-induced cooperativity enhances the long-range orientation-selective spread. PMID:21629708

  9. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  10. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  11. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  12. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  13. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  14. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  15. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  16. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  17. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  18. InGaN compositional patterning by ultraviolet photoexcitation during NH3-based MOMBE - A pathway to 3D epitaxy

    International Nuclear Information System (INIS)

    Pritchett, D.; Henderson, W.; Billingsley, D.; Doolittle, W.A.

    2008-01-01

    Lateral compositional patterning of InGaN during NH 3 -based MOMBE by digital micromirror patterning of UV photoexcitation is demonstrated. Preferential desorption of In/In-methyl species during InGaN surface exposure to an elliptically focused 5 kW Hg-Xe arc lamp (λ=365 nm) limits the incorporation of indium during growth. Localized photoexcitation results in the preferential In/In-methyl desorption, resulting in indium mole fractions of 0.10 in unexposed regions to as low as 0 in fully exposed regions. The technique demonstrates promise for integration of micromirrors for dynamic and maskless selective epitaxy towards the realization of complex, 3D device structures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  20. Dual-task results and the lateralization of spatial orientation: artifact of test selection?

    Science.gov (United States)

    Bowers, C A; Milham, L M; Price, C

    1998-01-01

    An investigation was conducted to identify the degree to which results regarding the lateralization of spatial orientation among men and women are artifacts of test selection. A dual-task design was used to study possible lateralization differences, providing baseline and dual-task measures of spatial-orientation performance, right- and left-hand tapping, and vocalization of "cat, dog, horse." The Guilford-Zimmerman Test (Guilford & Zimmerman, 1953), the Eliot-Price Test (Eliot & Price, 1976), and the Stumpf-Fay Cube Perspectives Test (Stumpf & Fay, 1983) were the three spatial-orientation tests used to investigate possible artifacts of test selection. Twenty-eight right-handed male and 39 right-handed female undergraduates completed random baseline and dual-task sessions. Analyses indicated no significant sex-related differences in spatial-orientation ability for all three tests. Furthermore, there was no evidence of differential lateralization of spatial orientation between the sexes.

  1. Edge Epitaxy of Two-dimensional MoSe2 and MoS2 Nanosheets on One-dimensional Nanowires

    KAUST Repository

    Chen, Junze; Wu, Xue-Jun; Gong, Yue; Zhu, Yihan; Yang, Zhenzhong; Li, Bing; Lu, Qipeng; Yu, Yifu; Han, Shikui; Zhang, Zhicheng; Zong, Yun; Han, Yu; Gu, Lin; Zhang, Hua

    2017-01-01

    the longitudinal direction of one-dimensional (1D) Cu2-xS nanowires (NWs) in an epitaxial manner. The obtained Cu2-xS-TMD heterostructures with tunable loading amount and lateral size of TMD NSs are achieved by the consecutive growth of TMD NSs on Cu2-xS NWs

  2. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  3. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  4. InGaN compositional patterning by ultraviolet photoexcitation during NH{sub 3}-based MOMBE - A pathway to 3D epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Pritchett, D.; Henderson, W.; Billingsley, D.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2008-07-01

    Lateral compositional patterning of InGaN during NH{sub 3}-based MOMBE by digital micromirror patterning of UV photoexcitation is demonstrated. Preferential desorption of In/In-methyl species during InGaN surface exposure to an elliptically focused 5 kW Hg-Xe arc lamp ({lambda}=365 nm) limits the incorporation of indium during growth. Localized photoexcitation results in the preferential In/In-methyl desorption, resulting in indium mole fractions of 0.10 in unexposed regions to as low as 0 in fully exposed regions. The technique demonstrates promise for integration of micromirrors for dynamic and maskless selective epitaxy towards the realization of complex, 3D device structures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  6. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  7. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  8. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  9. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  10. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  11. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  12. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  13. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  14. Asymmetric, compressive, SiGe epilayers on Si grown by lateral liquid-phase epitaxy utilizing a distinction between dislocation nucleation and glide critical thicknesses

    Science.gov (United States)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel

    2018-01-01

    Uniaxially strained Si1-xGex channels have been proposed as a solution for high mobility channels in next-generation MOSFETS to ensure continued device improvement as the benefits from further miniaturisation are diminishing. Previously proposed techniques to deposit uniaxially strained Si1-xGex epilayers on Si (0 0 1) substrates require multiple deposition steps and only yielded thin strips of uniaxially strained films. A lateral liquid-phase epitaxy (LLPE) technique was developed to deposit a blanket epilayer of asymmetrically strained Si97.4Ge2.6 on Si in a single step, where the epilayer was fully strained in the growth direction and 31% strain-relaxed in the orthogonal direction. The LLPE technique promoted the glide of misfit dislocations, which nucleated in a region with an orthogonal misfit dislocation network, into a region where the dislocation nucleation was inhibited. This created an array of parallel misfit dislocations which were the source of the asymmetric strain. By observing the thicknesses at which the dislocation network transitions from orthogonal to parallel and at which point dislocation glide is exhausted, the separate critical thicknesses for dislocation nucleation and dislocation glide can be determined.

  15. Ultrashort-period lateral composition modulation in TlInGaAsN/TlInP structures

    International Nuclear Information System (INIS)

    Ishimaru, Manabu; Tanaka, Yuusuke; Hasegawa, Shigehiko; Asahi, Hajime; Sato, Kazuhisa; Konno, Toyohiko J.

    2009-01-01

    We prepared TlInGaAsN/TlInP quantum well structures using gas source molecular-beam epitaxy and characterized them by means of transmission electron microscopy and scanning transmission electron microscopy. It was found that naturally formed vertical quantum wells, so-called lateral composition modulation (LCM), with a periodicity of ∼1 nm are formed in TlInGaAsN layers. We discuss their formation process using a simple kinetic Ising model for layer-by-layer growth, and point out that the formation of ultrashort-period LCM is a universal phenomenon in most of epitaxially grown III-V semiconductor alloys.

  16. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  17. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  18. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  19. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  20. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  1. Self-Catalyzed Growth of Axial GaAs/GaAsSb Nanowires by Molecular Beam Epitaxy for Photodetectors

    Science.gov (United States)

    2015-06-01

    MOVPE Metal organic vapor phase epitaxy NCA Nano Channel Aluminum NW Nanowire PL Photoluminescence PMMA Poly methyl methacrylate...GaAs (111) B substrate. The NWs were grown using a nanochannel alumina ( NCA ) template. It was later shown by Dubrovskii et al. [16], that the NWs... cathode gun. The type of signals produced are secondary electron (SE), back scattered electron (BSE), characteristic X- rays, specimen current and

  2. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  3. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  4. Clinical impact of strict criteria for selectivity and lateralization in adrenal vein sampling.

    Science.gov (United States)

    Gasparetto, Alessandro; Angle, John F; Darvishi, Pasha; Freeman, Colbey W; Norby, Ray G; Carey, Robert M

    2015-04-01

    Selectivity index (SI) and lateralization index (LI) thresholds determine the adequacy of adrenal vein sampling (AVS) and the degree of lateralization. The purpose of this study was investigate the clinical outcome of patients whose adrenal vein sampling was interpreted using "strict criteria" (SC) (SIpre-stimuli≥3, SIpost-stimuli≥5 and LIpre-stimuli≥4, LIpost-stimuli≥4). A retrospective review of 73 consecutive AVS procedures was performed and 67 were technically successful. Forty-three patients showed lateralization and underwent surgery, while 24 did not lateralize and were managed conservatively. Systolic blood pressure (SBP), diastolic blood pressure (DBP), kalemia (K(+)), and the change in number of blood pressure (BP) medications were recorded for each patient before and after AVS and potential surgery were performed. In the surgery group, BP and K(+) changed respectively from 160±5.3/100±2.0 mmHg to 127±3.3/80±1.9 (p blood pressure medications were six (14.0%) in the lateralized group and 22 (91.7%) in the non-lateralized group (p <0.001). AVS interpretation with SC leads to significant clinical improvement in both patients who underwent surgery and those managed conservatively.

  5. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  6. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  7. Sensory-specific associations stored in the lateral amygdala allow for selective alteration of fear memories.

    Science.gov (United States)

    Díaz-Mataix, Lorenzo; Debiec, Jacek; LeDoux, Joseph E; Doyère, Valérie

    2011-06-29

    Consolidated long-term fear memories become labile and can be disrupted after being reactivated by the presentation of the unconditioned stimulus (US). Whether this is due to an alteration of the conditioned stimulus (CS) representation in the lateral amygdala (LA) is not known. Here, we show in rats that fear memory reactivation through presentation of the aversive US, like CS presentation, triggers a process which, when disrupted, results in a selective depotentiation of CS-evoked neural responses in the LA in correlation with a selective suppression of CS-elicited fear memory. Thus, an aversive US triggers the reconsolidation of its associated predictor representation in LA. This new finding suggests that sensory-specific associations are stored in the lateral amygdala, allowing for their selective alteration by either element of the association.

  8. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  9. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  10. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  11. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  12. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  13. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    Science.gov (United States)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  14. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  15. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  16. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  17. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  18. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  19. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  20. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  1. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  2. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  3. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  4. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  5. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  6. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    Science.gov (United States)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  7. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  8. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  9. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  10. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  11. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  12. Microstructure of InxGa1−xN nanorods grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Webster, R F; Soundararajah, Q Y; Griffiths, I J; Cherns, D; Novikov, S V; Foxon, C T

    2015-01-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga 1−x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core–shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration. (paper)

  13. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  14. Temperature dependency of cupular mechanics and hair cell frequency selectivity in the fish canal lateral line organ

    NARCIS (Netherlands)

    Wiersinga-Post, JEC; van Netten, SM

    2000-01-01

    The mechanical frequency selectivity of the cupula located in the supraorbital lateral line canal and the frequency selectivity of the hair cells driven by the cupula were measured simultaneously in vivo. Laser interferometry was used to measure cupular mechanics and extracellular receptor

  15. Single photon detection in a waveguide-coupled Ge-on-Si lateral avalanche photodiode.

    Science.gov (United States)

    Martinez, Nicholas J D; Gehl, Michael; Derose, Christopher T; Starbuck, Andrew L; Pomerene, Andrew T; Lentine, Anthony L; Trotter, Douglas C; Davids, Paul S

    2017-07-10

    We examine gated-Geiger mode operation of an integrated waveguide-coupled Ge-on-Si lateral avalanche photodiode (APD) and demonstrate single photon detection at low dark count for this mode of operation. Our integrated waveguide-coupled APD is fabricated using a selective epitaxial Ge-on-Si growth process resulting in a separate absorption and charge multiplication (SACM) design compatible with our silicon photonics platform. Single photon detection efficiency and dark count rate is measured as a function of temperature in order to understand and optimize performance characteristics in this device. We report single photon detection of 5.27% at 1310 nm and a dark count rate of 534 kHz at 80 K for a Ge-on-Si single photon avalanche diode. Dark count rate is the lowest for a Ge-on-Si single photon detector in this range of temperatures while maintaining competitive detection efficiency. A jitter of 105 ps was measured for this device.

  16. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  17. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  18. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Braic, M.; Zoita, N.C.; Danila, M.; Grigorescu, C.E.A.; Logofatu, C.

    2015-01-01

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH 4 . The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC 0.84 thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH 4 . • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed

  19. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Braic, M. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Zoita, N.C., E-mail: cnzoita@inoe.ro [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Danila, M. [National Institute for Research and Development in Microtechnology, 126A Erou Iancu Nicolae Blvd., 077190 Bucharest (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Logofatu, C. [National Institute of Materials Physics, 105 bis Atomistilor St., 077125 Magurele (Romania)

    2015-08-31

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH{sub 4}. The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC{sub 0.84} thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH{sub 4}. • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed.

  20. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  1. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  2. Improving Breakdown Behavior by Substrate Bias in a Novel Double Epi-layer Lateral Double Diffused MOS Transistor

    International Nuclear Information System (INIS)

    Li Qi; Wang Wei-Dong; Liu Yun; Wei Xue-Ming

    2012-01-01

    A new lateral double diffused MOS (LDMOS) transistor with a double epitaxial layer formed by an n-type substrate and a p-type epitaxial layer is reported (DEL LDMOS). The mechanism of the improved breakdown characteristic is that the high electric field around the drain is reduced by substrate reverse bias, which causes the redistribution of the bulk electric field in the drift region, and the vertical blocking voltage is shared by the drain side and the source side. The numerical results indicate that the trade-off between breakdown voltage and on-resistance of the proposed device is improved greatly in comparison to that of the conventional LDMOS. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  4. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  5. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  6. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  7. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  8. Dynamic behaviors of a broad-area diode laser with lateral-mode-selected external feedback

    DEFF Research Database (Denmark)

    Chi, Mingjun; Petersen, Paul Michael

    2014-01-01

    In this paper, we investigate the dynamics of a BAL with lateral-mode selected external feedback experimentally by measuring the far-field profile, intensity noise spectrum and time series of the output beam. The mode-selection is achieved by adjusting a stripe mirror at the pseudo far-field plan...... with a frequency of the single roundtrip external-cavity loop modulated by periodic low-frequency fluctuation. This is the first observation of pulse-package oscillation in a diode laser with long-cavity feedback, to our knowledge....

  9. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  10. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  11. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  12. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  13. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  14. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  15. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  16. Optical properties of spontaneous lateral composition modulation in AlAs/InAs short-period superlattices

    International Nuclear Information System (INIS)

    Francoeur, S.; Zhang, Yong; Norman, A. G.; Alsina, F.; Mascarenhas, A.; Reno, J. L.; Jones, E. D.; Lee, S. R.; Follstaedt, D. M.

    2000-01-01

    The effect of lateral composition modulation, spontaneously generated during the epitaxial growth of an AlAs/InAs short-period superlattice, on the electronic band structure is investigated using phototransmission and photoluminescence spectroscopy. Compared with uniform layers of identical average composition, the presence of the composition modulation considerably reduces the band-gap energy and produces strongly polarized emission and absorption spectra. We demonstrate that the dominant polarization direction can selectively be aligned along the [1(bar sign)10] or [010] crystallographic directions. In compressively strained samples, the use of (001) InP substrates slightly miscut toward (111)A or (101) resulted in modulation directions along [110] or [100], respectively, and dominant polarization directions along a direction orthogonal to the respective composition modulation. Band-gap reductions as high as 350 and 310 meV are obtained for samples with composition modulation along [110] and [100], respectively. Ratios of polarized intensities up to 26 are observed in transmission spectra. (c) 2000 American Institute of Physics

  17. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  18. Near Infrared Lateral Photovoltaic Effect in LaTiO3 Films

    Directory of Open Access Journals (Sweden)

    Wujun Jin

    2013-01-01

    Full Text Available We have reported on the lateral photovoltaic effect of LaTiO3 films epitaxially grown on (100 SrTiO3 substrates. Under illumination of continuous 1064 nm laser beam on the LaTiO3 film through SrTiO3 substrate, the open-circuit photovoltage depended linearly on the illuminated position. The photosensitivity can be modified by bias current. These results indicated that the LaTiO3 films give rise to a potentially photoelectronic device for near infrared position-sensitive detection.

  19. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  20. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  1. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  2. Geometric factors in f.c.c. and b.c.c. metal-on-metal epitaxy

    International Nuclear Information System (INIS)

    Bruce, L.A.; Jaeger, H.

    1978-01-01

    Deposits of Ni, Au and Ag formed by condensing metal vapour in U.H.V. onto (001)W, held at a temperature Tsub(s) in the range 300K< Tsub(s)<1200 K, always form epitaxial layers. However, while Au and Ag form (001) epitaxial layers of f.c.c. single crystals, (001)d parallel to (001)s with, say, [110]d parallel to [010]s, Ni and Cu occur in two orthogonal domains, each characterized by an exclusive set of fault (or twin) planes. Within a fault plane, atoms are hexagonally close-packed and, within a domain, fault planes are normal to either [1-1-0]s or [1-10]s and a close-packed direction in the planes is normal to the substrate. The lateral stacking of the fault planes may range from random at low values of Tsub(s) to that of, say, (11-1-) planes in heavily faulted and/or twinned (110) epitaxed f.c.c. material, or of basal planes in (110) epitaxed h.c.p. material at high values of Tsub(s). The results are readily explained on the basis of a growth model developed for deposits of Ni and Cu on (001) Ag. (author)

  3. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    Science.gov (United States)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  4. Microstructure of laterally overgrown GaN layers

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Cherns, David

    2001-01-01

    Transmission electron microscopy study of plan-view and cross-section samples of epitaxial laterally overgrown (ELOG) GaN samples is described. Two types of dislocation with the same type of Burgers vector but different line direction have been observed. It is shown that threading edge dislocations bend to form dislocation segments in the c plane as a result of shear stresses developed in the wing material along the stripe direction. It is shown that migration of these dislocations involves both glide and climb. Propagation of threading parts over the wing area is an indication of high density of point defects present in the wing areas on the ELOG samples. This finding might shed light on the optical properties of such samples. [copyright] 2001 American Institute of Physics

  5. The effects of gamma irradiation on neutron displacement sensitivity of lateral PNP bipolar transistors

    International Nuclear Information System (INIS)

    Wang, Chenhui; Chen, Wei; Liu, Yan; Jin, Xiaoming; Yang, Shanchao; Qi, Chao

    2016-01-01

    The effects of gamma irradiation on neutron displacement sensitivity of four types of lateral PNP bipolar transistors (LPNPs) with different neutral base widths, emitter widths and the doping concentrations of the epitaxial base region are studied. The physical mechanisms of the effects are explored by defect analysis using deep level transient spectroscopy (DLTS) techniques and numerical simulations of recombination process in the base region of the lateral PNP bipolar transistors, and are verified by the experiments on gate-controlled lateral PNP bipolar transistors (GCLPNPs) manufactured in the identical commercial bipolar process with different gate bias voltage. The results indicate that gamma irradiation increases neutron displacement damage sensitivity of lateral PNP bipolar transistors and the mechanism of this phenomenon is that positive charge induced by gamma irradiation enhances the recombination process in the defects induced by neutrons in the base region, leading to larger recombination component of base current and greater gain degradation.

  6. The effects of gamma irradiation on neutron displacement sensitivity of lateral PNP bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chenhui, E-mail: wangchenhui@nint.ac.cn; Chen, Wei; Liu, Yan; Jin, Xiaoming; Yang, Shanchao; Qi, Chao

    2016-09-21

    The effects of gamma irradiation on neutron displacement sensitivity of four types of lateral PNP bipolar transistors (LPNPs) with different neutral base widths, emitter widths and the doping concentrations of the epitaxial base region are studied. The physical mechanisms of the effects are explored by defect analysis using deep level transient spectroscopy (DLTS) techniques and numerical simulations of recombination process in the base region of the lateral PNP bipolar transistors, and are verified by the experiments on gate-controlled lateral PNP bipolar transistors (GCLPNPs) manufactured in the identical commercial bipolar process with different gate bias voltage. The results indicate that gamma irradiation increases neutron displacement damage sensitivity of lateral PNP bipolar transistors and the mechanism of this phenomenon is that positive charge induced by gamma irradiation enhances the recombination process in the defects induced by neutrons in the base region, leading to larger recombination component of base current and greater gain degradation.

  7. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  8. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  9. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  10. Anisotropic-strain-relaxation-induced crosshatch morphology in epitaxial SrTiO{sub 3}/NdGaO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tan, X. L.; Chen, F.; Chen, P. F.; Xu, H. R.; Chen, B. B.; Jin, F.; Gao, G. Y.; Wu, W. B., E-mail: wuwb@ustc.edu.cn [Hefei National Laboratory for Physical Sciences at Microscale, University of Science and Technology of China, and High Magnetic Field Laboratory, Chinese Academy of Sciences, Hefei 230026 (China)

    2014-10-15

    We investigate the strain relaxation and surface morphology of epitaxial SrTiO{sub 3} (STO) films grown on (001){sub O} and (110){sub O} planes of orthorhombic NdGaO{sub 3} (NGO), and (001) plane of cubic (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}AlTaO{sub 6}){sub 0.7} (LSAT) substrates. Although the average lattice mismatches are similar, strikingly regular crosshatched surface patterns can be found on STO/NGO(001){sub O}[(110){sub O}] films, contrary to the uniform surface of STO/LSAT(001). Based on the orientation and thickness dependent patterns and high-resolution x-ray diffractions, we ascribe the crosshatch morphology to the anisotropic strain relaxation with possibly the 60° misfit dislocation formation and lateral surface step flow in STO/NGO films, while an isotropic strain relaxation in STO/LSAT. Further, we show that the crosshatched STO/NGO(110){sub O} surface could be utilized as a template to modify the magnetotransport properties of epitaxial La{sub 0.6}Ca{sub 0.4}MnO{sub 3} films. This study highlights the crucial role of symmetry mismatch in determining the surface morphology of the perovskite oxide films, in addition to their epitaxial strain states, and offers a different route for designing and fabricating functional perovskite-oxide devices.

  11. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  12. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  13. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  14. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  15. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  16. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  17. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  18. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  19. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  20. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  1. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  2. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  3. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  4. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  5. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  6. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  7. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  8. Structural and electrical properties of c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 thin films

    CERN Document Server

    Zhang, S T; Sun, H P; Pan Xiao Qing; Tan, W S; Liu, Z G; Ming, N B

    2003-01-01

    c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 (SBTi) thin films were fabricated on (001)SrTiO sub 3 (STO) single-crystal substrates and Pt/Ti sub 2 /SiO sub 2 /Si substrates respectively, by pulsed laser deposition (PLD). Structures of the films were systematically characterized by x-ray diffraction (XRD), including theta-2 theta-scans, rocking curve scans and phi-scans, atomic force microscopy and transmission electron microscopy (TEM). The epitaxial orientation relation of the SBTi films on STO is established by selected-area electron diffraction and XRD phi-scans to be (001)SBTi || (001)STO, [11-bar 0]SBTi || [010]STO. Cross-sectional high-resolution TEM studies on the epitaxial SBTi film revealed that SBTi is a single-phase material. A special kind of irrational atomic shift along the [001] direction was observed and is discussed in detail. By using an evanescent microwave probe (EMP), the room-temperature dielectric constant of the epitaxial SBTi film was measured to be 21...

  9. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  10. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  11. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  12. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  13. Photon confinement in high-efficiency, thin-film III-V solar cells obtained by epitaxial lift-off

    International Nuclear Information System (INIS)

    Schermer, J.J.; Bauhuis, G.J.; Mulder, P.; Haverkamp, E.J.; Deelen, J. van; Niftrik, A.T.J. van; Larsen, P.K.

    2006-01-01

    Using the epitaxial lift-off (ELO) technique, a III-V device structure can be separated from its GaAs substrate by selective wet etching of a thin release layer. The thin-film structures obtained by the ELO process can be cemented or van der Waals bonded on arbitrary smooth surface carriers for further processing. It is shown that the ELO method, initially able to separate millimetre-sized GaAs layers with a lateral etch rate of about 1 mm/h, has been developed to a process capable to free the entire 2-in. epitaxial structures from their substrates with etch rates up to 30 mm/h. With these characteristics the method has a large potential for the production of high efficiency thin-film solar cells. By choosing the right deposition and ELO strategy, the thin-film III-V cells can be adequately processed on both sides allowing for an entire range of new cell structures. In the present work, the performance of semi-transparent bifacial solar cells, produced by the deposition of metal grid contacts on both sides, was evaluated. Reflection of light at the rear side of the bifacial GaAs solar cells was found to result in an enhanced collection probability of the photon-induced carriers compared to that of regular III-V cells on a GaAs substrate. To enhance this effect, thin-film GaAs cells with gold mirror back contacts were prepared. Even in their present premature stage of development, these single-junction thin-film cells reached a record efficiency of 24.5% which is already very close to the 24.9% efficiency that was obtained with a regular GaAs cell on a GaAs substrate. From this it could be concluded that, as a result of the photon confinement, ELO cells require a significantly thinner base layer than regular GaAs cells while at the same time they have the potential to reach a higher efficiency

  14. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  15. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  16. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  17. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  18. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  19. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  20. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  1. Droplet epitaxial growth of highly symmetric quantum dots emitting at telecommunication wavelengths on InP(111)A

    International Nuclear Information System (INIS)

    Ha, Neul; Kuroda, Takashi; Liu, Xiangming; Mano, Takaaki; Mitsuishi, Kazutaka; Noda, Takeshi; Sakuma, Yoshiki; Sakoda, Kazuaki; Castellano, Andrea; Sanguinetti, Stefano

    2014-01-01

    We demonstrate the formation of InAs quantum dots (QDs) on InAlAs/InP(111)A by means of droplet epitaxy. The C 3v symmetry of the (111)A substrate enabled us to realize highly symmetric QDs that are free from lateral elongations. The QDs exhibit a disk-like truncated shape with an atomically flat top surface. Photoluminescence signals show broad-band spectra at telecommunication wavelengths of 1.3 and 1.5 μm. Strong luminescence signals are retained up to room temperature. Thus, our QDs are potentially useful for realizing an entangled photon-pair source that is compatible with current telecommunication fiber networks

  2. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    /Ge/(211)Si was achieved by block co-polymer (BCP) lithography. Conditions for selective CdTe epitaxy was achieved and results showed different defect propagation mechanism at the patterned interface compared to the films grown on blanket Si. In another study, patterning of ˜360 nm holes in SiO2/(211)Si was done by molecular transfer lithography (MxL). Conditions for selective Ge and CdTe epitaxy were achieved which was the most challenging part of this work. Thin CdTe films were characterized to check the effect of nanopatterning. Certain results invariably showed that CdTe grown on nanopatterned substrates demonstrated promise of defect reduction and blocking close to the growth interface. But presently, nanopatterning also offers some serious challenges such as uniformity of patterns and substrate cleaning prior to growth for successful implementation of epitaxy on very large areas. Such factors resulted in degradation of overall crystal quality and will be discussed in this work. This is the first successful demonstration of selective (211)B CdTe epitaxy on Si by MOVPE using some of the relatively novel and promising nanopatterning techniques.

  3. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  4. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  5. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  6. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  7. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  8. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Potential Selection Effects when Estimating Associations Between the Infancy Peak or Adiposity Rebound and Later Body Mass Index in Children

    DEFF Research Database (Denmark)

    Börnhorst, Claudia; Siani, Alfonso; Tornaritis, Michalis

    2017-01-01

    Introduction:This study aims to evaluate a potential selection effect caused by exclusion of children with non-identifiable infancy peak (IP) and adiposity rebound (AR) when estimating associations between age and BMI at IP and AR and later weight status. Subjects and methods: In 4 744 children.......98). In the total study group, BMI values in infancy and childhood were positively associated with later BMI z-scores where associations increased with age. Associations between BMI velocities and later BMI z-scores were largest at ages 5 and 6. Results differed for children with non-identifiable IP and AR...

  11. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  12. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  13. CW Performance of an InGaAs-GaAs-AlGaAs Laterally-Coupled Distributed Feedback (LC-DFB) Ridge Laser Diode

    Science.gov (United States)

    Martin, R. D.; Forouhar, S.; Keo, S.; Lang, R. J.; Hunsperger, R. G.; Tiberio, R. C.; Chapman, P. F.

    1995-01-01

    Single-mode distributed feedback (DFB) laser diodes typically require a two-step epitaxial growth or use of a corrugated substrate. We demonstrate InGaAs-GaAs-AlGaAs DFB lasers fabricated from a single epitaxial growth using lateral evanescent coupling of the optical field to a surface grating etehed along the sides of the ridge. A CW threshold current of 25 mA and external quantum efficiency of 0.48 mW/mA per facet were measured for a 1 mm cavity length device with anti-reflection coated facets. Single-mode output powers as high as 11 mW per facet at 935 nm wavelength were attained. A coupling coefficient of at least 5.8/cm was calculated from the subthreshold spectrum taking into account the 2% residual facet reflectivity.

  14. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  15. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  16. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  17. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  18. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  19. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  20. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  1. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  2. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  3. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  4. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  5. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  6. Shape-Selection of Thermodynamically Stabilized Colloidal Pd and Pt Nanoparticles Controlled via Support Effects

    DEFF Research Database (Denmark)

    Ahmadi, M.; Behafarid, F.; Holse, Christian

    2015-01-01

    Colloidal chemistry, in combination with nanoparticle (NP)/support epitaxial interactions is used here to synthesize shape-selected and thermodynamically stable metallic NPs over a broad range of NP sizes. The morphology of three-dimensional palladium and platinum NPs supported on TiO2(110) was i......Colloidal chemistry, in combination with nanoparticle (NP)/support epitaxial interactions is used here to synthesize shape-selected and thermodynamically stable metallic NPs over a broad range of NP sizes. The morphology of three-dimensional palladium and platinum NPs supported on TiO2...... rows and was found to be responsible for the shape control. The ability of synthesizing thermally stable shape-selected metal NPs demonstrated here is expected to be of relevance for applications in the field of catalysis, since the activity and selectivity of NP catalysts has been shown to strongly...

  7. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  8. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  9. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  10. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  12. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  13. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  14. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  15. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    Science.gov (United States)

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  16. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  17. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  18. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  19. Later maturation of the beneficial than the detrimental effect of selective memory retrieval.

    Science.gov (United States)

    Aslan, Alp; Bäuml, Karl-Heinz T

    2014-04-01

    In adults, selective memory retrieval can both impair and improve recall of other memories. The study reported here examined whether children also show these two faces of memory retrieval. Employing a variant of the directed-forgetting task, we asked second, fourth, and seventh graders to study a list of target and nontarget words. After study, the participants received a cue to either forget or continue remembering the list. We subsequently asked some participants to recall the nontarget words before we tested their memory for the target words; for the remaining participants, we tested memory only for the target words. Prior retrieval of nontarget words impaired retrieval of to-be-remembered target words, regardless of children's age. In contrast, prior retrieval of nontarget words improved recall of to-be-forgotten target words in seventh graders, though not in fourth and second graders. These results suggest a developmental dissociation between the two faces of memory retrieval and indicate later maturation of the beneficial effect than of the detrimental effect of selective memory retrieval.

  20. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  1. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  2. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  3. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  4. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  5. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  6. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  7. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  8. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  9. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  10. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  11. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  12. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  13. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  14. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  15. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  16. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  17. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  18. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  19. Lateral position detection and control for friction stir systems

    Science.gov (United States)

    Fleming, Paul; Lammlein, David; Cook, George E.; Wilkes, Don Mitchell; Strauss, Alvin M.; Delapp, David; Hartman, Daniel A.

    2010-12-14

    A friction stir system for processing at least a first workpiece includes a spindle actuator coupled to a rotary tool comprising a rotating member for contacting and processing the first workpiece. A detection system is provided for obtaining information related to a lateral alignment of the rotating member. The detection system comprises at least one sensor for measuring a force experienced by the rotary tool or a parameter related to the force experienced by the rotary tool during processing, wherein the sensor provides sensor signals. A signal processing system is coupled to receive and analyze the sensor signals and determine a lateral alignment of the rotating member relative to a selected lateral position, a selected path, or a direction to decrease a lateral distance relative to the selected lateral position or selected path. In one embodiment, the friction stir system can be embodied as a closed loop tracking system, such as a robot-based tracked friction stir welding (FSW) or friction stir processing (FSP) system.

  20. Selective nanoscale growth of lattice mismatched materials

    Science.gov (United States)

    Lee, Seung-Chang; Brueck, Steven R. J.

    2017-06-20

    Exemplary embodiments provide materials and methods of forming high-quality semiconductor devices using lattice-mismatched materials. In one embodiment, a composite film including one or more substantially-single-particle-thick nanoparticle layers can be deposited over a substrate as a nanoscale selective growth mask for epitaxially growing lattice-mismatched materials over the substrate.

  1. Morphological and electronic properties of epitaxial graphene on SiC

    International Nuclear Information System (INIS)

    Yakimova, R.; Iakimov, T.; Yazdi, G.R.; Bouhafs, C.; Eriksson, J.; Zakharov, A.; Boosalis, A.; Schubert, M.; Darakchieva, V.

    2014-01-01

    We report on the structural and electronic properties of graphene grown on SiC by high-temperature sublimation. We have studied thickness uniformity of graphene grown on 4H–SiC (0 0 0 1), 6H–SiC (0 0 0 1), and 3C–SiC (1 1 1) substrates and investigated in detail graphene surface morphology and electronic properties. Differences in the thickness uniformity of the graphene layers on different SiC polytypes is related mainly to the minimization of the terrace surface energy during the step bunching process. It is also shown that a lower substrate surface roughness results in more uniform step bunching and consequently better quality of the grown graphene. We have compared the three SiC polytypes with a clear conclusion in favor of 3C–SiC. Localized lateral variations in the Fermi energy of graphene are mapped by scanning Kelvin probe microscopy. It is found that the overall single-layer graphene coverage depends strongly on the surface terrace width, where a more homogeneous coverage is favored by wider terraces. It is observed that the step distance is a dominating, factor in determining the unintentional doping of graphene from the SiC substrate. Microfocal spectroscopic ellipsometry mapping of the electronic properties and thickness of epitaxial graphene on 3C–SiC (1 1 1) is also reported. Growth of one monolayer graphene is demonstrated on both Si- and C-polarity of the 3C–SiC substrates and it is shown that large area homogeneous single monolayer graphene can be achieved on the Si-face substrates. Correlations between the number of graphene monolayers on one hand and the main transition associated with an exciton enhanced van Hove singularity at ∼4.5 eV and the free-charge carrier scattering time, on the other are established. It is shown that the interface structure on the Si- and C-polarity of the 3C–SiC (1 1 1) differs and has a determining role for the thickness and electronic properties homogeneity of the epitaxial graphene.

  2. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  3. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  4. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  5. Selective epitaxy of semiconductor nanopyramids for nanophotonics

    Energy Technology Data Exchange (ETDEWEB)

    Poole, P J; Dalacu, D; Lefebvre, J; Williams, R L, E-mail: philip.poole@nrc-cnrc.gc.ca [Institute for Microstructural Sciences, National Research Council, Ottawa, ON, K1A 0R6 (Canada)

    2010-07-23

    We present a detailed study of the parameters which affect the geometrical perfection of nanopyramids used for the site-selected nucleation of quantum dots. Through an understanding of crystal facet formation, we demonstrate that undesirable high index planes can be suppressed using carefully optimized lithography together with properly orientated source fluxes in the growth reactor. High quality InP nanopyramids are reported with individual InAs/InP quantum dots positioned with high precision. This represents an important milestone for the fabrication of complex quantum dot based nanophotonic devices.

  6. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  7. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  8. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  9. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  10. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  11. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  12. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  13. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  14. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  15. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  16. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  17. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  18. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  19. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  20. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  1. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  2. Volunteerism and socioemotional selectivity in later life.

    Science.gov (United States)

    Hendricks, Jon; Cutler, Stephen J

    2004-09-01

    The goal of this work was to assess the applicability of socioemotional selectivity theory to the realm of volunteerism by analyzing data drawn from the September 2002 Current Population Survey Volunteer Supplement. Total number of organizations volunteered for and total number of hours engaged in volunteer activities were utilized to obtain measures of volunteer hours per organization and volunteer hours in the main organization to determine whether a selective process could be observed. Descriptive statistics on age patterns were followed by a series of curve estimations to identify the best-fitting curves. Logistic age patterns of slowly increasing then relatively stable volunteer activity suggest that socioemotional selectivity processes are operative in the realm of voluntary activities. Socioemotional selectivity theory is applicable to voluntary activities.

  3. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  4. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  5. Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini

    2012-01-01

    -plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....

  6. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  7. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  8. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  9. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  10. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  11. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  12. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  13. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  14. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  15. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  16. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  17. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  18. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  19. Cerebral Lateralization of Face-Selective and Body-Selective Visual Areas Depends on Handedness

    NARCIS (Netherlands)

    Willems, R.M.; Peelen, M.V.; Hagoort, P.

    2010-01-01

    The left-hemisphere dominance for language is a core example of the functional specialization of the cerebral hemispheres. The degree of left-hemisphere dominance for language depends on hand preference: Whereas the majority of right-handers show left-hemispheric language lateralization, this number

  20. Cerebral lateralization of face-selective and body-selective visual areas depends on handedness

    NARCIS (Netherlands)

    Willems, R.M.; Peelen, M.V.; Hagoort, P.

    2010-01-01

    The left-hemisphere dominance for language is a core example of the functional specialization of the cerebral hemispheres. The degree of left-hemisphere dominance for language depends on hand preference: Whereas the majority of right-handers show left-hemispheric language lateralization, this number

  1. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  2. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, S.; Sugimoto, K.; Shugyo, S.; Matsuda, S. [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan); Hirao, T. [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan)

    1998-12-01

    Single-Event Burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs, including small signal transistors, with thinner epitaxial layers were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified.

  3. Edge Epitaxy of Two-dimensional MoSe2 and MoS2 Nanosheets on One-dimensional Nanowires

    KAUST Repository

    Chen, Junze

    2017-06-05

    Rational design and synthesis of heterostructures based on transition metal dichalcogenides (TMDs) have attracted increasing interests because of their promising applications in electronics, catalysis, etc. However, the construction of epitaxial heterostructures with interface at the edges of TMD nanosheets (NSs) still remains great challenge. Here, we report a strategy for controlled synthesis of a new type of heterostructures in which TMD NSs, including MoS2 and MoSe2, vertically grow along the longitudinal direction of one-dimensional (1D) Cu2-xS nanowires (NWs) in an epitaxial manner. The obtained Cu2-xS-TMD heterostructures with tunable loading amount and lateral size of TMD NSs are achieved by the consecutive growth of TMD NSs on Cu2-xS NWs through the gradually injection of chalcogen precursors. After cation exchange of Cu in Cu2-xS-TMD heterostructures with Cd, the obtained CdS-MoS2 heterostructures remained their original architectures. Compared to the pure CdS NWs, the CdS-MoS2 heterostructures with 7.7 wt% loading of MoS2 NSs exhibit the best performance in the photocatalytic hydrogen evolution reaction with the H2 production rate up to 4,647 μmol·h-1·g-1, about 58 times that catalyzed with pure CdS NWs. Our synthetic strategy opens up a new way for the controlled synthesis of TMD-based heterostructures which could have various promising applications.

  4. In situ fabrication of quasi-free-standing epitaxial graphene nanoflakes on gold.

    Science.gov (United States)

    Leicht, Philipp; Zielke, Lukas; Bouvron, Samuel; Moroni, Riko; Voloshina, Elena; Hammerschmidt, Lukas; Dedkov, Yuriy S; Fonin, Mikhail

    2014-04-22

    Addressing the multitude of electronic phenomena theoretically predicted for confined graphene structures requires appropriate in situ fabrication procedures yielding graphene nanoflakes (GNFs) with well-defined geometries and accessible electronic properties. Here, we present a simple strategy to fabricate quasi-free-standing GNFs of variable sizes, performing temperature programmed growth of graphene flakes on the Ir(111) surface and subsequent intercalation of gold. Using scanning tunneling microscopy (STM), we show that epitaxial GNFs on a perfectly ordered Au(111) surface are formed while maintaining an unreconstructed, singly hydrogen-terminated edge structure, as confirmed by the accompanying density functional theory (DFT) calculations. Using tip-induced lateral displacement of GNFs, we demonstrate that GNFs on Au(111) are to a large extent decoupled from the Au(111) substrate. The direct accessibility of the electronic states of a single GNF is demonstrated upon analysis of the quasiparticle interference patterns obtained by low-temperature STM. These findings open up an interesting playground for diverse investigations of graphene nanostructures with possible implications for device fabrication.

  5. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers

    International Nuclear Information System (INIS)

    Jana, Dipankar; Porwal, S.; Sharma, T. K.; Oak, S. M.; Kumar, Shailendra

    2014-01-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates

  6. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  7. Epitaxy of advanced nanowire quantum devices

    Science.gov (United States)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  8. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  9. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  10. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  11. Impact of P/In flux ratio and epilayer thickness on faceting for nanoscale selective area growth of InP by molecular beam epitaxy.

    Science.gov (United States)

    Fahed, M; Desplanque, L; Coinon, C; Troadec, D; Wallart, X

    2015-07-24

    The impact of the P/In flux ratio and the deposited thickness on the faceting of InP nanostructures selectively grown by molecular beam epitaxy (MBE) is reported. Homoepitaxial growth of InP is performed inside 200 nm wide stripe openings oriented either along a [110] or [1-10] azimuth in a 10 nm thick SiO2 film deposited on an InP(001) substrate. When varying the P/In flux ratio, no major shape differences are observed for [1-10]-oriented apertures. On the other hand, the InP nanostructure cross sections strongly evolve for [110]-oriented apertures for which (111)B facets are more prominent and (001) ones shrink for large P/In flux ratio values. These results show that the growth conditions allow tailoring the nanocrystal shape. They are discussed in the framework of the equilibrium crystal shape model using existing theoretical calculations of the surface energies of different low-index InP surfaces as a function of the phosphorus chemical potential, directly related to the P/In ratio. Experimental observations strongly suggest that the relative (111)A surface energy is probably smaller than the calculated value. We also discuss the evolution of the nanostructure shape with the InP-deposited thickness.

  12. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  13. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  14. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  15. EFFECTIVENESS OF MEDIAL TO LATERAL TAPING WITH EXERCISE PROGRAMME IN SUBJECTS WITH LATERAL EPICONDYLITIS

    Directory of Open Access Journals (Sweden)

    Bhavana Dattaram Desai

    2014-06-01

    Full Text Available Background: Medial to lateral tapping and exercise programme has been found to be effective in Lateral epicondylitis. The purpose to find the combined effect of Medial to lateral tapping with exercise programme for subjects with lateral epicondylitis on pain intensity and functional ability. Method: An experimental study design, selected 40 subjects with Lateral epicondylitis randomized 20 subjects each into Study and Control group. Control group received only exercise programme while study group received combined medial to lateral tapping with exercise programme thrice a week for 4 weeks. Pain intensity was measured using Visual analogue scale and functional ability was measured using Patient Rated Tennis Elbow Evaluation questionnaire before and after 4 weeks of treatment. Results: When the post-intervention means were compared between Study and Control group after 4 weeks of treatment found statistically significant difference in the improvement in outcomes measures in means of VAS and PRTEE before and after intervention within the groups. Conclusion: It is concluded that the Medial to lateral tapping with exercise programme is more effective than the exercise programme in reduction of pain and improve functional abilities for subjects with Lateral epicondylitis.

  16. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping; Wang, Xinqiang; Wang, Tao; Tan, Chih Shan; Sheng, Bowen; Sun, Xiaoxiao; Li, Mo; Rong, Xin; Zheng, Xiantong; Chen, Zhaoying; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Zhang, Jian; Zhang, Xixiang; Shen, Bo

    2017-01-01

    -resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N

  17. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  18. Complementary analyses on the local polarity in lateral polarity-inverted GaN heterostructure on sapphire (0001) substrate

    International Nuclear Information System (INIS)

    Katayama, Ryuji; Kuge, Yoshihiro; Onabe, Kentaro; Matsushita, Tomonori; Kondo, Takashi

    2006-01-01

    The fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0001) using a radio-frequency-plasma-enhanced molecular beam epitaxy is demonstrated. Its microscopic properties such as surface potentials, piezoelectric polarizations, and residual carrier densities were investigated by Kelvin force microscopy and micro-Raman scattering. The inversion from Ga polarity to N polarity in a specific domain and its higher crystal perfection had been unambiguously confirmed by these complementary analyses. The results were also fairly consistent with that of KOH etching, which suggests the applicability of these processes to the fabrication of photonic nanostructures

  19. Epitaxial lift-off for solid-state cavity quantum electrodynamics

    International Nuclear Information System (INIS)

    Greuter, Lukas; Najer, Daniel; Kuhlmann, Andreas V.; Starosielec, Sebastian; Warburton, Richard J.; Valentin, Sascha R.; Ludwig, Arne; Wieck, Andreas D.

    2015-01-01

    We demonstrate an approach to incorporate self-assembled quantum dots into a Fabry-Pérot-like microcavity. Thereby, a 3λ/4 GaAs layer containing quantum dots is epitaxially removed and attached by van der Waals bonding to one of the microcavity mirrors. We reach a finesse as high as 4100 with this configuration limited by the reflectivity of the dielectric mirrors and not by scattering at the semiconductor-mirror interface, demonstrating that the epitaxial lift-off procedure is a promising procedure for cavity quantum electrodynamics in the solid state. As a first step in this direction, we demonstrate a clear cavity-quantum dot interaction in the weak coupling regime with a Purcell factor in the order of 3. Estimations of the coupling strength via the Purcell factor suggest that we are close to the strong coupling regime

  20. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, Satoshi; Sugimoto, Kenji; Matsuda, Sumio [National Space Development Agency of Japan, Ysukuba, Ibaraki (Japan); Hirao, Toshio

    1998-10-01

    Single-event burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs including small signal transistors with thinner epitaxial layer were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified. (author)

  1. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  2. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  3. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  4. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  5. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  6. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  7. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  8. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  9. Selenidation of epitaxial silicene on ZrB2

    NARCIS (Netherlands)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ±

  10. Concentric Multiple Rings by Droplet Epitaxy: Fabrication and Study of the Morphological Anisotropy

    Directory of Open Access Journals (Sweden)

    Somaschini C

    2010-01-01

    Full Text Available Abstract We present the Molecular Beam Epitaxy fabrication of complex GaAs/AlGaAs nanostructures by Droplet Epitaxy, characterized by the presence of concentric multiple rings. We propose an innovative experimental procedure that allows the fabrication of individual portions of the structure, controlling their diameter by only changing the substrate temperature. The obtained nanocrystals show a significant anisotropy between [110] and [1–10] crystallographic directions, which can be ascribed to different activation energies for the Ga atoms migration processes.

  11. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  12. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  13. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  14. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  15. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO3 interface (invited)

    International Nuclear Information System (INIS)

    Radaelli, G.; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R.

    2014-01-01

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO 3 (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures

  16. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  17. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  18. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  19. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  20. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  1. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  2. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  3. Inferior hilar window on lateral chest radiographs

    International Nuclear Information System (INIS)

    Park, C.K.; Webb, W.R.; Klein, J.S.

    1990-01-01

    This paper determines the accuracy of lateral chest radiography in the detection of masses in the inferior hilar window, a normally avascular hilar region anterior to the lower lobe bronchi. Fifty patients with normal thoracic CT scans and 25 with hilar masses/adenopathy were selected retrospectively. The 75 corresponding lateral chest radiographs were blindly evaluated for visibility of the anterior walls of the lower lobe bronchi and the presence and laterality of abnormal soft tissue (>1 cm) in the inferior hilar window. Only a 7 x 7-cm square of the lateral radiograph was viewed

  4. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  5. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  6. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  7. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  8. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  9. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  10. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  11. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  12. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    Science.gov (United States)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  13. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  14. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  15. Fabrication of GaAs concentric multiple quantum rings by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Sanguinetti, S; Koguchi, N; Fedorov, A; Abbarchi, M; Gurioli, M

    2009-01-01

    We present the fabrication of a novel quantum nanostructure, constituted by three concentric quantum rings by droplet epitaxy. Atomic Force Microscopy and photoluminescence characterization of these nanostructures is reported.

  16. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  17. -MoS2 Lateral Heterojunctions

    KAUST Repository

    Li, Ming-yang

    2018-02-28

    2D layered heterostructures have attracted intensive interests due to their unique optical, transport, and interfacial properties. The laterally stitched heterojunction based on dissimilar 2D transition metal dichalcogenides forms an intrinsic p–n junction without the necessity of applying an external voltage. However, no scalable processes are reported to construct the devices with such lateral heterostructures. Here, a scalable strategy, two-step and location-selective chemical vapor deposition, is reported to synthesize self-aligned WSe2–MoS2 monolayer lateral heterojunction arrays and demonstrates their light-emitting devices. The proposed fabrication process enables the growth of high-quality interfaces and the first successful observation of electroluminescence at the WSe2–MoS2 lateral heterojunction. The electroluminescence study has confirmed the type-I alignment at the interface rather than commonly believed type-II alignment. This self-aligned growth process paves the way for constructing various 2D lateral heterostructures in a scalable manner, practically important for integrated 2D circuit applications.

  18. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra

    2017-08-24

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  19. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra; Kumar, Ravi; Ganguli, Tapas; Major, Syed S

    2017-01-01

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  20. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  1. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  2. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  3. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  4. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  5. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  6. The control of stoichiometry in Epitaxial semiconductor structures. Interfacial Chemistry: Property relations. A workshop review

    Science.gov (United States)

    Bachmann, Klaus J.

    1995-01-01

    A workshop on the control of stoichiometry in epitaxial semiconductor structures was held on August 21-26, 1995 in the hotel Stutenhaus at Vesser in Germany. The secluded location of the workshop in the forest of Thuringia and its informal style stimulated extensive private discussions among the participants and promoted new contacts between young scientists from Eastern and Western Europe and the USA. Topics addressed by the presentations were interactions of precursors to heteroepitaxy and doping with the substrate surface, the control of interfacial properties under the conditions of heteroepitaxy for selected materials systems, methods of characterization of interfaces and native point defects in semiconductor heterostructures and an in depth evaluation of the present status of the control and characterization of the point defect chemistry for one specific semiconductor (ZnGeP2), including studies of both heterostructures and bulk single crystals. The selected examples of presentations and comments given here represent individual choices - made by the author to highlight major points of the discussions.

  7. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices

    NARCIS (Netherlands)

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-01-01

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to

  8. Conductivity of epitaxial and CVD graphene with correlated line defects

    DEFF Research Database (Denmark)

    Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.

    2014-01-01

    Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...

  9. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    Science.gov (United States)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  10. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  11. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO{sub 3} interface (invited)

    Energy Technology Data Exchange (ETDEWEB)

    Radaelli, G., E-mail: greta.radaelli@gmail.com; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R. [LNESS Center - Dipartimento di Fisica del Politecnico di Milano, Como 22100 (Italy)

    2014-05-07

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO{sub 3} (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures.

  12. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  13. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  14. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  15. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  16. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  17. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    Energy Technology Data Exchange (ETDEWEB)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); Vavassori, P. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); IKERBASQUE, The Basque Foundation for Science, E-48011 Bilbao (Spain); Obry, B.; Hillebrands, B. [Fachbereich Physik and Landesforschungzentrum OPTIMAS, Technische Universität Kaiserslautern, Erwin-Schrödinger-Straße 56, D-67663 Kaiserslautern (Germany); Landeros, P. [Departamento de Física, Universidad Técnica Federico Santa María, Avenida España 1680, 2390123 Valparaíso (Chile)

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field angle dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.

  18. Concurrent bandgap narrowing and polarization enhancement in epitaxial ferroelectric nanofilms

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Yao, L.; Chvostová, Dagmar; Dejneka, Alexandr; Kocourek, Tomáš; Jelínek, Miroslav; Trepakov, Vladimír; van Dijken, S.

    2015-01-01

    Roč. 16, č. 2 (2015), 026002 ISSN 1468-6996 R&D Projects: GA ČR GAP108/12/1941 Institutional support: RVO:68378271 Keywords : epitaxial growth * ferroelectric nanofilms Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.433, year: 2015

  19. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  20. Physical-chemical and technological aspects of the preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O by method of metal organic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Stejskal, J.; Nevriva, M.; Leitner, J.

    1995-01-01

    The method of metal organic vapour phase epitaxy (MO VPE) was used for preparation of think layers of the high temperature superconductors Bi-Sr-Ca-Cu-O. The suitable chemical precursors (β-diketonates) on the literature data and of the own thermodynamic calculations were selected. The optimal thermodynamic data and thermodynamic stability of the prepared samples were determined

  1. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  2. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  3. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  4. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  5. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)

    2017-05-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and

  6. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    International Nuclear Information System (INIS)

    Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza

    2017-01-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic

  7. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  9. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    Science.gov (United States)

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  10. Giant inelastic tunneling in epitaxial graphene mediated by localized states

    NARCIS (Netherlands)

    Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.

    2010-01-01

    Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have

  11. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  12. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Threshold fluence measurement for laser liftoff of InP thin films by selective absorption

    NARCIS (Netherlands)

    Jan, A.; Reeves, B.A.; Van De Burgt, Y.B.; Hayes, G.J.; Clemens, B.M.

    2018-01-01

    e explore conditions for achieving laser liftoff in epitaxially grown heterojunctions, in which single crystal thin films can be separated from their growth substrates using a selectively absorbing buried intermediate layer. Because this highly non-linear process is subject to a variety of process

  14. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  15. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  16. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  17. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  18. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  19. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  20. Magnetic anisotropies in epitaxial Fe3O4/GaAs(100) patterned structures

    International Nuclear Information System (INIS)

    Zhang, W.; Zhang, D.; Yuan, S. J.; Huang, Z. C.; Zhai, Y.; Wong, P. K. J.; Wu, J.; Xu, Y. B.

    2014-01-01

    Previous studies on epitaxial Fe 3 O 4 rings in the context of spin-transfer torque effect have revealed complicated and undesirable domain structures, attributed to the intrinsic fourfold magnetocrystalline anisotropy in the ferrite. In this Letter, we report a viable solution to this problem, utilizing a 6-nm-thick epitaxial Fe 3 O 4 thin film on GaAs(100), where the fourfold magnetocrystalline anisotropy is negligible. We demonstrate that in the Fe 3 O 4 planar wires patterned from our thin film, such a unique magnetic anisotropy system has been preserved, and relatively simple magnetic domain configurations compared to those previous reports can be obtained

  1. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  2. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  3. Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.

  4. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W; Lippert, Thomas; Traversa, Enrico; Kilner, John A

    2015-01-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used

  5. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Higuchi, Jumpei, E-mail: higuchi@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Sato, Yoichi [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    NiFe epitaxial films are prepared on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  6. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    International Nuclear Information System (INIS)

    Higuchi, Jumpei; Ohtake, Mitsuru; Sato, Yoichi; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    NiFe epitaxial films are prepared on Cr(211) bcc and Cr(100) bcc underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211) bcc and Cr(100) bcc underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  7. Charge ordering in reactive sputtered (1 0 0) and (1 1 1) oriented epitaxial Fe3O4 films

    KAUST Repository

    Mi, Wenbo

    2013-06-01

    Epitaxial Fe3O4 films with (1 0 0) and (1 1 1) orientations fabricated by reactive sputtering present simultaneous magnetic and electrical transitions at 120 and 124 K, respectively. The symmetry decreases from face-centered cubic to monoclinic structure across the Verwey transition. Extra spots with different brightness at different positions appear in selected-area diffraction patterns at 95 K. The extra spots come from the charge ordering of outer-layer electrons of Fe atoms, and should be related to the charge ordering of octahedral B-site Fe atoms. © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  8. Charge ordering in reactive sputtered (1 0 0) and (1 1 1) oriented epitaxial Fe3O4 films

    KAUST Repository

    Mi, Wenbo; Guo, Zaibing; Wang, Qingxiao; Yang, Yang; Bai, Haili

    2013-01-01

    Epitaxial Fe3O4 films with (1 0 0) and (1 1 1) orientations fabricated by reactive sputtering present simultaneous magnetic and electrical transitions at 120 and 124 K, respectively. The symmetry decreases from face-centered cubic to monoclinic structure across the Verwey transition. Extra spots with different brightness at different positions appear in selected-area diffraction patterns at 95 K. The extra spots come from the charge ordering of outer-layer electrons of Fe atoms, and should be related to the charge ordering of octahedral B-site Fe atoms. © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  9. Quantum Nanostructures by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Somsak Panyakeow

    2009-02-01

    Full Text Available Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C. Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic strain gives rise to quantum rings with square holes and non-uniform ring stripe. Regrowth of quantum dots on these anisotropic quantum rings, Quadra-Quantum Dots (QQDs could be realized. Potential applications of these quantum nanostructures are also discussed.

  10. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  11. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  12. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  13. Microstructure and magnetic properties of FeCo epitaxial thin films grown on MgO single-crystal substrates

    International Nuclear Information System (INIS)

    Shikada, Kouhei; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    FeCo epitaxial films were prepared on MgO(100), MgO(110), and MgO(111) substrates by ultrahigh vacuum molecular beam epitaxy. FeCo thin films with (100), (211), and (110) planes parallel to the substrate surface grow on respective MgO substrates. FeCo/MgO interface structures are studied by high-resolution cross-sectional transmission electron microscopy and the epitaxial growth mechanism is discussed. Atomically sharp boundaries are recognized between the FeCo thin films and the MgO substrates where misfit dislocations are introduced in the FeCo thin films presumably to decrease the lattice misfits. Misfit dislocations are observed approximately every 9 and 1.4 nm in FeCo thin film at the FeCo/MgO(100) and the FeCo/MgO(110) interfaces, respectively. X-ray diffraction analysis indicates that the lattice spacing measured parallel to the single-crystal substrate surfaces are in agreement within 0.1% with those of the respective bulk values of Fe 50 Co 50 alloy crystal, showing that the FeCo film strain is very small. The magnetic anisotropies of these epitaxial films basically reflect the magnetocrystalline anisotropy of bulk FeCo alloy crystal

  14. Integrated X-ray and charged particle active pixel CMOS sensor arrays using an epitaxial silicon sensitive region

    International Nuclear Information System (INIS)

    Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene

    2002-01-01

    Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38

  15. Study of performance scaling of 22-nm epitaxial delta-doped channel MOS transistor

    Science.gov (United States)

    Sengupta, Sarmista; Pandit, Soumya

    2015-06-01

    Epitaxial delta-doped channel (EδDC) profile is a promising approach for extending the scalability of bulk metal oxide semiconductor (MOS) technology for low-power system-on-chip applications. A comparative study between EδDC bulk MOS transistor with gate length Lg = 22 nm and a conventional uniformly doped channel (UDC) bulk MOS transistor, with respect to various digital and analogue performances, is presented. The study has been performed using Silvaco technology computer-aided design device simulator, calibrated with experimental results. This study reveals that at smaller gate length, EδDC transistor outperforms the UDC transistor with respect to various studied performances. The reduced contribution of the lateral electric field in the channel plays the key role in this regard. Further, the carrier mobility in EδDC transistor is higher compared to UDC transistor. For moderate gate and drain bias, the impact ionisation rate of the carriers for EδDC MOS transistor is lower than that of the UDC transistor. In addition, at 22 nm, the performances of a EδDC transistor are competitive to that of an ultra-thin body silicon-on-insulator transistor.

  16. Growth of Ferromagnetic Epitaxial Film of Hexagonal FeGe on (111) Ge Surface

    Science.gov (United States)

    Kumar, Dushyant; Joshi, P. C.; Hossain, Z.; Budhani, R. C.

    2014-03-01

    The realization of semiconductors showing ferromagnetic order at easily accessible temperatures has been of interest due to their potential use in spintronic devices where long spin life times are of key interest. We have realized the growth of FeGe thin films on Ge (111) wafers using pulsed laser deposition (PLD). The stoichiometric and single phase FeGe target used in PLD chamber has been made by arc melting. A typical θ-2 θ diffraction spectra performed on 40 nm thick FeGe film suggests the stabilization of β-Ni2In (B82-type) hexagonal phase with an epitaxial orientation of (0001)FeGe ||(111)Ge and [11-20]FeGe ||[-110]Ge. SEM images shows a granular structure with the formation of very large grains of about 100 to 500 nm in lateral dimension. The magnetization vs. temperature data taken from SQUID reveal the TC of ~ 270K. Since, PLD technique makes it easier to stabilize the B82 (Ni2In) hexagonal phase in thin FeGe films, this work opens opportunities to reinvestigate many conflicting results on various properties of the FeGe system.

  17. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2010-01-01

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  18. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose

    2010-06-22

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  19. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  20. Lateral root development in the maize (Zea mays) lateral rootless1 mutant.

    Science.gov (United States)

    Husakova, Eva; Hochholdinger, Frank; Soukup, Ales

    2013-07-01

    The maize lrt1 (lateral rootless1) mutant is impaired in its development of lateral roots during early post-embryonic development. The aim of this study was to characterize, in detail, the influences that the mutation exerts on lateral root initiation and the subsequent developments, as well as to describe the behaviour of the entire plant under variable environmental conditions. Mutant lrt1 plants were cultivated under different conditions of hydroponics, and in between sheets of moist paper. Cleared whole mounts and anatomical sections were used in combination with both selected staining procedures and histochemical tests to follow root development. Root surface permeability tests and the biochemical quantification of lignin were performed to complement the structural data. The data presented suggest a redefinition of lrt1 function in lateral roots as a promoter of later development; however, neither the complete absence of lateral roots nor the frequency of their initiation is linked to lrt1 function. The developmental effects of lrt1 are under strong environmental influences. Mutant primordia are affected in structure, growth and emergence; and the majority of primordia terminate their growth during this last step, or shortly thereafter. The lateral roots are impaired in the maintenance of the root apical meristem. The primary root shows disturbances in the organization of both epidermal and subepidermal layers. The lrt1-related cell-wall modifications include: lignification in peripheral layers, the deposition of polyphenolic substances and a higher activity of peroxidase. The present study provides novel insights into the function of the lrt1 gene in root system development. The lrt1 gene participates in the spatial distribution of initiation, but not in its frequency. Later, the development of lateral roots is strongly affected. The effect of the lrt1 mutation is not as obvious in the primary root, with no influences observed on the root apical meristem

  1. Lateral root development in the maize (Zea mays) lateral rootless1 mutant

    Science.gov (United States)

    Husakova, Eva; Hochholdinger, Frank; Soukup, Ales

    2013-01-01

    Background and Aims The maize lrt1 (lateral rootless1) mutant is impaired in its development of lateral roots during early post-embryonic development. The aim of this study was to characterize, in detail, the influences that the mutation exerts on lateral root initiation and the subsequent developments, as well as to describe the behaviour of the entire plant under variable environmental conditions. Methods Mutant lrt1 plants were cultivated under different conditions of hydroponics, and in between sheets of moist paper. Cleared whole mounts and anatomical sections were used in combination with both selected staining procedures and histochemical tests to follow root development. Root surface permeability tests and the biochemical quantification of lignin were performed to complement the structural data. Key Results The data presented suggest a redefinition of lrt1 function in lateral roots as a promoter of later development; however, neither the complete absence of lateral roots nor the frequency of their initiation is linked to lrt1 function. The developmental effects of lrt1 are under strong environmental influences. Mutant primordia are affected in structure, growth and emergence; and the majority of primordia terminate their growth during this last step, or shortly thereafter. The lateral roots are impaired in the maintenance of the root apical meristem. The primary root shows disturbances in the organization of both epidermal and subepidermal layers. The lrt1-related cell-wall modifications include: lignification in peripheral layers, the deposition of polyphenolic substances and a higher activity of peroxidase. Conclusions The present study provides novel insights into the function of the lrt1 gene in root system development. The lrt1 gene participates in the spatial distribution of initiation, but not in its frequency. Later, the development of lateral roots is strongly affected. The effect of the lrt1 mutation is not as obvious in the primary root, with no

  2. Oxygen pressure-tuned epitaxy and magnetic properties of magnetite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junran [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Liu, Wenqing [York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom); Zhang, Minhao; Zhang, Xiaoqian; Niu, Wei; Gao, Ming [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Wang, Xuefeng, E-mail: xfwang@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Du, Jun [School of Physics, Nanjing University, Nanjing 210093 (China); Zhang, Rong [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Xu, Yongbing, E-mail: ybxu@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom)

    2017-06-15

    Highlights: • Quasi-2D Fe{sub 3}O{sub 4} films were obtained by PLD. • RHEED under different oxygen pressure were observed. • Influence of oxygen pressure on Fe{sub 3}O{sub 4} films were investigated. • Epitaxy and magnetic properties were tuned by oxygen pressure. • The ratio of Fe{sup 2+}/Fe{sup 3+} fitted by XPS is the tuned factor of M{sub s}. - Abstract: Quasi-two-dimensional magnetite epitaxial thin films have been synthesized by pulsed laser deposition technique at various oxygen pressures. The saturation magnetizations of the magnetite films were found to decrease from 425 emu/cm{sup 3}, which is close to the bulk value, to 175 emu/cm{sup 3} as the growth atmospheres varying from high vacuum (∼1 × 10{sup −8} mbar) to oxygen pressure of 1 × 10{sup −3} mbar. The ratio of the Fe{sup 3+} to Fe{sup 2+} increases from 2 to 2.7 as oxygen pressure increasing shown by XPS fitting, which weakens the net magnetic moment generated by Fe{sup 2+} at octahedral sites as the spins of the Fe{sup 3+} ions at octahedral and tetrahedral sites are aligned in antiparallel. The results offer direct experimental evidence of the influence to the Fe{sup 3+}/Fe{sup 2+} ratio and the magnetic moment in magnetite epitaxy films by oxygen pressure, which is significant for spintronic applications.

  3. Crossover from incoherent to coherent phonon scattering in epitaxial oxide superlattices.

    Science.gov (United States)

    Ravichandran, Jayakanth; Yadav, Ajay K; Cheaito, Ramez; Rossen, Pim B; Soukiassian, Arsen; Suresha, S J; Duda, John C; Foley, Brian M; Lee, Che-Hui; Zhu, Ye; Lichtenberger, Arthur W; Moore, Joel E; Muller, David A; Schlom, Darrell G; Hopkins, Patrick E; Majumdar, Arun; Ramesh, Ramamoorthy; Zurbuchen, Mark A

    2014-02-01

    Elementary particles such as electrons or photons are frequent subjects of wave-nature-driven investigations, unlike collective excitations such as phonons. The demonstration of wave-particle crossover, in terms of macroscopic properties, is crucial to the understanding and application of the wave behaviour of matter. We present an unambiguous demonstration of the theoretically predicted crossover from diffuse (particle-like) to specular (wave-like) phonon scattering in epitaxial oxide superlattices, manifested by a minimum in lattice thermal conductivity as a function of interface density. We do so by synthesizing superlattices of electrically insulating perovskite oxides and systematically varying the interface density, with unit-cell precision, using two different epitaxial-growth techniques. These observations open up opportunities for studies on the wave nature of phonons, particularly phonon interference effects, using oxide superlattices as model systems, with extensive applications in thermoelectrics and thermal management.

  4. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  5. Coupled quantum dot-ring structures by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Koguchi, N; Sanguinetti, S

    2011-01-01

    The fabrication, by pure self-assembly, of GaAs/AlGaAs dot-ring quantum nanostructures is presented. The growth is performed via droplet epitaxy, which allows for the fine control, through As flux and substrate temperature, of the crystallization kinetics of nanometer scale metallic Ga reservoirs deposited on the surface. Such a procedure permits the combination of quantum dots and quantum rings into a single, multi-functional, complex quantum nanostructure.

  6. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  7. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  8. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  9. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  10. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  11. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  12. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  13. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-20

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.

  14. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  15. Visual object agnosia is associated with a breakdown of object-selective responses in the lateral occipital cortex.

    Science.gov (United States)

    Ptak, Radek; Lazeyras, François; Di Pietro, Marie; Schnider, Armin; Simon, Stéphane R

    2014-07-01

    Patients with visual object agnosia fail to recognize the identity of visually presented objects despite preserved semantic knowledge. Object agnosia may result from damage to visual cortex lying close to or overlapping with the lateral occipital complex (LOC), a brain region that exhibits selectivity to the shape of visually presented objects. Despite this anatomical overlap the relationship between shape processing in the LOC and shape representations in object agnosia is unknown. We studied a patient with object agnosia following isolated damage to the left occipito-temporal cortex overlapping with the LOC. The patient showed intact processing of object structure, yet often made identification errors that were mainly based on the global visual similarity between objects. Using functional Magnetic Resonance Imaging (fMRI) we found that the damaged as well as the contralateral, structurally intact right LOC failed to show any object-selective fMRI activity, though the latter retained selectivity for faces. Thus, unilateral damage to the left LOC led to a bilateral breakdown of neural responses to a specific stimulus class (objects and artefacts) while preserving the response to a different stimulus class (faces). These findings indicate that representations of structure necessary for the identification of objects crucially rely on bilateral, distributed coding of shape features. Copyright © 2014 Elsevier Ltd. All rights reserved.

  16. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  17. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  18. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  19. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  20. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang; Shi, Yumeng; Cheng, Chia Chin; Lu, Li Syuan; Lin, Yung Chang; Tang, Hao-Ling; Tsai, Meng Lin; Chu, Chih Wei; Wei, Kung Hwa; He, Jr-Hau; Chang, Wen Hao; Suenaga, Kazu; Li, Lain-Jong

    2015-01-01

    . Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via

  1. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  2. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  3. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  4. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  5. Schottky barrier height of Ni to β-(AlxGa1-x)2O3 with different compositions grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Oshima, Yuichi; Wu, Feng; Speck, James S.

    2017-03-01

    Coherent β-(AlxGa1-x)2O3 films (x = 0, 0.038, 0.084, 0.164) were grown successfully on a Sn-doped β-Ga2O3 (010) substrate using plasma-assisted molecular beam epitaxy. Atom probe tomography, transmission electron microscopy, and high resolution x-ray diffraction were used to verify the alloy composition and high quality of the films. Schottky diodes were then fabricated using Ni as the Schottky metal. Capacitance-voltage measurements revealed a very low (current-voltage (I-V) measurements performed at temperatures varying from 300 K to 500 K on the Schottky diodes. These measurements revealed that the apparent Schottky barrier height could have similar values for different compositions of β-(AlxGa1-x)2O3. We believe this is attributed to the lateral fluctuation in the alloy’s composition. This results in a lateral variation in the barrier height. Therefore, the average Schottky barrier height extracted from I-V measurements could be similar for β-(AlxGa1-x)2O3 films with different compositions.

  6. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  7. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  8. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  9. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  10. Functional organization and visual representations in human ventral lateral prefrontal cortex

    Directory of Open Access Journals (Sweden)

    Annie Wai Yiu Chan

    2013-07-01

    Full Text Available Recent neuroimaging studies in both human and non-human primates have identified face selective activation in the ventral lateral prefrontal cortex even in the absence of working memory demands. Further, research has suggested that this face-selective response is largely driven by the presence of the eyes. However, the nature and origin of visual category responses in the ventral lateral prefrontal cortex remain unclear. Further, in a broader sense, how do these findings relate to our current understandings of lateral prefrontal cortex? What do these findings tell us about the underlying function and organization principles of the ventral lateral prefrontal cortex? What is the future direction for investigating visual representations in this cortex? This review focuses on the function, topography, and circuitry of the ventral lateral prefrontal cortex to enhance our understanding of the evolution and development of this cortex.

  11. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  12. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  13. Mechanisms of stress generation and relaxation during pulsed laser deposition of epitaxial Fe-Pd magnetic shape memory alloy films on MgO

    International Nuclear Information System (INIS)

    Edler, Tobias; Mayr, S G; Buschbeck, Joerg; Mickel, Christine; Faehler, Sebastian

    2008-01-01

    Mechanical stress generation during epitaxial growth of Fe-Pd thin films on MgO from pulsed laser deposition is a key parameter for the suitability in shape memory applications. By employing in situ substrate curvature measurements, we determine the stress states as a function of film thickness and composition. Depending on composition, different stress states are observed during initial film growth, which can be attributed to different misfits. Compressive stress generation by atomic peening is observed in the later stages of growth. Comparison with ex situ x-ray based strain measurements allows integral and local stress to be distinguished and yields heterogeneities of the stress state between coherent and incoherent regions. In combination with cross-sectional TEM measurements the relevant stress relaxation mechanism is identified to be stress-induced martensite formation with (111) twinning

  14. Design of a braze alloy for fast epitaxial brazing of superalloys

    Science.gov (United States)

    Piegert, S.; Laux, B.; Rösier, J.

    2012-07-01

    For the repair of directionally solidified turbine components made of nickel-based superalloys, a new high-temperature brazing method has been developed. Utilising heterogeneous nucleation on the crack surface, the microstructure of the base material can be reproduced, i.e. single crystallinity can be maintained. In contrast to commonly used eutectic braze alloys, such as nickel-boron or nickel-silicon systems, the process is not diffusion controlled but works with a consolute binary base system. The currently applied epitaxial brazing methods rely on isothermal solidification diffusing the melting point depressants into the base material until their concentration is reduced so that the liquid braze solidifies. Contrary, the identified Ni-Mn consolute system enables a temperature driven epitaxial solidification resulting in substantially reduced process duration. The development of the braze alloys was assisted using the CALPHAD software Thermo-Calc. The solidification behaviour was estimated by kinetic calculations with realistic boundary conditions. Finally, the complete system, including braze alloy as well as substrate material, was modelled by means of DICTRA. Subsequently, the thermodynamic properties of the braze alloys were experimentally analysed by DSC measurements. For brazing experiments 300 μm wide parallel gaps were used. Complete epitaxial solidification, i.e. the absence of high-angle grain boundaries, could be achieved within brazing times being up to two orders of magnitude shorter compared to diffusion brazing processes. Theoretically and experimentally evaluated process windows reveal similar shapes. However, a distinct shift has to be stated which can be ascribed to the limited accuracy of the underlying thermodynamic databases.

  15. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  16. Extraordinary epitaxial alignment of graphene islands on Au(111)

    International Nuclear Information System (INIS)

    Wofford, Joseph M; Dubon, Oscar D; Starodub, Elena; Nie Shu; Bartelt, Norman C; Thürmer, Konrad; McCarty, Kevin F; Walter, Andrew L; Bostwick, Aaron; Rotenberg, Eli

    2012-01-01

    Pristine, single-crystalline graphene displays a unique collection of remarkable electronic properties that arise from its two-dimensional, honeycomb structure. Using in situ low-energy electron microscopy, we show that when deposited on the (111) surface of Au carbon forms such a structure. The resulting monolayer, epitaxial film is formed by the coalescence of dendritic graphene islands that nucleate at a high density. Over 95% of these islands can be identically aligned with respect to each other and to the Au substrate. Remarkably, the dominant island orientation is not the better lattice-matched 30° rotated orientation but instead one in which the graphene [01] and Au [011] in-plane directions are parallel. The epitaxial graphene film is only weakly coupled to the Au surface, which maintains its reconstruction under the slightly p-type doped graphene. The linear electronic dispersion characteristic of free-standing graphene is retained regardless of orientation. That a weakly interacting, non-lattice matched substrate is able to lock graphene into a particular orientation is surprising. This ability, however, makes Au(111) a promising substrate for the growth of single crystalline graphene films. (paper)

  17. Subsurface contributions in epitaxial rare-earth silicides

    Energy Technology Data Exchange (ETDEWEB)

    Luebben, Olaf; Shvets, Igor V. [Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), School of Physics, Trinity College, Dublin (Ireland); Cerda, Jorge I. [Instituto de Ciencia de Materiales de Madrid, ICMM-CSIC, Cantoblanco, Madrid (Spain); Chaika, Alexander N. [Institute of Solid State Physics, RAS, Chernogolovka (Russian Federation)

    2015-07-01

    Metallic thin films of heavy rare-earth silicides epitaxially grown on Si(111) substrates have been widely studied in recent years because of their appealing properties: unusually low values of the Schottky barrier height, an abrupt interface, and a small lattice mismatch. Previous studies also showed that these silicides present very similar atomic and electronic structures. Here, we examine one of these silicides (Gd{sub 3}Si{sub 5}) using scanning tunneling microscopy (STM) image simulations that go beyond the Tersoff-Hamann approach. These simulations strongly indicate an unusual STM depth sensitivity for this system.

  18. Magnetic properties of novel epitaxial films

    International Nuclear Information System (INIS)

    Bader, S.D.; Moog, E.R.

    1986-09-01

    The surface magneto-optic Kerr effect (SMOKE) is used to explore the magnetism of ultra-thin Fe Films extending into the monolayer regime. Both bcc α-Fe and fcc γ-Fe single-crystalline, multilayer films are prepared on the bulk-terminated (1 x 1) structures of Au(100) and Cu(100), respectively. The characterizations of epitaxy and growth mode are performed using low energy electron diffraction and Auger electron spectroscopy. Monolayer-range Fe/Au(100) is ferromagnetic with a lower Curie temperature than bulk α-Fe. The controversial γ-Fe/Cu(100) system exhibits a striking, metastable, surface magnetic phase at temperatures above room temperature, but does not exhibit bulk ferromagnetism

  19. Van der Waals epitaxy and photoresponse of hexagonal tellurium nanoplates on flexible mica sheets.

    Science.gov (United States)

    Wang, Qisheng; Safdar, Muhammad; Xu, Kai; Mirza, Misbah; Wang, Zhenxing; He, Jun

    2014-07-22

    Van der Waals epitaxy (vdWE) is of great interest due to its extensive applications in the synthesis of ultrathin two-dimensional (2D) layered materials. However, vdWE of nonlayered functional materials is still not very well documented. Here, although tellurium has a strong tendency to grow into one-dimensional nanoarchitecture due to its chain-like structure, we successfully realize 2D hexagonal tellurium nanoplates on flexible mica sheets via vdWE. Chemically inert mica surface is found to be crucial for the lateral growth of hexagonal tellurium nanoplates since it (1) facilitates the migration of tellurium adatoms along mica surface and (2) allows a large lattice mismatch. Furthermore, 2D tellurium hexagonal nanoplates-based photodetectors are in situ fabricated on flexible mica sheets. Efficient photoresponse is obtained even after bending the device for 100 times, indicating 2D tellurium hexagonal nanoplates-based photodetectors on mica sheets have a great application potential in flexible and wearable optoelectronic devices. We believe the fundamental understanding of vdWE effect on the growth of 2D tellurium hexagonal nanoplate can pave the way toward leveraging vdWE as a useful channel to realize the 2D geometry of other nonlayered materials.

  20. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    Energy Technology Data Exchange (ETDEWEB)

    Dorokhov, A., E-mail: Andrei.Dorokhov@IReS.in2p3.f [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Deveaux, M. [Goethe-Universitaet Frankfurt am Main, Senckenberganlage 31, 60325 Frankfurt am Main (Germany); Doziere, G.; Dulinski, W. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Fontaine, J.-C. [Groupe de Recherche en Physique des Hautes Energies (GRPHE), Universite de Haute Alsace, 61, rue Albert Camus, 68093 Mulhouse (France); Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France)

    2010-12-11

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10{mu}m pitch device was found to be {approx}10{sup 13}n{sub eq}/cm{sup 2}, while it was only 2x10{sup 12}n{sub eq}/cm{sup 2} for a 20{mu}m pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10{sup 14}) n{sub eq}/cm{sup 2}. This goal relies on a fabrication process featuring a 15{mu}m thin, high resistivity ({approx}1k{Omega}cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages (<5V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of {approx}50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered (3x10{sup 13}n{sub eq}/cm{sup 2}), making evidence of a significant extension of the radiation tolerance limits of MAPS.

  1. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  2. Controllable factors affecting the epitaxial quality of LaCoO 3 films ...

    Indian Academy of Sciences (India)

    treatment temperature and a relatively rapid rate of heating can effectively preventnucleus from growing in other orientations, thereby improving the epitaxial quality of film. Besides, the choice of spin speed will directly affect the thickness and ...

  3. Epitaxial Al{sub x}Ga{sub 1–x}As:Mg alloys with different conductivity types

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P. V., E-mail: paul@phys.vsu.ru; Lenshin, A. S. [Voronezh State University (Russian Federation); Arsentiev, I. N., E-mail: arsentyev@mail.ioffe.ru; Zhabotinskii, A. V.; Nikolaev, D. N.; Tarasov, I. S.; Shamakhov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Prutskij, Tatiana, E-mail: prutskiy@yahoo.com [Benemérita Universidad Autónoma de Puebla, Instituto de Ciencias (Mexico); Leiste, Harald; Rinke, Monika [Karlsruhe Nano Micro Facility (Germany)

    2017-01-15

    The structural, optical, and energy properties of epitaxial Al{sub x}Ga{sub 1–x}As:Mg/GaAs(100) heterostructures at different levels of doping with Mg are studied by high-resolution X-ray diffraction analysis and Raman and photoluminescence spectroscopies. It is shown that, by choosing the technological conditions of Al{sub x}Ga{sub 1–x}As:Mg alloy production, it is possible to achieve not only different conductivity types, but also substantially different charge-carrier concentrations in an epitaxial film.

  4. Molecular-beam epitaxial growth and ion-beam analysis systems for functional materials research

    International Nuclear Information System (INIS)

    Takeshita, H.; Aoki, Y.; Yamamoto, S.; Naramoto, H.

    1992-01-01

    Experimental systems for molecular beam epitaxial growth and ion beam analysis have been designed and constructed for the research of inorganic functional materials such as thin films and superlattices. (author)

  5. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  6. Magnetic properties of epitaxial bismuth ferrite-garnet mono- and bilayers

    International Nuclear Information System (INIS)

    Semuk, E.Yu.; Berzhansky, V.N.; Prokopov, A.R.; Shaposhnikov, A.N.; Karavainikov, A.V.; Salyuk, O.Yu.; Golub, V.O.

    2015-01-01

    Magnetic properties of Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 (84 nm) and Bi 2.8 Y 0.2 Fe 5 O 12 (180 nm) films epitaxially grown on gallium-gadolinium garnet (GGG) single crystal (111) substrate as well as Bi 1.5 Gd 1.5 Fe 4.5 Al 0.5 O 12 /Bi 2.8 Y 0.2 Fe 5 O 12 bilayer were investigated using ferromagnetic resonance technique. The mismatch of the lattice parameters of substrate and magnetic layers leads to formation of adaptive layers which affect on the high order anisotropy constant of the films but practically do not affect on uniaxial perpendicular magnetic anisotropy The magnetic properties of the bilayer film were explained in supposition of strong exchange coupling between magnetic layers taking into account film-film and film-substrate elastic interaction. - Highlights: • Magnetic parameters of epitaxial Bi-YIG films and bilayers on GGG substrate. • Adaptive layers affect on high order magnetic anisotropy. • Magnetic properties of bilayers are result of strong exchange interaction

  7. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  8. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  9. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  10. Fabrication of a complex InAs ring-and-dot structure by droplet epitaxy

    International Nuclear Information System (INIS)

    Noda, Takeshi; Mano, Takaaki

    2008-01-01

    An InAs ring structure accompanying the formation of quantum dots (QDs) was fabricated on (1 0 0)GaAs using droplet epitaxy. The QDs were located in the vicinity of the ring, due to the diffusion of In atoms from the In droplets. In addition, the dots were found to have distributed elliptically and preferentially along the [0 1 1] direction, implying that In itself prefers to diffuse along the [0 1 1] direction, which is the opposite of the favorable diffusion orientation of group III atoms on (1 0 0)GaAs under a commonly used As-stabilized growth condition. This is the first observation of a ring structure accompanying the formation of quantum dots in droplet epitaxy

  11. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Science.gov (United States)

    Meng, Siqin; Yue, Zhenxing; Zhang, Xiaozhi; Li, Longtu

    2014-01-01

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  12. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Siqin; Yue, Zhenxing, E-mail: yuezhx@tsinghua.edu.cn; Zhang, Xiaozhi; Li, Longtu

    2014-01-30

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  13. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  14. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  15. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  16. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  17. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  18. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  19. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  20. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  1. Epitaxial Growth of a Methoxy-Functionalized Quaterphenylene on Alkali Halide Surfaces

    DEFF Research Database (Denmark)

    Balzer, Frank; Sun, Rong; Parisi, Jürgen

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of lowenergy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X...

  2. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  3. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  5. High purity liquid phase epitaxial gallium arsenide nuclear radiation detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.

    1991-11-01

    Surface barrier radiation detector made from high purity liquid phase epitaxial gallium arsenide wafers have been operated as X- and γ-ray detectors at various operating temperatures. Low energy isotopes are resolved including 241 Am at 40 deg C. and the higher gamma energies of 235 U at -80 deg C. 15 refs., 1 tab., 6 figs

  6. Approaching the Dirac point in high-mobility multilayer epitaxial graphene

    Czech Academy of Sciences Publication Activity Database

    Orlita, Milan; Faugeras, C.; Plochocka, P.; Neugebauer, P.; Martinez, G.; Maude, D. K.; Barra, A. L.; Sprinkle, M.; Berger, C.; de Heer, W.A.; Potemski, M.

    2008-01-01

    Roč. 101, č. 26 (2008), 267601/1-267601/4 ISSN 0031-9007 R&D Projects: GA AV ČR KAN400100652 Grant - others:EU(XE) RITA -CT-2003-505474 Institutional research plan: CEZ:AV0Z10100521 Keywords : multilayer epitaxial graphene * Dirac fermions * magnetic field Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 7.180, year: 2008

  7. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  8. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  9. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The innovations proposed here are Ka-band (38 GHz) group III-nitride power FETs and the dislocation density reducing epitaxial growth methods (LPE) needed for their...

  10. Function Lateralization via Measuring Coherence Laterality

    Science.gov (United States)

    Wang, Ze; Mechanic-Hamilton, Dawn; Pluta, John; Glynn, Simon; Detre, John A.

    2009-01-01

    A data-driven approach for lateralization of brain function based on the spatial coherence difference of functional MRI (fMRI) data in homologous regions-of-interest (ROI) in each hemisphere is proposed. The utility of using coherence laterality (CL) to determine function laterality was assessed first by examining motor laterality using normal subjects’ data acquired both at rest and with a simple unilateral motor task and subsequently by examining mesial temporal lobe memory laterality in normal subjects and patients with temporal lobe epilepsy. The motor task was used to demonstrate that CL within motor ROI correctly lateralized functional stimulation. In patients with unilateral epilepsy studied during a scene-encoding task, CL in a hippocampus-parahippocampus-fusiform (HPF) ROI was concordant with lateralization based on task activation, and the CL index (CLI) significantly differentiated the right side group to the left side group. By contrast, normal controls showed a symmetric HPF CLI distribution. Additionally, similar memory laterality prediction results were still observed using CL in epilepsy patients with unilateral seizures after the memory encoding effect was removed from the data, suggesting the potential for lateralization of pathological brain function based on resting fMRI data. A better lateralization was further achieved via a combination of the proposed approach and the standard activation based approach, demonstrating that assessment of spatial coherence changes provides a complementary approach to quantifying task-correlated activity for lateralizing brain function. PMID:19345736

  11. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  12. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  13. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  14. Septum formation of the lateral ventricles

    International Nuclear Information System (INIS)

    Celik, Hakan H.; Aldur, Mustafa M.; Tatar, I.; Tascioglu, A.B.

    2005-01-01

    In an MRI study examining anomalies of the septum pellucidum in 505 cases, we detected bilateral septum formation of the lateral ventricles in a 17-months-old-baby. In this study, we evaluate 505 (242 males and 263 females) patients referred to the Emaray Imaging Center, Ankara, Turkey with various prediagnoses. We specially selected all the cases from a non-psychotic population. We obtained MRI scans on a 1-Tesla imager (Picker International, Highland Heights, Ohio, USA), with slices of 5 and 6 mm thickness. In the axial and coronal sections, we observed septum formation laterally between the anterior horn and the ventricular body of the lateral ventricles. Radio opaque septum formations started from the caudate nucleus and stretched to the genu of the corpus callosum. There was a second septum formation between the posterior horn and the ventricular body of the right lateral ventricle. It started from the caudate nucleus and stretched to the cavum vergae. (author)

  15. Novel Dilute Bismide, Epitaxy, Physical Properties and Device Application

    Directory of Open Access Journals (Sweden)

    Lijuan Wang

    2017-02-01

    Full Text Available Dilute bismide in which a small amount of bismuth is incorporated to host III-Vs is the least studied III-V compound semiconductor and has received steadily increasing attention since 2000. In this paper, we review theoretical predictions of physical properties of bismide alloys, epitaxial growth of bismide thin films and nanostructures, surface, structural, electric, transport and optic properties of various binaries and bismide alloys, and device applications.

  16. Epitaxially Grown Ultra-Flat Self-Assembling Monolayers with Dendrimers

    Directory of Open Access Journals (Sweden)

    Takane Imaoka

    2018-02-01

    Full Text Available Mono-molecular films formed by physical adsorption and dendrimer self-assembly were prepared on various substrate surfaces. It was demonstrated that a uniform dendrimer-based monolayer on the subnanometer scale can be easily constructed via simple dip coating. Furthermore, it was shown that an epitaxially grown monolayer film reflecting the crystal structure of the substrate (highly ordered pyrolytic graphite (HOPG can also be formed by aligning specific conditions.

  17. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  18. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  19. Tuning electronic transport in epitaxial graphene-based van der Waals heterostructures

    Science.gov (United States)

    Lin, Yu-Chuan; Li, Jun; de La Barrera, Sergio C.; Eichfeld, Sarah M.; Nie, Yifan; Addou, Rafik; Mende, Patrick C.; Wallace, Robert M.; Cho, Kyeongjae; Feenstra, Randall M.; Robinson, Joshua A.

    2016-04-01

    Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low-energy electron microscopy and reflectivity on these samples, we extract the work function difference between the WSe2 and graphene and employ a charge transfer model to determine the WSe2 carrier density in both cases. The results indicate that WSe2-EGFH displays ohmic behavior at small biases due to a large hole density in the WSe2, whereas WSe2-EGPH forms a Schottky barrier junction.Two-dimensional tungsten diselenide (WSe2) has been used as a component in atomically thin photovoltaic devices, field effect transistors, and tunneling diodes in tandem with graphene. In some applications it is necessary to achieve efficient charge transport across the interface of layered WSe2-graphene, a semiconductor to semimetal junction with a van der Waals (vdW) gap. In such cases, band alignment engineering is required to ensure a low-resistance, ohmic contact. In this work, we investigate the impact of graphene electronic properties on the transport at the WSe2-graphene interface. Electrical transport measurements reveal a lower resistance between WSe2 and fully hydrogenated epitaxial graphene (EGFH) compared to WSe2 grown on partially hydrogenated epitaxial graphene (EGPH). Using low

  20. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure