WorldWideScience

Sample records for selective epitaxial growth

  1. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  2. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  3. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  4. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    International Nuclear Information System (INIS)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde; Liu, Chao; May Lau, Kei

    2014-01-01

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing

  5. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  6. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  7. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  8. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  9. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  10. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  11. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  12. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  13. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  14. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  15. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  16. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  17. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  18. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  19. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  20. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  1. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  2. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  3. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  4. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  5. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  6. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  7. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  8. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  9. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    Science.gov (United States)

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  10. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  11. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  12. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  13. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  14. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  15. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  16. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  17. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  18. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  19. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  20. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  1. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  2. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  3. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  4. Selective nanoscale growth of lattice mismatched materials

    Science.gov (United States)

    Lee, Seung-Chang; Brueck, Steven R. J.

    2017-06-20

    Exemplary embodiments provide materials and methods of forming high-quality semiconductor devices using lattice-mismatched materials. In one embodiment, a composite film including one or more substantially-single-particle-thick nanoparticle layers can be deposited over a substrate as a nanoscale selective growth mask for epitaxially growing lattice-mismatched materials over the substrate.

  5. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  6. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  7. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  8. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  9. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  10. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  11. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  12. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  13. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  14. Influence of the carrier Gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, M. M., E-mail: MRozhavskaya@gmail.com; Lundin, V. V.; Zavarin, E. E.; Troshkov, S. I.; Brunkov, P. N.; Tsatsulnikov, A. F. [Russian Academy of Sciences, Ioffe Physical-Technical Institute (Russian Federation)

    2013-03-15

    The influence of the carrier gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN in stripe windows oriented along the crystallographic direction Left-Pointing-Angle-Bracket 11-bar00 Right-Pointing-Angle-Bracket GaN for various widths of the mask between the stripes is studied. It is shown that the addition of nitrogen in the reactor atmosphere leads to changes in the form of the stripes in the case of wide (40 {mu}m) mask from a rectangular form restricted by a {l_brace}1 1-bar20{r_brace} lateral face to a trapezoidal form restricted by a {l_brace}1 1-bar22{r_brace} lateral face. It is also shown that during growth in the nitrogen-hydrogen mixture, the gallium flow starts to considerably affect the form of the growing stripes. It is shown that the process is significantly unstable, which leads to a noticeable variation in the form type as the transverse section of the stripe increases.

  15. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  16. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  17. Selective-area vapour-liquid-solid growth of InP nanowires

    International Nuclear Information System (INIS)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J

    2009-01-01

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO 2 mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO 2 mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  18. Selective-area vapour-liquid-solid growth of InP nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Dalacu, Dan; Kam, Alicia; Guy Austing, D; Wu Xiaohua; Lapointe, Jean; Aers, Geof C; Poole, Philip J, E-mail: dan.dalacu@nrc-cnrc.gc.c [Institute for Microstructural Sciences, National Research Council of Canada, Ottawa, K1A 0R6 (Canada)

    2009-09-30

    A comparison is made between the conventional non-selective vapour-liquid-solid growth of InP nanowires and a novel selective-area growth process where the Au-seeded InP nanowires grow exclusively in the openings of a SiO{sub 2} mask on an InP substrate. This new process allows the precise positioning and diameter control of the nanowires required for future advanced device fabrication. The growth temperature range is found to be extended for the selective-area growth technique due to removal of the competition between material incorporation at the Au/nanowire interface and the substrate. A model describing the growth mechanism is presented which successfully accounts for the nanoparticle size-dependent and time-dependent growth rate. The dominant indium collection process is found to be the scattering of the group III source material from the SiO{sub 2} mask and subsequent capture by the nanowire, a process that had previously been ignored for selective-area growth by chemical beam epitaxy.

  19. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  20. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  1. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  2. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  3. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  4. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    Science.gov (United States)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  5. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  6. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  7. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  8. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  9. Epitaxial growth by monolayer restricted galvanic displacement

    Directory of Open Access Journals (Sweden)

    Vasilić Rastko

    2012-01-01

    Full Text Available The development of a new method for epitaxial growth of metals in solution by galvanic displacement of layers pre-deposited by underpotential deposition (UPD was discussed and experimentally illustrated throughout the lecture. Cyclic voltammetry (CV and scanning tunneling microscopy (STM are employed to carry out and monitor a “quasi-perfect”, two-dimensional growth of Ag on Au(111, Cu on Ag(111, and Cu on Au(111 by repetitive galvanic displacement of underpotentially deposited monolayers. A comparative study emphasizes the displacement stoichiometry as an efficient tool for thickness control during the deposition process and as a key parameter that affects the deposit morphology. The excellent quality of layers deposited by monolayer-restricted galvanic displacement is manifested by a steady UPD voltammetry and ascertained by a flat and uniform surface morphology maintained during the entire growth process.

  10. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  11. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  12. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  13. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  14. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    Science.gov (United States)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  15. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  16. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  17. Growth of GaSb1-xBix by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Roy, Ivy Saha

    2012-01-01

    Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi ......As substrates were compared and no apparent difference for Bi incorporation was found.......Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi...... concentration in the samples was found to increase with increasing growth temperature and Bi flux. The position of GaSb1-xBix layer peak in XRD rocking curves is found to be correlated to Bi composition. Surface and structural properties of the samples were also investigated. Samples grown on GaSb and Ga...

  18. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  19. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  20. Shaping metal nanocrystals through epitaxial seeded growth

    Energy Technology Data Exchange (ETDEWEB)

    Habas, Susan E.; Lee, Hyunjoo; Radmilovic, Velimir; Somorjai,Gabor A.; Yang, Peidong

    2008-02-17

    Morphological control of nanocrystals has becomeincreasingly important, as many of their physical and chemical propertiesare highly shape-dependent. Nanocrystal shape control for both single andmultiple material systems, however, remains fairly empirical andchallenging. New methods need to be explored for the rational syntheticdesign of heterostructures with controlled morphology. Overgrowth of adifferent material on well-faceted seeds, for example, allows for the useof the defined seed morphology to control nucleation and growth of thesecondary structure. Here, we have used highly faceted cubic Pt seeds todirect the epitaxial overgrowth of a secondary metal. We demonstrate thisconcept with lattice matched Pd to produce conformal shape-controlledcore-shell particles, and then extend it to lattice mismatched Au to giveanisotropic growth. Seeding with faceted nanocrystals may havesignificant potential towards the development of shape-controlledheterostructures with defined interfaces.

  1. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  2. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  3. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  4. Epitaxial Growth of a Methoxy-Functionalized Quaterphenylene on Alkali Halide Surfaces

    DEFF Research Database (Denmark)

    Balzer, Frank; Sun, Rong; Parisi, Jürgen

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of lowenergy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X...

  5. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  6. Molecular-beam epitaxial growth and ion-beam analysis systems for functional materials research

    International Nuclear Information System (INIS)

    Takeshita, H.; Aoki, Y.; Yamamoto, S.; Naramoto, H.

    1992-01-01

    Experimental systems for molecular beam epitaxial growth and ion beam analysis have been designed and constructed for the research of inorganic functional materials such as thin films and superlattices. (author)

  7. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  8. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  9. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  10. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  11. Molecular beam epitaxy growth of InSb1−xBix thin films

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1−xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  12. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  13. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  14. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The innovations proposed here are Ka-band (38 GHz) group III-nitride power FETs and the dislocation density reducing epitaxial growth methods (LPE) needed for their...

  15. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  16. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  17. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  18. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  19. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  20. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  1. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxyGrowth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  2. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxyGrowth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  3. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  4. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  5. Polarized Emission from Conjugated Polymer Chains Aligned by Epitaxial Growth during Off-Center Spin-Coating

    Directory of Open Access Journals (Sweden)

    Takuya Anzai

    2017-01-01

    Full Text Available Due to their macromolecular nature, conjugated polymers can be relatively easily aligned by applying a variety of processes resulting in either elongation or ordering of their conjugated backbones. Processes that induce chain alignment include electrospinning, mechanical rubbing, epitaxial growth, and nanoconfinement and unidirectional deposition techniques such as off-center spin-coating. In this study, we compare these deposition techniques by applying them to a green-emitting conjugated polymer material that exhibits liquid crystalline phase behavior. Our study reveals that while methods such as electrospinning and mechanical rubbing can be useful to locally generate polymer chain alignment, the combination of epitaxial growth using 1,3,5-trichlorobenzene as crystallizing agent with off-center spin-coating results in the formation of anisotropic nanofiber-like structures with enhanced crystallinity degree and polarized light-emission properties. The unidirectional epitaxial growth was also applied to a red-emitting polymer that exhibits polarization ratios up to 4.1. Our results emphasize that this simple solution formulation and process can be used for the fabrication of polarized thin films of a variety of conjugated polymers with potential applications in the advanced display technologies or analytical equipment fields.

  6. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  7. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  8. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  9. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  10. Selective area growth of GaN rod structures by MOVPE: Dependence on growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Wang, Xue; Erenburg, Milena; Al-Suleiman, Mohamed Aid Mansur; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Strassburg, Martin [Osram Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany)

    2011-07-15

    Selective area growth of GaN nanorods by metalorganic vapor phase epitaxy is highly demanding for novel applications in nano-optoelectronic and nanophotonics. Recently, we report the successful selective area growth of GaN nanorods in a continuous-flow mode. In this work, as examples, we show the morphology dependence of GaN rods with {mu}m or sub-{mu}m in diameters on growth conditions. Firstly, we found that the nitridation time is critical for the growth, with an optimum from 90 to 180 seconds. This leads to more homogeneous N-polar GaN rods growth. A higher temperature during GaN rod growth tends to increase the aspect ratio of the GaN rods. This is due to the enhanced surface diffusion of growth species. The V/III ratio is also an important parameter for the GaN rod growth. Its increase causes reduction of the aspect ratio of GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface than it on {l_brace}1-100{r_brace} m-planes by supplying more NH{sub 3} (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Controlled growth of high-density CdS and CdSe nanorod arrays on selective facets of two-dimensional semiconductor nanoplates

    KAUST Repository

    Wu, Xue-Jun; Chen, Junze; Tan, Chaoliang; Zhu, Yihan; Han, Yu; Zhang, Hua

    2016-01-01

    . Here, we report a seeded growth approach for the controlled epitaxial growth of three types of hierarchical one-dimensional (1D)/two-dimensional (2D) nanostructures, where nanorod arrays of II-VI semiconductor CdS or CdSe are grown on the selective

  12. A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2015-12-01

    Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.

  13. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  14. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  16. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  17. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  18. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  19. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  20. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  1. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    /Ge/(211)Si was achieved by block co-polymer (BCP) lithography. Conditions for selective CdTe epitaxy was achieved and results showed different defect propagation mechanism at the patterned interface compared to the films grown on blanket Si. In another study, patterning of ˜360 nm holes in SiO2/(211)Si was done by molecular transfer lithography (MxL). Conditions for selective Ge and CdTe epitaxy were achieved which was the most challenging part of this work. Thin CdTe films were characterized to check the effect of nanopatterning. Certain results invariably showed that CdTe grown on nanopatterned substrates demonstrated promise of defect reduction and blocking close to the growth interface. But presently, nanopatterning also offers some serious challenges such as uniformity of patterns and substrate cleaning prior to growth for successful implementation of epitaxy on very large areas. Such factors resulted in degradation of overall crystal quality and will be discussed in this work. This is the first successful demonstration of selective (211)B CdTe epitaxy on Si by MOVPE using some of the relatively novel and promising nanopatterning techniques.

  2. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  3. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  4. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  5. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  6. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  7. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  8. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  9. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  10. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  11. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  12. Epitaxial growth of GaN/AlN/InAlN heterostructures for HEMTs in horizontal MOCVD reactors with different designs

    Energy Technology Data Exchange (ETDEWEB)

    Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.; Zavarin, E. E.; Usov, S. O.; Nikolaev, A. E.; Yagovkina, M. A.; Ustinov, V. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Cherkashin, N. A. [CEMES–CNRS—Université de Toulouse (France)

    2016-09-15

    The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase. In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.

  13. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  14. Impact of P/In flux ratio and epilayer thickness on faceting for nanoscale selective area growth of InP by molecular beam epitaxy.

    Science.gov (United States)

    Fahed, M; Desplanque, L; Coinon, C; Troadec, D; Wallart, X

    2015-07-24

    The impact of the P/In flux ratio and the deposited thickness on the faceting of InP nanostructures selectively grown by molecular beam epitaxy (MBE) is reported. Homoepitaxial growth of InP is performed inside 200 nm wide stripe openings oriented either along a [110] or [1-10] azimuth in a 10 nm thick SiO2 film deposited on an InP(001) substrate. When varying the P/In flux ratio, no major shape differences are observed for [1-10]-oriented apertures. On the other hand, the InP nanostructure cross sections strongly evolve for [110]-oriented apertures for which (111)B facets are more prominent and (001) ones shrink for large P/In flux ratio values. These results show that the growth conditions allow tailoring the nanocrystal shape. They are discussed in the framework of the equilibrium crystal shape model using existing theoretical calculations of the surface energies of different low-index InP surfaces as a function of the phosphorus chemical potential, directly related to the P/In ratio. Experimental observations strongly suggest that the relative (111)A surface energy is probably smaller than the calculated value. We also discuss the evolution of the nanostructure shape with the InP-deposited thickness.

  15. Epitaxial growth of Cu on Cu(001): Experiments and simulations

    International Nuclear Information System (INIS)

    Furman, Itay; Biham, Ofer; Zuo, Jiang-Kai; Swan, Anna K.; Wendelken, John

    2000-01-01

    A quantitative comparison between experimental and Monte Carlo simulation results for the epitaxial growth of Cu/Cu(001) in the submonolayer regime is presented. The simulations take into account a complete set of hopping processes whose activation energies are derived from semiempirical calculations using the embedded-atom method. The island separation is measured as a function of the incoming flux and the temperature. A good quantitative agreement between the experiment and simulation is found for the island separation, the activation energies for the dominant processes, and the exponents that characterize the growth. The simulation results are then analyzed at lower coverages, which are not accessible experimentally, providing good agreement with theoretical predictions as well

  16. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  17. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  18. Modelling of epitaxial film growth with an Ehrlich-Schwoebel barrier dependent on the step height

    International Nuclear Information System (INIS)

    Leal, F F; Ferreira, S C; Ferreira, S O

    2011-01-01

    The formation of mounded surfaces in epitaxial growth is attributed to the presence of barriers against interlayer diffusion in the terrace edges, known as Ehrlich-Schwoebel (ES) barriers. We investigate a model for epitaxial growth using an ES barrier explicitly dependent on the step height. Our model has an intrinsic topological step barrier even in the absence of an explicit ES barrier. We show that mounded morphologies can be obtained even for a small barrier while a self-affine growth, consistent with the Villain-Lai-Das Sarma equation, is observed in the absence of an explicit step barrier. The mounded surfaces are described by a super-roughness dynamical scaling characterized by locally smooth (facetted) surfaces and a global roughness exponent α > 1. The thin film limit is featured by surfaces with self-assembled three-dimensional structures having an aspect ratio (height/width) that may increase or decrease with temperature depending on the strength of the step barrier. (fast track communication)

  19. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    International Nuclear Information System (INIS)

    Ghandi, R.; Kolahdouz, M.; Hallstedt, J.; Wise, R.; Wejtmans, Hans; Radamson, H.H.

    2008-01-01

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si 1-x Ge x (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers

  20. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Ghandi, R. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: ghandi@kth.se; Kolahdouz, M.; Hallstedt, J. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden); Wise, R.; Wejtmans, Hans [Texas Instrument, 13121 TI Boulevard, Dallas, Tx 75243 (United States); Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-11-03

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si{sub 1-x}Ge{sub x} (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.

  1. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  2. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  3. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  4. Molecular-beam epitaxy growth and characterization of 5-μm quantum cascade laser

    International Nuclear Information System (INIS)

    Mamutin, V V; Ustinov, V M; Ilyinskaya, N D; Baydakova, M V; Ber, B Ya; Kasantsev, D Yu

    2011-01-01

    Molecular-beam epitaxy growth of 5 μm emitting strain-compensated quantum semiconductor laser (QCL) is reported. The QCL structure is characterized by complementary techniques: high-resolution X-ray diffraction and dynamical secondary-ion mass-spectrometry, that reveal the high quality of QCL structure and in-depth distribution of chemical composition, respectively.

  5. Controlled growth of high-density CdS and CdSe nanorod arrays on selective facets of two-dimensional semiconductor nanoplates

    KAUST Repository

    Wu, Xue-Jun

    2016-03-14

    The rational synthesis of hierarchical three-dimensional nanostructures with specific compositions, morphologies and functionalities is important for applications in a variety of fields ranging from energy conversion and electronics to biotechnology. Here, we report a seeded growth approach for the controlled epitaxial growth of three types of hierarchical one-dimensional (1D)/two-dimensional (2D) nanostructures, where nanorod arrays of II-VI semiconductor CdS or CdSe are grown on the selective facets of hexagonal-shaped nanoplates, either on the two basal facets of the nanoplate, or on one basal facet, or on the two basal facets and six side facets. The seed engineering of 2D hexagonal-shaped nanoplates is the key factor for growth of the three resulting types of 1D/2D nanostructures. The wurtzite- and zinc-blende-type polymorphs of semiconductors are used to determine the facet-selective epitaxial growth of 1D nanorod arrays, resulting in the formation of different hierarchical three-dimensional (3D) nanostructures. © 2016 Macmillan Publishers Limited. All rights reserved.

  6. Molecular-beam epitaxial growth and characterization of quaternary III-nitride compounds

    International Nuclear Information System (INIS)

    Monroy, E.; Gogneau, N.; Enjalbert, F.; Fossard, F.; Jalabert, D.; Bellet-Amalric, E.; Dang, Le Si; Daudin, B.

    2003-01-01

    We report on the controlled growth and characterization of quaternary AlGaInN compounds by plasma-assisted molecular beam epitaxy. Two-dimensional growth is achieved with a monolayer of In segregating at the growth front. In incorporation is hindered by increasing growth temperature and Al mole fraction, which is explained by the lower binding energy of InN compared to GaN and AlN. The mosaicity of the layers is determined by the substrate quality, whereas the alloy disorder increases with the Al content, independent of the In mole fraction. Room temperature photoluminescence is dominated by a narrow band-edge emission, whose Stokes shift and activation energy increase with the In content. This behavior is interpreted in terms of carrier localization in self-formed alloy inhomogeneities. An In-related band bowing parameter of 2.5 eV has been estimated

  7. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  8. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Step driven competitive epitaxial and self-limited growth of graphene on copper surface

    Directory of Open Access Journals (Sweden)

    Lili Fan

    2011-09-01

    Full Text Available The existence of surface steps was found to have significant function and influence on the growth of graphene on copper via chemical vapor deposition. The two typical growth modes involved were found to be influenced by the step morphologies on copper surface, which led to our proposed step driven competitive growth mechanism. We also discovered a protective role of graphene in preserving steps on copper surface. Our results showed that wide and high steps promoted epitaxial growth and yielded multilayer graphene domains with regular shape, while dense and low steps favored self-limited growth and led to large-area monolayer graphene films. We have demonstrated that controllable growth of graphene domains of specific shape and large-area continuous graphene films are feasible.

  10. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  11. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  12. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  13. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  14. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  15. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  16. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  17. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  18. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  19. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  20. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  1. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  2. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  3. Molecular beam epitaxy growth of InSb1-xBix thin films

    DEFF Research Database (Denmark)

    Yuxin Song; Shumin Wang; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1-xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  4. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  5. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  6. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  7. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  8. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  9. Surface Reaction Kinetics of Ga(1-x)In(x)P Growth During Pulsed Chemical Beam Epitaxy

    National Research Council Canada - National Science Library

    Dietz, N; Beeler, S. C; Schmidt, J. W; Tran, H. T

    2000-01-01

    ... into the surface reaction kinetics during an organometallic deposition process. These insights will allow us to move the control point closer to the point where the growth occurs, which in a chemical been epitaxy process is a surface reaction layer (SRL...

  10. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  11. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  12. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  13. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  14. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  15. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  16. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  17. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  18. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  19. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  20. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  1. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  2. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  3. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  4. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.

  5. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  6. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Aheli Ghosh

    2017-09-01

    Full Text Available The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ∼0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90  ° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness < 2 nm. Temperature dependent Hall mobility measurements and the modelling thereof indicated that ionized impurity scattering limited carrier mobility in Ge layer. Capacitance- and conductance-voltage measurements were performed to determine the effect of epilayer dislocation density on interfacial defect states (Dit and their energy distribution. Finally, extracted Dit values were benchmarked against published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  7. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  8. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  9. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science

    2018-01-31

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.

  10. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  11. Growth and characterization of ultrathin epitaxial MnO film on Ag(001)

    Science.gov (United States)

    Kundu, Asish K.; Menon, Krishnakumar S. R.

    2016-07-01

    We present here a comprehensive growth procedure to obtain a well-ordered MnO(001) ultrathin film on Ag(001) substrate. Depending upon the oxygen partial pressure during the growth, different phases of manganese oxide have been detected by Low Energy Electron Diffraction (LEED) and X-ray Photoelectron Spectroscopic (XPS) studies. A modified growth scheme has been adopted to get well-ordered and stoichiometric MnO(001) ultrathin film. The detailed growth mechanism of epitaxial MnO film on Ag(001) has been studied step by step, using LEED and XPS techniques. Observation of sharp (1 × 1) LEED pattern with a low inelastic background, corresponds to a long-range atomic order with low defect densities indicating the high structural quality of the film. The Mn 2p and Mn 3s core-level spectra confirm the oxidation state as well as the stoichiometry of the grown MnO films. Apart from the growth optimization, the evolution of strain relaxation of the MnO(001) film with film thickness has been explored.

  12. Vapor phase epitaxial growth of FeS sub 2 pyrite and evaluation of the carrier collection in liquid-junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Schlichthoerl, G.; Fiechter, S.; Tributsch, H. (Hahn-Meitner-Inst., Abt. Solare Energetik und Materialforschung, Berlin (Germany))

    1992-01-01

    Photoactive epitaxial layers of FeS{sub 2} were grown using bromine as a transport agent and a simple closed ampoule technique. The substrates used were (100)-oriented slices of natural pyrite 1 mm thick. A vapor-liquid-solid (VLS) growth mechanism was elucidated by means of optical microscopy. Macrosteps, terrace surfaces and protuberances are often accompanied with the presence of liquid FeBr{sub 3} droplets. In the absence of a liquid phase growth hillocks are found. Localized photovoltaic response for the evaluation of carrier collection using a scanning laser spot system has been used to effectively locate and characterize non-uniformities present in the epitaxial thin films. (orig.).

  13. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  14. Real-time observation of epitaxial crystal growth in gaseous environment using x-ray diffraction and x-ray reflectometry

    International Nuclear Information System (INIS)

    Kawamura, Tomoaki; Bhunia, Satyaban; Watanabe, Yoshio; Fujikawa, Seiji

    2008-01-01

    We made the x-ray diffractometer combined with the MOCVD growth system for the real-time observation of epitaxial growth in gaseous environment, and investigated the growth mechanism of InP crystals. Changes of the (-5/2 O) Bragg diffraction during the growth revealed that the growth starts immediately after the In source has been supplied and gradually stopped, owing to the migrating In atoms on the surface. Additionally, one can easily determine the growth modes, including 3-dimensional mode, layer-by-layer mode, and step-flow mode, by observing the change of x-ray reflectivity with various growth conditions. (author)

  15. Multiple growths of epitaxial lift-off solar cells from a single InP substrate

    International Nuclear Information System (INIS)

    Lee, Kyusang; Shiu, Kuen-Ting; Zimmerman, Jeramy D.; Forrest, Stephen R.; Renshaw, Christopher K.

    2010-01-01

    We demonstrate multiple growths of flexible, thin-film indium tin oxide-InP Schottky-barrier solar cells on a single InP wafer via epitaxial lift-off (ELO). Layers that protect the InP parent wafer surface during the ELO process are subsequently removed by selective wet-chemical etching, with the active solar cell layers transferred to a thin, flexible plastic host substrate by cold welding at room temperature. The first- and second-growth solar cells exhibit no performance degradation under simulated Atmospheric Mass 1.5 Global (AM 1.5G) illumination, and have a power conversion efficiency of η p =14.4±0.4% and η p =14.8±0.2%, respectively. The current-voltage characteristics for the solar cells and atomic force microscope images of the substrate indicate that the parent wafer is undamaged, and is suitable for reuse after ELO and the protection-layer removal processes. X-ray photoelectron spectroscopy, reflection high-energy electron diffraction observation, and three-dimensional surface profiling show a surface that is comparable or improved to the original epiready wafer following ELO. Wafer reuse over multiple cycles suggests that high-efficiency; single-crystal thin-film solar cells may provide a practical path to low-cost solar-to-electrical energy conversion.

  16. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  17. Existence and nonexistence results for a singular boundary value problem arising in the theory of epitaxial growth

    Czech Academy of Sciences Publication Activity Database

    Escudero, C.; Hakl, Robert; Peral, I.; Torres, P.J.

    2014-01-01

    Roč. 37, č. 6 (2014), s. 793-807 ISSN 0170-4214 Institutional support: RVO:67985840 Keywords : singular boundary value problem * epitaxial growth * radial solution Subject RIV: BA - General Mathematics Impact factor: 0.918, year: 2014 http://onlinelibrary.wiley.com/doi/10.1002/mma.2836/full

  18. Study on initial stage of hetero-epitaxial growth by glancing angle scattering of fast ions from surfaces

    International Nuclear Information System (INIS)

    Fujii, Yoshikazu; Toba, Kazuaki; Narumi, Kazumasa; Kimura, Kenji; Mannami, Michihiko

    1993-01-01

    Initial stages of epitaxial growth of lead chalcogenides on the (100) surface of SnTe under UHV conditions are studied from the angular distribution of scattered ions at glancing angle incidence of 0.7 MeV He ions on the growing surfaces. Real time measurement of the angular distribution is performed during the growth. Anomalous broadening of the angular distribution is observed at the initial stage of the growth. The broadening is attributed to the surface wrinkles induced by a square network of misfit edge dislocations. (author)

  19. Growth of defect-free GaAsSbN axial nanowires via self-catalyzed molecular beam epitaxy

    Science.gov (United States)

    Sharma, Manish; Deshmukh, Prithviraj; Kasanaboina, Pavan; Reynolds, C. Lewis, Jr.; Liu, Yang; Iyer, Shanthi

    2017-12-01

    Bandgap reduction of 10% by incorporation of a dilute amount of N is reported for the first time, in axial GaAsSb nanowires (NWs) grown on Si (111) via Ga-assisted molecular beam epitaxy. Impact of N incorporation on the surface morphology, NW growth kinetics, and their structural and optical properties were examined. Dilute nitride NWs with Sb composition of 7 at% did not exhibit any noticeable planar defects, as revealed by the absence of satellite twin peaks in the selected-area diffraction pattern and high-resolution transmission electron microscopy imaging. Point defects were also minimal in as-grown dilute nitride NWs, as ascertained from the comparison of low-temperature photoluminescence spectra as well as the shape and shift of Raman modes, with in situ annealed NWs in different ambients. Evidence of enhanced incorporation of N was found in the NWs in situ annealed in N ambient, but with deteriorated optical quality due to simultaneous creation of N-induced defects. The lack of any noticeable defects in the as-grown GaAsSbN NWs demonstrates the advantage of the vapor-liquid-solid mechanism responsible for growth of axial configuration over the vapor-solid growth mechanism for core-shell NWs as well as their thin film counterpart, which commonly exhibit N-induced point defects.

  20. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  1. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  2. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  3. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  4. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  5. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  6. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  7. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  9. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  10. A kinetic Monte Carlo simulation method of van der Waals epitaxy for atomistic nucleation-growth processes of transition metal dichalcogenides.

    Science.gov (United States)

    Nie, Yifan; Liang, Chaoping; Cha, Pil-Ryung; Colombo, Luigi; Wallace, Robert M; Cho, Kyeongjae

    2017-06-07

    Controlled growth of crystalline solids is critical for device applications, and atomistic modeling methods have been developed for bulk crystalline solids. Kinetic Monte Carlo (KMC) simulation method provides detailed atomic scale processes during a solid growth over realistic time scales, but its application to the growth modeling of van der Waals (vdW) heterostructures has not yet been developed. Specifically, the growth of single-layered transition metal dichalcogenides (TMDs) is currently facing tremendous challenges, and a detailed understanding based on KMC simulations would provide critical guidance to enable controlled growth of vdW heterostructures. In this work, a KMC simulation method is developed for the growth modeling on the vdW epitaxy of TMDs. The KMC method has introduced full material parameters for TMDs in bottom-up synthesis: metal and chalcogen adsorption/desorption/diffusion on substrate and grown TMD surface, TMD stacking sequence, chalcogen/metal ratio, flake edge diffusion and vacancy diffusion. The KMC processes result in multiple kinetic behaviors associated with various growth behaviors observed in experiments. Different phenomena observed during vdW epitaxy process are analysed in terms of complex competitions among multiple kinetic processes. The KMC method is used in the investigation and prediction of growth mechanisms, which provide qualitative suggestions to guide experimental study.

  11. Growth kinetics and properties of ZnO/ZnMgO heterostructures grown by radical-source molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ivanov, S.V. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation); El-Shaer, A.; Bakin, A.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Shubina, T.V.; Listoshin, S.B. [Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation)

    2007-07-01

    A phenomenological approach to quantitative description of Zn(Mg)O growth by radical-source molecular beam epitaxy, based on the experimental studies of RHEED intensity oscillations, has been developed. It allows a precise control of growth rate, composition and stoichiometry at any growth temperature, Along with optimization of a growth initiation procedure on a c-sapphire, it is necessary condition for fabrication of high quality ZnO epilayers and ZnO/ZnMgO heterostructures in a wide Mg composition range. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    Science.gov (United States)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  13. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  14. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  15. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  16. Epitaxial growth of quantum rods with high aspect ratio and compositional contrast

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Fiore, A.

    2008-01-01

    The epitaxial growth of quantum rods (QRs) on GaAs was investigated. It was found that GaAs thickness in the GaAs/InAs superlattice used for QR formation plays a key role in improving the QR structural properties. Increasing the GaAs thickness results in both an increased In compositional contrast between the QRs and surrounding layer, and an increased QR length. QRs with an aspect ratio of up to 10 were obtained, representing quasiquantum wires in a GaAs matrix. Due to modified confinement and strain potential, such nanostructure is promising for controlling gain polarization

  17. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  18. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  19. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  20. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  1. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  2. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  3. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  4. Controlling the growth of epitaxial graphene on metalized diamond (111) surface

    International Nuclear Information System (INIS)

    Cooil, S. P.; Wells, J. W.; Hu, D.; Evans, D. A.; Niu, Y. R.; Zakharov, A. A.; Bianchi, M.

    2015-01-01

    The 2-dimensional transformation of the diamond (111) surface to graphene has been demonstrated using ultrathin Fe films that catalytically reduce the reaction temperature needed for the conversion of sp 3 to sp 2 carbon. An epitaxial system is formed, which involves the re-crystallization of carbon at the Fe/vacuum interface and that enables the controlled growth of monolayer and multilayer graphene films. In order to study the initial stages of single and multilayer graphene growth, real time monitoring of the system was preformed within a photoemission and low energy electron microscope. It was found that the initial graphene growth occurred at temperatures as low as 500 °C, whilst increasing the temperature to 560 °C was required to produce multi-layer graphene of high structural quality. Angle resolved photoelectron spectroscopy was used to study the electronic properties of the grown material, where a graphene-like energy momentum dispersion was observed. The Dirac point for the first layer is located at 2.5 eV below the Fermi level, indicating an n-type doping of the graphene due to substrate interactions, while that of the second graphene layer lies close to the Fermi level

  5. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  6. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  7. Molecular beam epitaxial growth of graphene and ridge-structure networks of graphene

    International Nuclear Information System (INIS)

    Maeda, Fumihiko; Hibino, Hiroki

    2011-01-01

    By gas-source molecular beam epitaxy (MBE) using cracked ethanol, we grew graphene at substrate temperatures between 600 and 915 °C on graphene formed on SiC(0 0 0 1) by thermal decomposition. To investigate the substrate temperature dependence of graphene growth we analysed the MBE-grown graphene by Raman spectroscopy and in situ x-ray photoelectron spectroscopy (XPS) and observed it by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (TEM). Analyses using the G-band peak and the peak intensity ratio between D- and G-band peaks in the Raman spectra revealed that growth at higher temperatures improved the crystallinity and increased the domain size. Although the growth rate decreased at higher temperatures, as revealed by XPS, these results indicated that growth at a higher temperature is effective in obtaining graphene of higher quality. Furthermore, the AFM and TEM observations revealed a network of fin-like ridge structures of graphene sticking out from the surface. The presence of these 'graphene nanofins' indicated that two-dimensional islands of graphene are surrounded by the nanofins, and the island size was estimated to be 67 nm using the average distance between the nanofins.

  8. Structural and electronic properties of epitaxial graphene on SiC(0 0 0 1): a review of growth, characterization, transfer doping and hydrogen intercalation

    International Nuclear Information System (INIS)

    Riedl, C; Coletti, C; Starke, U

    2010-01-01

    Graphene, a monoatomic layer of graphite, hosts a two-dimensional electron gas system with large electron mobilities which makes it a prospective candidate for future carbon nanodevices. Grown epitaxially on silicon carbide (SiC) wafers, large area graphene samples appear feasible and integration in existing device technology can be envisioned. This paper reviews the controlled growth of epitaxial graphene layers on SiC(0 0 0 1) and the manipulation of their electronic structure. We show that epitaxial graphene on SiC grows on top of a carbon interface layer that-although it has a graphite-like atomic structure-does not display the linear π-bands typical for graphene due to a strong covalent bonding to the substrate. Only the second carbon layer on top of this interface acts like monolayer graphene. With a further carbon layer, a graphene bilayer system develops. During the growth of epitaxial graphene on SiC(0 0 0 1) the number of graphene layers can be precisely controlled by monitoring the π-band structure. Experimental fingerprints for in situ growth control could be established. However, due to the influence of the interface layer, epitaxial graphene on SiC(0 0 0 1) is intrinsically n-doped and the layers have a long-range corrugation in their density of states. As a result, the Dirac point energy where the π-bands cross is shifted away from the Fermi energy, so that the ambipolar properties of graphene cannot be exploited. We demonstrate methods to compensate and eliminate this structural and electronic influence of the interface. We show that the band structure of epitaxial graphene on SiC(0 0 0 1) can be precisely tailored by functionalizing the graphene surface with tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) molecules. Charge neutrality can be achieved for mono- and bilayer graphene. On epitaxial bilayer graphene, where a band gap opens due to the asymmetric electric field across the layers imposed by the interface, the magnitude of this band gap

  9. Composition–dependent growth dynamics of selectively grown InGaAs nanowires

    International Nuclear Information System (INIS)

    Kohashi, Y; Hara, S; Motohisa, J

    2014-01-01

    We grew gallium-rich (x > 0.50) and indium-rich (x < 0.50) In 1 − x Ga x As nanowires by catalyst–free selective-area metal–organic vapor-phase epitaxy (SA-MOVPE), and compared their growth dynamics dependence on V/III ratio. It was found that the growth dynamics of In 1 − x Ga x As nanowires is clearly dependent on the alloy composition x. Specifically, for gallium–rich nanowire growth, the axial growth rate of nanowires initially increased with decreasing V/III ratio, and then started to decrease when the V/III ratio continued to decrease below a critical value. On the other hand, axial growth rate of indium-rich nanowires monotonically decreased with decreasing V/III ratio. In addition, the alloy composition was strongly dependent on the V/III ratio for gallium-rich nanowire growth, while it was relatively independent of the V/III ratio for indium-rich nanowire growth. We discuss the origin of dissimilarity in the growth dynamics dependence on V/III ratio between gallium-rich and indium-rich InGaAs nanowire growth, and conclude that it is due to the inherent dissimilarity between GaAs and InAs. Our finding provides important guidelines for achieving precise control of the diameter, height, and alloy composition of nanowires suitable for future nanowire-based electronics. (papers)

  10. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  11. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  12. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  13. InAs/GaAs(001) molecular beam epitaxial growth in a scanning tunnelling microscope

    International Nuclear Information System (INIS)

    Bastiman, F; Cullis, A G; Hopkinson, M

    2010-01-01

    The growth on InAs on GaAs(001) has attracted great interest and investigation over the past few decades primarily due to the opto-electronic properties of the self-assembled quantum dot (QD) arrays formed. Scanning tunnelling microscopy (STM) has been extensively employed to investigate the complicated and spontaneous mechanism of QD growth via molecular beam epitaxy (MBE). Classically, combined MBE-STM requires quenching the sample after growth and transferring it to an arsenic-free high vacuum chamber which houses the STM system. However, without access to the phenomenon as a dynamic process a basic understanding remains elusive. In order to access surface dynamics, MBE and STM must be combined into a single element. The system herein discussed allows the operation of MBE sources in an STM system relating to InAs/GaAs(001) surfaces.

  14. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  15. Optimization of hetero-epitaxial growth for the threading dislocation density reduction of germanium epilayers

    Science.gov (United States)

    Chong, Haining; Wang, Zhewei; Chen, Chaonan; Xu, Zemin; Wu, Ke; Wu, Lan; Xu, Bo; Ye, Hui

    2018-04-01

    In order to suppress dislocation generation, we develop a "three-step growth" method to heteroepitaxy low dislocation density germanium (Ge) layers on silicon with the MBE process. The method is composed of 3 growth steps: low temperature (LT) seed layer, LT-HT intermediate layer as well as high temperature (HT) epilayer, successively. Threading dislocation density (TDD) of epitaxial Ge layers is measured as low as 1.4 × 106 cm-2 by optimizing the growth parameters. The results of Raman spectrum showed that the internal strain of heteroepitaxial Ge layers is tensile and homogeneous. During the growth of LT-HT intermediate layer, TDD reduction can be obtained by lowering the temperature ramping rate, and high rate deposition maintains smooth surface morphology in Ge epilayer. A mechanism based on thermodynamics is used to explain the TDD and surface morphological dependence on temperature ramping rate and deposition rate. Furthermore, we demonstrate that the Ge layer obtained can provide an excellent platform for III-V materials integrated on Si.

  16. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  17. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  18. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  19. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  20. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  1. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  2. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  3. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W., E-mail: wilfrid.prellier@ensicaen.fr [Laboratoire CRISMAT, CNRS UMR 6508, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Grygiel, C. [Laboratoire CIMAP, CEA, CNRS UMR 6252, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Rohrer, G. S.; Salvador, P. A. [Department of Materials Science and Engineering, Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, Pennsylvania 15213 (United States); Velazquez, M. [CNRS, Université de Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Kloe, R. de [AMETEK B.V, EDAX Application Laboratory, Tilburg (Netherlands)

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.

  4. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  5. MBE growth and design of II-VI heterostructures for epitaxial lift-off

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, Ian A.; Vallance, Erin C.; Prior, Kevin A. [School of Engineering and Physical Science, Heriot-Watt University, Edinburgh (United Kingdom); Moug, Richard T.; Tamargo, Maria C. [Department of Chemistry, City College of New York, New York, NY (United States)

    2012-08-15

    Epitaxial lift-off (ELO) is a post-growth process that allows the active part of a semiconductor structure to be transferred from its growth substrate to a new one. This is a well established technique for III-V semiconductors, and has previously been demonstrated for ZnSe-based alloys grown on GaAs using a metastable MgS sacrificial layer, taking advantage of the huge difference in etch rates of MgS and ZnSe. We report here the first successful extension of this process to II-VI layers grown on InP by using a MgSe sacrificial layer. By using the correct etching conditions, MgSe has been found to work effectively as a sacrificial layer. 5 x 5 mm{sup 2} square pieces of material can be lifted and deposited on glass substrates without any deterioration in the structural or optical properties; as confirmed by optical microscopy and photoluminescence (PL) measurements. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Epitaxial growth of fcc-CoxNi100-x thin films on MgO(110) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Sato, Yoichi; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    Co x Ni 100-x (x=100, 80, 20, 0 at. %) epitaxial thin films were prepared on MgO(110) single-crystal substrates heated at 300 deg. C by ultrahigh vacuum molecular beam epitaxy. The growth mechanism is discussed based on lattice strain and crystallographic defects. CoNi(110) single-crystal films with a fcc structure are obtained for all compositions. Co x Ni 100-x film growth follows the Volmer-Weber mode. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the Co x Ni 100-x films are in agreement within ±0.5% with the values of the respective bulk Co x Ni 100-x crystals, suggesting that the strain in the film is very small. High-resolution cross-sectional transmission microscopy shows that an atomically sharp boundary is formed between a Co(110) fcc film and a MgO(110) substrate, where periodical misfit dislocations are preferentially introduced in the film at the Co/MgO interface. The presence of such periodical misfit dislocations relieves the strain caused by the lattice mismatch between the film and the substrate.

  7. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  8. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  9. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  10. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  11. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  12. Growth of CoSi2 on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.

    2005-01-01

    CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture

  13. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    Science.gov (United States)

    2016-09-15

    AFRL-AFOSR-VA-TR-2016-0319 Chirality -Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and...TELEPHONE NUMBER (Include area code) DISTRIBUTION A: Distribution approved for public release. 15-06-2016 final Jun 2014 - Jun 2016 Chirality ...for Public Release; Distribution is Unlimited. In this report, we present our efforts in establishing a novel and effective approach for chirality

  14. Effects of growth rate on structural property and adatom migration behaviors for growth of GaInNAs/GaAs (001) by molecular beam epitaxy

    Science.gov (United States)

    Li, Jingling; Gao, Peng; Zhang, Shuguang; Wen, Lei; Gao, Fangliang; Li, Guoqiang

    2018-03-01

    We have investigated the structural properties and the growth mode of GaInNAs films prepared at different growth rates (Rg) by molecular beam epitaxy. The crystalline structure is studied by high resolution X-ray diffraction, and the evolution of GaInNAs film surface morphologies is studied by atomic force microscopy. It is found that both the crystallinity and the surface roughness are improved by increasing Rg, and the change in the growth mode is attributed to the adatom migration behaviors particularly for In atoms, which is verified by elemental analysis. In addition, we have presented some theoretical calculation results related to the N adsorption energy to show the unique N migration behavior, which is instructive to interpret the growth mechanism of GaInNAs films.

  15. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  16. In induced reconstructions of Si(1 1 1) as superlattice matched epitaxial templates for InN growth

    International Nuclear Information System (INIS)

    Kuyyalil, Jithesh; Tangi, Malleswararao; Shivaprasad, S.M.

    2013-01-01

    Graphical abstract: Display Omitted Highlights: ► A novel growth method to form InN at low growth temperatures. ► Use of Si reconstruction as a growth template for group III nitrides. ► Band gap variation of InN – Moss–Burstein shift – non-parabolic conduction band for InN. ► Super lattice matching epitaxy of metal induced reconstructions with III–V unit cell. -- Abstract: Indium induced surface reconstructions of Si(1 1 1)-7 × 7 are used as templates to grow high quality InN. We grow InN on Si(1 1 1)-7 × 7, Si(1 1 1)-4 × 1-In and Si(1 1 1)-1 × 1-In reconstructed surfaces and study the quality of the films formed using complementary characterization tools. InN grown on Si(1 1 1)-1 × 1-In reconstruction shows superior film quality with lowest band-edge emission having a narrow full width at half maximum, intense and narrow 0 0 0 2 X-ray diffraction, low surface roughness and carrier concentration an order lower than other samples. We attribute the high quality of the film formed at 300 °C to the integral matching of InN and super lattice dimensions, we also study the reasons for the band gap variation of InN in the literature. Present study demonstrates the proposed Superlattice Matched Epitaxy can be a general approach to grow good quality InN at much lower growth temperature on compatible In induced reconstructions of the Si surface.

  17. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Chopra, A.; Bijkerk, Frederik; Rijnders, Augustinus J.H.M.

    2014-01-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In

  18. One-pot growth of two-dimensional lateral heterostructures via sequential edge-epitaxy

    Science.gov (United States)

    Sahoo, Prasana K.; Memaran, Shahriar; Xin, Yan; Balicas, Luis; Gutiérrez, Humberto R.

    2018-01-01

    Two-dimensional heterojunctions of transition-metal dichalcogenides have great potential for application in low-power, high-performance and flexible electro-optical devices, such as tunnelling transistors, light-emitting diodes, photodetectors and photovoltaic cells. Although complex heterostructures have been fabricated via the van der Waals stacking of different two-dimensional materials, the in situ fabrication of high-quality lateral heterostructures with multiple junctions remains a challenge. Transition-metal-dichalcogenide lateral heterostructures have been synthesized via single-step, two-step or multi-step growth processes. However, these methods lack the flexibility to control, in situ, the growth of individual domains. In situ synthesis of multi-junction lateral heterostructures does not require multiple exchanges of sources or reactors, a limitation in previous approaches as it exposes the edges to ambient contamination, compromises the homogeneity of domain size in periodic structures, and results in long processing times. Here we report a one-pot synthetic approach, using a single heterogeneous solid source, for the continuous fabrication of lateral multi-junction heterostructures consisting of monolayers of transition-metal dichalcogenides. The sequential formation of heterojunctions is achieved solely by changing the composition of the reactive gas environment in the presence of water vapour. This enables selective control of the water-induced oxidation and volatilization of each transition-metal precursor, as well as its nucleation on the substrate, leading to sequential edge-epitaxy of distinct transition-metal dichalcogenides. Photoluminescence maps confirm the sequential spatial modulation of the bandgap, and atomic-resolution images reveal defect-free lateral connectivity between the different transition-metal-dichalcogenide domains within a single crystal structure. Electrical transport measurements revealed diode-like responses across the

  19. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  20. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  1. Evolutionary selection growth of two-dimensional materials on polycrystalline substrates

    Science.gov (United States)

    Vlassiouk, Ivan V.; Stehle, Yijing; Pudasaini, Pushpa Raj; Unocic, Raymond R.; Rack, Philip D.; Baddorf, Arthur P.; Ivanov, Ilia N.; Lavrik, Nickolay V.; List, Frederick; Gupta, Nitant; Bets, Ksenia V.; Yakobson, Boris I.; Smirnov, Sergei N.

    2018-03-01

    There is a demand for the manufacture of two-dimensional (2D) materials with high-quality single crystals of large size. Usually, epitaxial growth is considered the method of choice1 in preparing single-crystalline thin films, but it requires single-crystal substrates for deposition. Here we present a different approach and report the synthesis of single-crystal-like monolayer graphene films on polycrystalline substrates. The technological realization of the proposed method resembles the Czochralski process and is based on the evolutionary selection2 approach, which is now realized in 2D geometry. The method relies on `self-selection' of the fastest-growing domain orientation, which eventually overwhelms the slower-growing domains and yields a single-crystal continuous 2D film. Here we have used it to synthesize foot-long graphene films at rates up to 2.5 cm h-1 that possess the quality of a single crystal. We anticipate that the proposed approach could be readily adopted for the synthesis of other 2D materials and heterostructures.

  2. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  3. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    nanostructured without patterning the graphene itself. This method produces graphene nanostructures with atomically smooth edges that ultimately determine the transport properties of these structures. The coherent collection of papers in this special issue of Journal of Physics D: Applied Physics provides a snapshot of the current state of the art, presented by leading experts, highlighting various aspects of the science and technology of epitaxial graphene. This collection systematically addresses the production of epitaxial graphene on the two polar faces of silicon carbide, as well as the structural and electronic properties of the graphene films. Special attention is paid to the rapidly emerging field of chemically modified graphene, which promises to introduce a bandgap into the electronic structure of graphene, which is critical for many electronic applications. Also presented are methods to incorporate properties of the silicon carbide itself, as well as advanced methods to produce high-quality graphene and graphene nanostructures using structured growth methods.

  4. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  5. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao; Zeng, Hua Chun

    2010-01-01

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  6. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  7. Epitaxial growth and optical investigations of ZnTeO alloys

    International Nuclear Information System (INIS)

    Nabetani, Y.; Okuno, T.; Aoki, K.; Kato, T.; Matsumoto, T.; Hirai, T.

    2006-01-01

    We have grown zincblende-structured ZnTeO alloy semiconductors on GaAs substrates by molecular beam epitaxy using RF-excited O. O concentrations measured by secondary ion mass spectroscopy were found to increase with the increase of O 2 flow rate supplied during the growth, while the change of lattice constant measured by X-ray diffraction does not follow Vegard's law. It is considered that the O atoms are incorporated not only into group-VI sites but also as interstitials. Formation of other compounds such as ZnTeO 3 , Zn 2 Te 3 O 8 , and TeO 2 was not detected. Optical reflectance spectroscopy revealed the increase of the band-gap energy with O concentration that can be interpreted as the repulsive interaction between the energy states originated in the localized states of O and the conduction-band edge of host ZnTe. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  8. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao

    2010-02-23

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  9. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  10. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  11. Molecular-beam epitaxy growth and structural characterization of semiconductor-ferromagnet heterostructures by grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Satapathy, D.K.

    2005-12-19

    The present work is devoted to the growth of the ferromagnetic metal MnAs on the semiconductor GaAs by molecular-beam epitaxy (MBE). The MnAs thin films are deposited on GaAs by molecular-beam epitaxy (MBE). Grazing incidence diffraction (GID) and reflection high-energy electron diffraction (RHEED) are used in situ to investigate the nucleation, evolution of strain, morphology and interfacial structure during the MBE growth. Four stages of the nucleation process during growth of MnAs on GaAs(001) are revealed by RHEED azimuthal scans. GID shows that further growth of MnAs films proceed via the formation of relaxed islands at a nominal thickness of 2.5 ML which increase in size and finally coalesce to form a continuous film. Early on, an ordered array of misfit dislocations forms at the interface releasing the misfit strain even before complete coalescence occurs. The fascinating complex nucleation process of MnAs on GaAs(0 0 1) contains elements of both Volmer-Weber and Stranski-Krastanov growth. A nonuniform strain amounting to 0.66%, along the [1 -1 0] direction and 0.54%, along the [1 1 0] direction is demonstrated from x-ray line profile analysis. A high correlation between the defects is found along the GaAs[1 1 0] direction. An extremely periodic array of misfit dislocations with a period of 4.95{+-}0.05 nm is formed at the interface along the [1 1 0] direction which releases the 7.5% of misfit. The inhomogeneous strain due to the periodic dislocations is confined at the interface within a layer of 1.6 nm thickness. The misfit along the [1 -1 0] direction is released by the formation of a coincidence site lattice. (orig.)

  12. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  13. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  14. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  15. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  16. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  17. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  18. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  19. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  20. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  1. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  2. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  3. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Directory of Open Access Journals (Sweden)

    Tatjana Ladnorg

    2013-10-01

    Full Text Available Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE. The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy.

  4. Site-selective growth of surface-anchored metal-organic frameworks on self-assembled monolayer patterns prepared by AFM nanografting

    Science.gov (United States)

    Ladnorg, Tatjana; Welle, Alexander; Heißler, Stefan; Wöll, Christof

    2013-01-01

    Summary Surface anchored metal-organic frameworks, SURMOFs, are highly porous materials, which can be grown on modified substrates as highly oriented, crystalline coatings by a quasi-epitaxial layer-by-layer method (liquid-phase epitaxy, or LPE). The chemical termination of the supporting substrate is crucial, because the most convenient method for substrate modification is the formation of a suitable self-assembled monolayer. The choice of a particular SAM also allows for control over the orientation of the SURMOF. Here, we demonstrate for the first time the site-selective growth of the SURMOF HKUST-1 on thiol-based self-assembled monolayers patterned by the nanografting technique, with an atomic force microscope as a structuring tool. Two different approaches were applied: The first one is based on 3-mercaptopropionic acid molecules which are grafted in a 1-decanethiolate SAM, which serves as a matrix for this nanolithography. The second approach uses 16-mercaptohexadecanoic acid, which is grafted in a matrix of an 1-octadecanethiolate SAM. In both cases a site-selective growth of the SURMOF is observed. In the latter case the roughness of the HKUST-1 is found to be significantly higher than for the 1-mercaptopropionic acid. The successful grafting process was verified by time-of-flight secondary ion mass spectrometry and atomic force microscopy. The SURMOF structures grown via LPE were investigated and characterized by atomic force microscopy and Fourier-transform infrared microscopy. PMID:24205458

  5. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  6. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  7. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  8. Epitaxial growth of tungsten layers on MgO(001)

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Pengyuan; Ozsdolay, Brian D.; Gall, Daniel, E-mail: galld@rpi.edu [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-11-15

    Smooth single crystal W(001) layers were grown on MgO(001) substrates by magnetron sputtering at 900 °C. X-ray diffraction ω–2θ scans, ω-rocking curves, pole figures, and reciprocal space maps indicate a 45°-rotated epitaxial relationship: (001){sub W}‖(001){sub MgO} and [010]{sub W}‖[110]{sub MgO}, and a relaxed lattice constant of 3.167 ± 0.001 nm. A residual in-plane biaxial compressive strain is primarily attributed to differential thermal contraction after growth and decreases from −0.012 ± 0.001 to −0.001 ± 0.001 with increasing layer thickness d = 4.8–390 nm, suggesting relaxation during cooling by misfit dislocation growth through threading dislocation glide. The in-plane x-ray coherence length increases from 3.4 to 33.6 nm for d = 4.8–390 nm, while the out-of-plane x-ray coherence length is identical to the layer thickness for d ≤ 20 nm, but is smaller than d for d ≥ 49.7 nm, indicating local strain variations along the film growth direction. X-ray reflectivity analyses indicate that the root-mean-square surface roughness increases from 0.50 ± 0.05 to 0.95 ± 0.05 nm for d = 4.8–19.9 nm, suggesting a roughness exponent of 0.38, but remains relatively constant for d > 20 nm with a roughness of 1.00 ± 0.05 nm at d = 47.9 nm.

  9. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  10. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  11. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  12. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  13. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  15. Epitaxial growth of textured YBa2Cu3O7-δ films on silver

    International Nuclear Information System (INIS)

    Liu Dan-Min; Liu Wei-Peng; Suo Hong-Li; Zhou Mei-Ling

    2005-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films were deposited on (100), (110) and (111) oriented silver single crystals and {100} left angle 100 right angle, {110} left angle 211 right angle, {110} left angle 100 right angle +{110} left angle 011 right angle {110} left angle 011 right angle and {012} left angle 100 right angle textured Ag substrates using pulsed laser deposition. The relationship between the epitaxial growth YBCO film and silver substrate has been determined. It is shown that among polycrystalline Ag substrates, {110} left angle 011 right angle textured tape is suitable for the deposition of YBCO thin films having strong texture. (orig.)

  16. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  17. Growth of semiconductor alloy InGaPBi on InP by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, K; Wang, P; Pan, W W; Wu, X Y; Yue, L; Gong, Q; Wang, S M

    2015-01-01

    We report the first successful growth of InGaPBi single crystals on InP substrate with Bi concentration far beyond the doping level by gas source molecular beam epitaxy. The InGaPBi thin films reveal excellent surface and structural qualities, making it a promising new III–V compound family member for heterostructures. The strain can be tuned between tensile and compressive by adjusting Ga and Bi compositions. The maximum achieved Bi concentration is 2.2 ± 0.4% confirmed by Rutherford backscattering spectroscopy. Room temperature photoluminescence shows strong and broad light emission at energy levels much smaller than the InP bandgap. (paper)

  18. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  19. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  20. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  1. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  2. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  3. Epitaxial growth of bcc-Fe{sub x}Co{sub 100-x} thin films on MgO(1 1 0) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Nishiyama, Tsutomu; Shikada, Kouhei [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2010-07-15

    Fe{sub x}Co{sub 100-x} (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe{sub x}Co{sub 100-x} film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe{sub x}Co{sub 100-x} crystals with very small errors less than +-0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe{sub 50}Co{sub 50}/MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  4. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  5. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  6. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  7. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  8. Epitaxial growth of YBa sub 2 Cu sub 3 O sub 7 minus. delta. thin films on LiNbO sub 3 substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C. (IBM Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (US))

    1989-09-18

    {ital In} {ital situ} epitaxial growth of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} thin films on {ital Y}-cut LiNbO{sub 3} substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ({lt}1 K) superconducting transition with {ital T}{sub {ital c}}({ital R}=0) of 92 K. High critical current density of {ital J}{sub {ital c}}(77 K)=2{times}10{sup 5} A/cm{sup 2} is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the {ital c} axis is normal to the substrate plane and the {ital a} axis is at 45{degree} to the (11.0) direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane.

  9. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  10. Molecular beam epitaxy growth of [CrGe/MnGe/FeGe] superlattices: Toward artificial B20 skyrmion materials with tunable interactions

    Science.gov (United States)

    Ahmed, Adam S.; Esser, Bryan D.; Rowland, James; McComb, David W.; Kawakami, Roland K.

    2017-06-01

    Skyrmions are localized magnetic spin textures whose stability has been shown theoretically to depend on material parameters including bulk Dresselhaus spin orbit coupling (SOC), interfacial Rashba SOC, and magnetic anisotropy. Here, we establish the growth of a new class of artificial skyrmion materials, namely B20 superlattices, where these parameters could be systematically tuned. Specifically, we report the successful growth of B20 superlattices comprised of single crystal thin films of FeGe, MnGe, and CrGe on Si(1 1 1) substrates. Thin films and superlattices are grown by molecular beam epitaxy and are characterized through a combination of reflection high energy electron diffraction, X-ray diffraction, and cross-sectional scanning transmission electron microscopy (STEM). X-ray energy dispersive spectroscopy (XEDS) distinguishes layers by elemental mapping and indicates good interface quality with relatively low levels of intermixing in the [CrGe/MnGe/FeGe] superlattice. This demonstration of epitaxial, single-crystalline B20 superlattices is a significant advance toward tunable skyrmion systems for fundamental scientific studies and applications in magnetic storage and logic.

  11. Epitaxial Growth of an Organic p-n Heterojunction: C60 on Single-Crystal Pentacene.

    Science.gov (United States)

    Nakayama, Yasuo; Mizuno, Yuta; Hosokai, Takuya; Koganezawa, Tomoyuki; Tsuruta, Ryohei; Hinderhofer, Alexander; Gerlach, Alexander; Broch, Katharina; Belova, Valentina; Frank, Heiko; Yamamoto, Masayuki; Niederhausen, Jens; Glowatzki, Hendrik; Rabe, Jürgen P; Koch, Norbert; Ishii, Hisao; Schreiber, Frank; Ueno, Nobuo

    2016-06-01

    Designing molecular p-n heterojunction structures, i.e., electron donor-acceptor contacts, is one of the central challenges for further development of organic electronic devices. In the present study, a well-defined p-n heterojunction of two representative molecular semiconductors, pentacene and C60, formed on the single-crystal surface of pentacene is precisely investigated in terms of its growth behavior and crystallographic structure. C60 assembles into a (111)-oriented face-centered-cubic crystal structure with a specific epitaxial orientation on the (001) surface of the pentacene single crystal. The present experimental findings provide molecular scale insights into the formation mechanisms of the organic p-n heterojunction through an accurate structural analysis of the single-crystalline molecular contact.

  12. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  13. Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gencarelli, F., E-mail: federica.gencarelli@imec.be [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium); Shimura, Y. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Kumar, A. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Vincent, B.; Moussa, A.; Vanhaeren, D.; Richard, O.; Bender, H. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, W. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Caymax, M.; Loo, R. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, M. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium)

    2015-09-01

    In this work, we discuss the characteristics of particular island-type features with an amorphous core that are developed during the low temperature epitaxial growth of Ge and GeSn layers by means of chemical vapor deposition with Ge{sub 2}H{sub 6}. Although further investigations are needed to unambiguously identify the origin of these features, we suggest that they are originated by the formation of clusters of H and/or contaminants atoms during growth. These would initially cause the formation of pits with crystalline rough facets over them, resulting in ring-shaped islands. Then, when an excess surface energy is overcome, an amorphous phase would nucleate inside the pits and fill them. Reducing the pressure and/or increasing the growth temperature can be effective ways to prevent the formation of these features, likely due to a reduction of the surface passivation from H and/or contaminant atoms. - Highlights: • Island features with amorphous cores develop during low T Ge(Sn) CVD with Ge{sub 2}H{sub 6.} • These features are thoroughly characterized in order to understand their origin. • A model is proposed to describe the possible evolution of these features. • Lower pressures and/or higher temperatures avoid the formation of these features.

  14. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  15. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  16. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  17. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1-xFex)2O3 multilayer thin films.

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-04-28

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe(2+) and Fe(3+) are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What's more, the Ga2O3/(Ga1-xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3.

  18. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. A Molecular Dynamics Study of the Epitaxial Growth of Metallic Nanoclusters Softly Deposited on Substrates with Very Different Lattice Parameter

    International Nuclear Information System (INIS)

    Jimenez-Saez, J C; Perez-MartIn, A M C; Jimenez-RodrIguez, J J

    2007-01-01

    The soft deposition of Cu and Au clusters on Au(001) and Cu(001) surfaces respectively is studied by constant-temperature molecular-dynamics simulations. The initial shape of the nanoclusters is icosahedral or truncated octahedral (Wulff type). Their number of atoms ranges between 12 and 1289 atoms. Bombardment energy is of the order of a few meV/atom. The atomic interactions are mimicked by a many-body potential based on the tightbinding model. The effect of the temperature as activation to get the complete epitaxy is analysed. We have found that Cu clusters manage to align their {002} planes with the substrate by increasing the temperature. However, there is not epitaxial growth in any case since the lattice becomes bcc or important stacking faults are generated. For Au clusters, the alignment of these planes is practically independent of the temperature

  20. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  1. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  2. Investigation into the use of molecular hydrogen on the growth of gallium nitride via metal-organic molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Billingsley, Daniel; Pritchett, David; Henderson, Walter; Carver, Alexander G.; Burnham, Shawn D.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)

    2008-07-01

    Molecular hydrogen (H{sub 2}) has been investigated as a means to improve ammonia nitridation efficiency and attempts to reduce carbon contamination in ammonia-based metal-organic molecular beam epitaxy (MOMBE). A 30% improvement in crystalline quality, inferred from XRD, as well as an increase in subsequent GaN bulk growth rate was observed when bare sapphire was subject to H{sub 2} annealing before nitridation. However, the use of H{sub 2} during GaN homoepitaxy on GaN templates resulted in increased carbon contamination and decreased growth rate of GaN. The results demonstrate promise and proper uses of H{sub 2} during GaN growth under certain conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Dependence of N-polar GaN rod morphology on growth parameters during selective area growth by MOVPE

    Science.gov (United States)

    Li, Shunfeng; Wang, Xue; Mohajerani, Matin Sadat; Fündling, Sönke; Erenburg, Milena; Wei, Jiandong; Wehmann, Hergo-Heinrich; Waag, Andreas; Mandl, Martin; Bergbauer, Werner; Strassburg, Martin

    2013-02-01

    Selective area growth of GaN rods by metalorganic vapor phase epitaxy has attracted great interest due to its novel applications in optoelectronic and photonics. In this work, we will present the dependence of GaN rod morphology on various growth parameters i.e. growth temperature, H2/N2 carrier gas concentration, V/III ratio, total carrier gas flow and reactor pressure. It is found that higher growth temperature helps to increase the aspect ratio of the rods, but reduces the height homogeneity. Furthermore, H2/N2 carrier gas concentration is found to be a critical factor to obtain vertical rod growth. Pure nitrogen carrier gas leads to irregular growth of GaN structure, while an increase of hydrogen carrier gas results in vertical GaN rod growth. Higher hydrogen carrier gas concentration also reduces the diameter and enhances the aspect of the GaN rods. Besides, increase of V/III ratio causes reduction of the aspect ratio of N-polar GaN rods, which could be explained by the relatively lower growth rate on (000-1) N-polar top surface when supplying more ammonia. In addition, an increase of the total carrier gas flow leads to a decrease in the diameter and the average volume of GaN rods. These phenomena are tentatively explained by the change of partial pressure of the source materials and boundary layer thickness in the reactor. Finally, it is shown that the average volume of the N-polar GaN rods keeps a similar value for a reactor pressure PR of 66 and 125 mbar, while an incomplete filling of the pattern opening is observed with PR of 250 mbar. Room temperature photoluminescence spectrum of the rods is also briefly discussed.

  5. Growth of metal-organic framework HKUST-1 in capillary using liquid-phase epitaxy for open-tubular capillary electrochromatography and capillary liquid chromatography.

    Science.gov (United States)

    Bao, Tao; Zhang, Juan; Zhang, Wenpeng; Chen, Zilin

    2015-02-13

    Much attention is being paid to applying metal-organic frameworks (MOFs) as stationary phases in chromatography because of their fascinating properties, such as large surface-to-volume ratios, high levels of porosity, and selective adsorption. HKUST-1 is one of the best-studied face-centered-cubic MOF containing nano-sized channels and side pockets for film growth. However, growth of HKUST-1 framework inside capillary column as stationary phase for capillary electrochromatography is a challenge work. In this work, we carry out the growth of HKUST-1 on the inner wall of capillary by using liquid-phase epitaxy process at room temperature. The fabricated HKUST-1@capillary can be successfully used for the separation of substituted benzene including methylbenzene, ethylbenzene, styrene, chlorobenzene, bromobenzene, o-dichlorobenzene, benzene series, phenolic acids, and benzoic acids derivates. High column efficiency of 1.5×10(5) N/m for methylbenzene was achieved. The formation of HKUST-1 grown in the capillary was confirmed and characterized by scanning electron microscopy images, Fourier transform infrared spectra and X-ray diffraction. The column showed long lifetime and excellent stability. The relative standard deviations for intra-day and inter-day repeatability of the HKUST-1@capillary were lower than 7%. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  7. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate

    International Nuclear Information System (INIS)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-01

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10 10 cm −2 , and that the lateral and the vertical interdot spacing were ∼10 and ∼2.5 nm, respectively. (paper)

  8. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate.

    Science.gov (United States)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-11

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10(10) cm(-2), and that the lateral and the vertical interdot spacing were ~10 and ~2.5 nm, respectively.

  9. Critical parameters for the molecular beam epitaxial growth of 1.55 μm (Ga,In)(N,As) multiple quantum wells

    International Nuclear Information System (INIS)

    Ishikawa, Fumitaro; Luna, Esperanza; Trampert, Achim; Ploog, Klaus H.

    2006-01-01

    The authors discuss the effect of substrate temperature and As beam equivalent pressure (BEP) on the molecular beam epitaxial growth of (Ga,In)(N,As) multiple quantum wells (MQWs). Transmission electron microscopy studies reveal that a low substrate temperature essentially prevents composition modulations. Secondary ion mass spectrometry results indicate that a low As BEP reduces the incorporation competition of group V elements. The low substrate temperature and low As BEP growth condition leads to (Ga,In)(N,As) MQWs containing more than 4% N preserving good structural and optical properties, and hence demonstrating 1.55 μm photoluminescence emission at room temperature

  10. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    International Nuclear Information System (INIS)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Oppo, Carla Ivana; Malindretos, Joerg; Rizzi, Angela

    2015-01-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated. (paper)

  11. Structural characterization of selective area growth GaN nanowires by non-destructive optical and electrical techniques

    Science.gov (United States)

    Secco, Eleonora; Minj, Albert; Garro, Núria; Cantarero, Andrés; Colchero, Jaime; Urban, Arne; Ivana Oppo, Carla; Malindretos, Joerg; Rizzi, Angela

    2015-08-01

    The growth selectivity and structural quality of GaN nanowires obtained by plasma-assisted molecular beam epitaxy on pre-patterned GaN(0001) templates are investigated by means of non-destructive techniques. Optimum control over the nanowire arrangement and size requires a pitch between the mask apertures below twice the diffusion length of Ga atoms. Lower pitches, however, seem to slightly diminish the structural quality of the material, as revealed by the increase of the Raman peak linewidths. The photoluminescence spectra of the nanowires show a considerable presence of basal plane stacking faults, whose density increases for decreasing nanowire diameter. The capabilities of Kelvin probe force microscopy for imaging these kind of defects are also demonstrated.

  12. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  13. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  14. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  15. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  16. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  17. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  18. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  19. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  20. Nucleation of two-dimensional islands on Si (111) during high-temperature epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Sitnikov, S. V., E-mail: sitnikov@isp.nsc.ru; Kosolobov, S. S.; Latyshev, A. V. [Russian Academy of Sciences, Institute of Semiconductor Physics, Siberian Branch (Russian Federation)

    2017-02-15

    The process of two-dimensional island nucleation at the surface of ultra large Si (111) during hightemperature epitaxial growth is studied by in situ ultrahigh-vacuum reflection electron microscopy. The critical terrace size D{sub crit}, at which a two-dimensional island is nucleated in the center, is measured in the temperature range 900–1180°C at different silicon fluxes onto the surface. It is found that the parameter D{sub crit}{sup 2} is a power function of the frequency of island nucleation, with the exponent χ = 0.9 ± 0.05 in the entire temperature range under study. It is established that the kinetics of nucleus formation is defined by the diffusion of adsorbed silicon atoms at temperatures of up to 1180°C and the minimum critical nucleus size corresponds to 12 silicon atoms.

  1. Epitaxial growth and magnetic properties of ultraviolet transparent Ga2O3/(Ga1−xFex)2O3 multilayer thin films

    Science.gov (United States)

    Guo, Daoyou; An, Yuehua; Cui, Wei; Zhi, Yusong; Zhao, Xiaolong; Lei, Ming; Li, Linghong; Li, Peigang; Wu, Zhenping; Tang, Weihua

    2016-01-01

    Multilayer thin films based on the ferromagnetic and ultraviolet transparent semiconductors may be interesting because their magnetic/electronic/photonic properties can be manipulated by the high energy photons. Herein, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films were obtained by alternating depositing of wide band gap Ga2O3 layer and Fe ultrathin layer due to inter diffusion between two layers at high temperature using the laser molecular beam epitaxy technique. The multilayer films exhibits a preferred growth orientation of crystal plane, and the crystal lattice expands as Fe replaces Ga site. Fe ions with a mixed valence of Fe2+ and Fe3+ are stratified distributed in the film and exhibit obvious agglomerated areas. The multilayer films only show a sharp absorption edge at about 250 nm, indicating a high transparency for ultraviolet light. What’s more, the Ga2O3/(Ga1−xFex)2O3 multilayer epitaxial thin films also exhibits room temperature ferromagnetism deriving from the Fe doping Ga2O3. PMID:27121446

  2. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  3. Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD

    Science.gov (United States)

    Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2017-10-01

    We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.

  4. Au-assisted growth of anisotropic and epitaxial cdse colloidal nanocrystals via in situ dismantling of quantum dots

    KAUST Repository

    Fernà ndez-Altable, Ví ctor; Dalmases, Mariona; Falqui, Andrea; Casu, Alberto; Torruella, Pau; Estradé , Sò nia; Peiró , Francesca; Figuerola, Albert

    2015-01-01

    Metallic nanocrystals have been revealed in the past years as valuable materials for the catalytic growth of semiconductor nanowires. Yet, only low melting point metals like Bi have been reported to successfully assist the growth of elongated CdX (X = S, Se, Te) systems in solution, and the possibility to use plasmonic noble metals has become a challenging task. In this work we show that the growth of anisotropic CdSe nanostructures in solution can also be efficiently catalyzed by colloidal Au nanoparticles, following a preferential crystallographic alignment between the metallic and semiconductor domains. Noteworthy, we report the heterodox use of semiconductor quantum dots as a homogeneous and tunable source of reactive monomer species to the solution. The mechanistic studies reveal that the in situ delivery of these cadmium and chalcogen monomer species and the formation of AuxCdy alloy seeds are both key factors for the epitaxial growth of elongated CdSe domains. The implementation of this method suggests an alternative synthetic approach for the assembly of different semiconductor domains into more complex heterostructures.

  5. Au-assisted growth of anisotropic and epitaxial cdse colloidal nanocrystals via in situ dismantling of quantum dots

    KAUST Repository

    Fernàndez-Altable, Víctor

    2015-03-10

    Metallic nanocrystals have been revealed in the past years as valuable materials for the catalytic growth of semiconductor nanowires. Yet, only low melting point metals like Bi have been reported to successfully assist the growth of elongated CdX (X = S, Se, Te) systems in solution, and the possibility to use plasmonic noble metals has become a challenging task. In this work we show that the growth of anisotropic CdSe nanostructures in solution can also be efficiently catalyzed by colloidal Au nanoparticles, following a preferential crystallographic alignment between the metallic and semiconductor domains. Noteworthy, we report the heterodox use of semiconductor quantum dots as a homogeneous and tunable source of reactive monomer species to the solution. The mechanistic studies reveal that the in situ delivery of these cadmium and chalcogen monomer species and the formation of AuxCdy alloy seeds are both key factors for the epitaxial growth of elongated CdSe domains. The implementation of this method suggests an alternative synthetic approach for the assembly of different semiconductor domains into more complex heterostructures.

  6. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  7. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  8. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  9. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  10. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  11. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  12. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  13. Influence of the interface on growth rates in AlN/GaN short period superlattices via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-11-01

    AlN/GaN short period superlattices are well suited for a number of applications including, but not limited to, digital alloys, intersubband devices, and emitters. In this work, AlN/GaN superlattices with periodicities ranging from 10 to 20 Å have been grown via metal organic vapor phase epitaxy in order to investigate the influence of the interface on the binary alloy growth rates. The GaN growth rate at the interface was observed to decrease with increasing GaN thickness while the AlN growth rate remained constant. This has been attributed to a decrease in the decomposition rate of GaN at the hetero-interface as seen in other III-V hetero-structures.

  14. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  15. Comprehensive modeling of solid phase epitaxial growth using Lattice Kinetic Monte Carlo

    International Nuclear Information System (INIS)

    Martin-Bragado, Ignacio

    2013-01-01

    Damage evolution of irradiated silicon is, and has been, a topic of interest for the last decades for its applications to the semiconductor industry. In particular, sometimes, the damage is heavy enough to collapse the lattice and to locally amorphize the silicon, while in other cases amorphization is introduced explicitly to improve other implanted profiles. Subsequent annealing of the implanted samples heals the amorphized regions through Solid Phase Epitaxial Regrowth (SPER). SPER is a complicated process. It is anisotropic, it generates defects in the recrystallized silicon, it has a different amorphous/crystalline (A/C) roughness for each orientation, leaving pits in Si(1 1 0), and in Si(1 1 1) it produces two modes of recrystallization with different rates. The recently developed code MMonCa has been used to introduce a physically-based comprehensive model using Lattice Kinetic Monte Carlo that explains all the above singularities of silicon SPER. The model operates by having, as building blocks, the silicon lattice microconfigurations and their four twins. It detects the local configurations, assigns microscopical growth rates, and reconstructs the positions of the lattice locally with one of those building blocks. The overall results reproduce the (a) anisotropy as a result of the different growth rates, (b) localization of SPER induced defects, (c) roughness trends of the A/C interface, (d) pits on Si(1 1 0) regrown surfaces, and (e) bimodal Si(1 1 1) growth. It also provides physical insights of the nature and shape of deposited defects and how they assist in the occurrence of all the above effects

  16. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  17. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  18. Systematic study on dynamic atomic layer epitaxy of InN on/in +c-GaN matrix and fabrication of fine-structure InN/GaN quantum wells: Role of high growth temperature

    Science.gov (United States)

    Yoshikawa, Akihiko; Kusakabe, Kazuhide; Hashimoto, Naoki; Hwang, Eun-Sook; Imai, Daichi; Itoi, Takaomi

    2016-12-01

    The growth kinetics and properties of nominally 1-ML (monolayer)-thick InN wells on/in +c-GaN matrix fabricated using dynamic atomic layer epitaxy (D-ALEp) by plasma-assisted molecular beam epitaxy were systematically studied, with particular attention given to the effects of growth temperature. Attention was also given to how and where the ˜1-ML-thick InN layers were frozen or embedded on/in the +c-GaN matrix. The D-ALEp of InN on GaN was a two-stage process; in the 1st stage, an "In+N" bilayer/monolayer was formed on the GaN surface, while in the 2nd, this was capped by a GaN barrier layer. Each process was monitored in-situ using spectroscopic ellipsometry. The target growth temperature was above 620 °C and much higher than the upper critical epitaxy temperature of InN (˜500 °C). The "In+N" bilayer/monolayer tended to be an incommensurate phase, and the growth of InN layers was possible only when they were capped with a GaN layer. The InN layers could be coherently inserted into the GaN matrix under self-organizing and self-limiting epitaxy modes. The growth temperature was the most dominant growth parameter on both the growth process and the structure of the InN layers. Reflecting the inherent growth behavior of D-ALEp grown InN on/in +c-GaN at high growth temperature, the embedded InN layers in the GaN matrix were basically not full-ML in coverage, and the thickness of sheet-island-like InN layers was essentially either 1-ML or 2-ML. It was found that these InN layers tended to be frozen at the step edges on the GaN and around screw-type threading dislocations. The InN wells formed type-I band line-up heterostructures with GaN barriers, with exciton localization energies of about 300 and 500 meV at 15 K for the 1-ML and 2-ML InN wells, respectively.

  19. Effects of substrate anisotropy and edge diffusion on submonolayer growth during molecular beam epitaxy: A Kinetic Monte Carlo study

    International Nuclear Information System (INIS)

    Devkota, J.; Shrestha, S.P.

    2007-12-01

    We have performed Kinetic Monte Carlo simulation work to study the effect of diffusion anisotropy, bonding anisotropy and edge diffusion on island formation at different temperatures during the sub-monolayer film growth in Molecular Beam Epitaxy. We use simple cubic solid on solid model and event based Bortz, Kalos and Labowitch (BKL) algorithm on the Kinetic Monte Carlo method to simulate the physical phenomena. We have found that the island morphology and growth exponent are found to be influenced by substrate anisotropy as well as edge diffusion, however they do not play a significant role in island elongation. The growth exponent and island size distribution are observed to be influenced by substrate anisotropy but are negligibly influenced by edge diffusion. We have found fractal islands when edge diffusion is excluded and compact islands when edge diffusion is included. (author)

  20. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  1. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  2. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  3. Crystal growth and scintillation properties of selected fluoride crystals for VUV scintillators

    Czech Academy of Sciences Publication Activity Database

    Pejchal, Jan; Fukuda, K.; Yamaji, A.; Yokota, Y.; Kurosawa, S.; Král, Robert; Nikl, Martin; Yoshikawa, A.

    2014-01-01

    Roč. 401, Sep (2014), s. 833-838 ISSN 0022-0248. [International Conference on Crystal Growth and Epitaxy /17./. Warsaw, 11.08.2013-16..08.2013] R&D Projects: GA MŠk LH12150 Institutional support: RVO:68378271 Keywords : vacuum-ultra-violet emission * micro-pulling-down method * barium -lutetium fluoride * erbium fluoride Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.698, year: 2014

  4. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  5. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  6. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  7. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  8. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  9. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  10. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  11. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  12. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  13. Growth, structure and phase transitions of epitaxial nanowires of III-V semiconductors

    International Nuclear Information System (INIS)

    Glas, F; Patriarche, G; Harmand, J C

    2010-01-01

    We review and illustrate the impact of TEM on the study of nanowires of non-nitride III-V semiconductors, with particular emphasis on the understanding of the thermodynamics and kinetics of their formation assisted by nano-sized catalyst particles. Besides providing basic information about the morphology of the nanowires and their growth rate as a function of diameter, TEM offers insights into the peculiar crystalline structure that they adopt. We discuss the formation of the unusual wurtzite hexagonal crystalline phase and that of planar stacking defects in these nanowires and show that they are kinetically controlled. We also demonstrate the transformation of wurtzite into cubic sphalerite upon epitaxial burying of the nanowires. Nanowires are particularly interesting in that they allow the fabrication of precisely positioned quantum dots with well-defined geometries. In this respect, we discuss the formation of strained quantum-size inclusions in nanowires, their critical dimensions and the kinetic and thermodynamic factors governing the changes of the crystalline structure that sometimes occur around a hetero-interface.

  14. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  15. One unit-cell seed layer induced epitaxial growth of heavily nitrogen doped anatase TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, T L; Hirose, Y; Hitosugi, T; Hasegawa, T [Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)], E-mail: chen@ksp.or.jp

    2008-03-21

    We present a novel way to obtain heavily nitrogen doped anatase TiO{sub 2} films by using a solid-state nitrogen source. Epitaxial growth of the films was realized by introducing one unit-cell seed layer, which was indicated by reflection high-energy electron diffraction as intensity oscillation. Results of x-ray diffraction and x-ray photoelectron spectroscopy confirmed that the films were in the anatase phase heavily doped with nitrogen of {approx}15 at%. The films obtained exhibited considerable narrowing of the optical bandgap, resulting in an enhancement of absorption in the visible-light region. (fast track communication)

  16. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  17. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  18. Epitaxial growth of mixed conducting layered Ruddlesden–Popper La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) phases by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J., E-mail: s.skinner@imperial.ac.uk

    2013-10-15

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO{sub 3} and NdGaO{sub 3} substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La{sub n+1}Ni{sub n}O{sub 3n+1} (n = 1, 2 and 3) have been epitaxially grown on SrTiO{sub 3} (0 0 1) or NdGaO{sub 3} (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time.

  19. Growth of epitaxially oriented Ag nanoislands on air-oxidized Si(1 1 1)-(7 × 7) surfaces: Influence of short-range order on the substrate

    International Nuclear Information System (INIS)

    Roy, Anupam; Bhattacharjee, K.; Ghatak, J.; Dev, B.N.

    2012-01-01

    Clean Si(1 1 1)-(7 × 7) surfaces, followed by air-exposure, have been investigated by reflection high-energy electron diffraction (RHEED) and scanning tunneling microscopy (STM). Fourier transforms (FTs) of STM images show the presence of short-range (7 × 7) order on the air-oxidized surface. Comparison with FTs of STM images from a clean Si(1 1 1)-(7 × 7) surface shows that only the 1/7th order spots are present on the air-oxidized surface. The oxide layer is ∼2-3 nm thick, as revealed by cross-sectional transmission electron microscopy (XTEM). Growth of Ag islands on these air-oxidized Si(1 1 1)-(7 × 7) surfaces has been investigated by in situ RHEED and STM and ex situ XTEM and scanning electron microscopy. Ag deposition at room temperature leads to the growth of randomly oriented Ag islands while preferred orientation evolves when Ag is deposited at higher substrate temperatures. For deposition at 550 °C face centered cubic Ag nanoislands grow with a predominant epitaxial orientation [11 ¯ 0] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si along with its twin [1 ¯ 10] Ag ||[11 ¯ 0] Si , (1 1 1) Ag || (1 1 1) Si , as observed for epitaxial growth of Ag on Si(1 1 1) surfaces. The twins are thus rotated by a 180° rotation of the Ag unit cell about the Si[1 1 1] axis. It is intriguing that Ag nanoislands follow an epitaxial relationship with the Si(1 1 1) substrate in spite of the presence of a 2-3 nm thick oxide layer between Ag and Si. Apparently the short-range order on the oxide surface influences the crystallographic orientation of the Ag nanoislands.

  20. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  1. Selective epitaxy of semiconductor nanopyramids for nanophotonics

    Energy Technology Data Exchange (ETDEWEB)

    Poole, P J; Dalacu, D; Lefebvre, J; Williams, R L, E-mail: philip.poole@nrc-cnrc.gc.ca [Institute for Microstructural Sciences, National Research Council, Ottawa, ON, K1A 0R6 (Canada)

    2010-07-23

    We present a detailed study of the parameters which affect the geometrical perfection of nanopyramids used for the site-selected nucleation of quantum dots. Through an understanding of crystal facet formation, we demonstrate that undesirable high index planes can be suppressed using carefully optimized lithography together with properly orientated source fluxes in the growth reactor. High quality InP nanopyramids are reported with individual InAs/InP quantum dots positioned with high precision. This represents an important milestone for the fabrication of complex quantum dot based nanophotonic devices.

  2. Growth and characterization of visible diode lasers

    International Nuclear Information System (INIS)

    Shealy, J.R.; Bour, D.P.

    1988-01-01

    The (Al x Ga 1-x )yIn 1-y rho material system, lattice matched to GaAs substrates, has received much attention for use in visible laser diodes emitting in the spectral region λ--650-680 nm. When lattice matched to GaAs (y=0.5), this alloy spans a direct band gap range from --1.85 eV (at x=0) to --2.3 eV (near the T-X crossover at chi--0.7) It was only recently that device quality epitaxial layers have been prepared in this material due to difficulties with liquid phase epitaxial (LPE) and halide vapor phase epitaxial growth.Only organometallic vapor phase epitaxy (OMVPE) and molecular beam epitaxy (MBE) growth techniques have successfully produced AlGainP laser material

  3. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  4. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  5. Quantum Nanostructures by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Somsak Panyakeow

    2009-02-01

    Full Text Available Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C. Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic strain gives rise to quantum rings with square holes and non-uniform ring stripe. Regrowth of quantum dots on these anisotropic quantum rings, Quadra-Quantum Dots (QQDs could be realized. Potential applications of these quantum nanostructures are also discussed.

  6. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  7. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  8. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  9. InGaN nanocolumn growth self-induced by in-situ annealing and ion irradiation during growth process with molecular beam epitaxy method

    Science.gov (United States)

    Xue, Junjun; Cai, Qing; Zhang, Baohua; Ge, Mei; Chen, Dunjun; Zheng, Jianguo; Zhi, Ting; Tao, Zhikuo; Chen, Jiangwei; Wang, Lianhui; Zhang, Rong; Zheng, Youdou

    2017-11-01

    Incubation and shape transition are considered as two essential processes for nucleating of self-assembly InGaN nanocolumns (NCs) in traditional way. We propose a new approach for nuclei forming directly by in-situ annealing and ion irradiating the InGaN template during growing process. The nanoislands, considered as the nuclei of NCs, were formed by a combinational effect of thermal and ion etching (TIE), which made the gaps of the V-pits deeper and wider. On account of the decomposition of InGaN during TIE process, more nitride-rich amorphous alloys would intent to accumulate in the corroded V-pits. The amorphous alloys played a key role to promote the following growth from 2D regime into Volmer-Weber growth regime so that the NC morphology took place, rather than a compact film. As growth continued, the subsequently epitaxial InGaN alloys on the annealed NC nuclei were suffered in biaxial compressive stress for losing part of indium content from the NC nuclei during the TIE process. Strain relaxation, accompanied by thread dislocations, came up and made the lattice planes misoriented, which prevented the NCs from coalescence into a compact film at later period of growing.

  10. Chiral-Selective Growth of Single-Walled Carbon Nanotubes on Lattice-Mismatched Epitaxial Cobalt Nanoparticles

    DEFF Research Database (Denmark)

    He, Maoshuai; Jiang, Hua; Liu, Bilu

    2013-01-01

    Controlling chirality in growth of single-walled carbon nanotubes (SWNTs) is important for exploiting their practical applications. For long it has been conceptually conceived that the structural control of SWNTs is potentially achievable by fabricating nanoparticle catalysts with proper structures......-resolution environmental transmission electron microscope at a low CO pressure was recorded. We achieved highly preferential growth of semiconducting SWNTs (~90%) with an exceptionally large population of (6, 5) tubes (53%) in an ambient CO atmosphere. Particularly, we also demonstrated high enrichment in (7, 6) and (9, 4......) at a low growth temperature. These findings open new perspectives both for structural control of SWNTs and for elucidating the growth mechanisms....

  11. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    Science.gov (United States)

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  12. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  13. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  14. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  15. Epitaxial growth of pentacene on alkali halide surfaces studied by Kelvin probe force microscopy.

    Science.gov (United States)

    Neff, Julia L; Milde, Peter; León, Carmen Pérez; Kundrat, Matthew D; Eng, Lukas M; Jacob, Christoph R; Hoffmann-Vogel, Regina

    2014-04-22

    In the field of molecular electronics, thin films of molecules adsorbed on insulating surfaces are used as the functional building blocks of electronic devices. Control of the structural and electronic properties of the thin films is required for reliably operating devices. Here, noncontact atomic force and Kelvin probe force microscopies have been used to investigate the growth and electrostatic landscape of pentacene on KBr(001) and KCl(001) surfaces. We have found that, together with molecular islands of upright standing pentacene, a new phase of tilted molecules appears near step edges on KBr. Local contact potential differences (LCPD) have been studied with both Kelvin experiments and density functional theory calculations. Our images reveal that differently oriented molecules display different LCPD and that their value is independent of the number of molecular layers. These results point to the formation of an interface dipole, which may be explained by a partial charge transfer from the pentacene to the surface. Moreover, the monitoring of the evolution of the pentacene islands shows that they are strongly affected by dewetting: Multilayers build up at the expense of monolayers, and in the Kelvin images, previously unknown line defects appear, which reveal the epitaxial growth of pentacene crystals.

  16. Solid phase epitaxial growth of high mobility La:BaSnO_3 thin films co-doped with interstitial hydrogen

    International Nuclear Information System (INIS)

    Niedermeier, Christian A.; Rhode, Sneha; Fearn, Sarah; Moram, Michelle A.; Ide, Keisuke; Hiramatsu, Hidenori; Hosono, Hideo; Kamiya, Toshio

    2016-01-01

    This work presents the solid phase epitaxial growth of high mobility La:BaSnO_3 thin films on SrTiO_3 single crystal substrates by crystallization through thermal annealing of nanocrystalline thin films prepared by pulsed laser deposition at room temperature. The La:BaSnO_3 thin films show high epitaxial quality and Hall mobilities up to 26 ± 1 cm"2/Vs. Secondary ion mass spectroscopy is used to determine the La concentration profile in the La:BaSnO_3 thin films, and a 9%–16% La doping activation efficiency is obtained. An investigation of H doping to BaSnO_3 thin films is presented employing H plasma treatment at room temperature. Carrier concentrations in previously insulating BaSnO_3 thin films were increased to 3 × 10"1"9" cm"−"3 and in La:BaSnO_3 thin films from 6 × 10"1"9" cm"−"3 to 1.5 × 10"2"0" cm"−"3, supporting a theoretical prediction that interstitial H serves as an excellent n-type dopant. An analysis of the free electron absorption by infrared spectroscopy yields a small (H,La):BaSnO_3 electron effective mass of 0.27 ± 0.05 m_0 and an optical mobility of 26 ± 7 cm"2/Vs. As compared to La:BaSnO_3 single crystals, the smaller electron mobility in epitaxial thin films grown on SrTiO_3 substrates is ascribed to threading dislocations as observed in high resolution transmission electron micrographs.

  17. Growth temperature and dopant species effects on deep levels in Si grown by low temperature molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Sung-Yong; Jin, Niu; Rice, Anthony T.; Berger, Paul R.; Yu, Ronghua; Fang, Z-Q.; Thompson, Phillip E.

    2003-01-01

    Deep-level transient spectroscopy measurements were performed in order to investigate the effects of substrate growth temperature and dopant species on deep levels in Si layers during low-temperature molecular beam epitaxial growth. The structures studied were n + -p junctions using B doping for the p layer and p + -n junctions using P doping for the n layer. While the density of hole traps H1 (0.38-0.41 eV) in the B-doped p layers showed a clear increase with decreasing growth temperature from 600 to 370 degree sign C, the electron trap density was relatively constant. Interestingly, the minority carrier electron traps E1 (0.42-0.45 eV) and E2 (0.257 eV), found in the B-doped p layers, are similar to the majority carrier electron traps E11 (0.48 eV) and E22 (0.269 eV) observed in P-doped n layers grown at 600 degree sign C. It is hypothesized that these dominating electron traps are associated with pure divacancy defects and are independent of the dopant species

  18. Growth of epitaxial (Sr, Ba){sub n+1}Ru{sub n}O{sub 3n}P+{sub 1}films

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, D.G.; Knapp, S.B.; Wozniak, S. [Department of Materials Science and Engineering, Penn State University, University Park, PA (United States); Zou, L.N.; Park, J.; Liu, Y. [Department of Physics, Penn State University, University Park, PA (United States); Hawley, M.E.; Brown, G.W. [Center for Materials Science, Los Alamos National Laboratory, Los Alamos, NM (United States); Dabkowski, A.; Dabkowska, H.A. [Institute of Materials Research, McMaster University, Hamilton, Ontario (Canada); Uecker, R.; Reiche, P. [Institute of Crystal Growth, Berlin (Germany)

    1997-12-01

    We have grown epitaxial (Sr,Ba){sub n+1}Ru{sub n}O{sub 3n+1} films, n = 1, 2 and {infinity}, by pulsed laser deposition (PLD) and controlled their orientation by choosing appropriate substrates. The growth conditions yielding phase-pure films have been mapped out. Resistivity versus temperature measurements show that both a-axis and c-axis films of Sr{sub 2}RuO{sub 4} are metallic, but not superconducting. The latter is probably due to the presence of low-level impurities that are difficult to avoid given the target preparation process involved in growing these films by PLD. (author)

  19. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  20. Concurrent bandgap narrowing and polarization enhancement in epitaxial ferroelectric nanofilms

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Yao, L.; Chvostová, Dagmar; Dejneka, Alexandr; Kocourek, Tomáš; Jelínek, Miroslav; Trepakov, Vladimír; van Dijken, S.

    2015-01-01

    Roč. 16, č. 2 (2015), 026002 ISSN 1468-6996 R&D Projects: GA ČR GAP108/12/1941 Institutional support: RVO:68378271 Keywords : epitaxial growth * ferroelectric nanofilms Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.433, year: 2015

  1. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Science.gov (United States)

    Xie, Yali; Zhan, Qingfeng; Shang, Tian; Yang, Huali; Wang, Baomin; Tang, Jin; Li, Run-Wei

    2017-05-01

    We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO) and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO) displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  2. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Directory of Open Access Journals (Sweden)

    Yali Xie

    2017-05-01

    Full Text Available We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  3. Self-assembled magnetic nanostructures: Epitaxial Ni nanodots on TiN/Si (001) surface

    International Nuclear Information System (INIS)

    Zhou, H.; Narayan, J.

    2006-01-01

    Systems containing single domain magnetic particles are of great interest in view of their possible applications in ultrahigh-density data storage and magnetoelectronic devices. The focus of this work is plan-view STEM Z-contrast imaging study of the self-assembly growth of magnetic nickel nanostructures by domain matching epitaxy under Volmer-Weber (V-W) mode. The growth was carried out using pulsed laser deposition (PLD) technique with epitaxial titanium nitride film as the template, which was in turn grown on silicon (001) substrate via domain matching epitaxy. Our results show that the base of nickel islands is rectangular with the two principal edges parallel to two orthogonal directions, which is [110] and [1-bar 1 0] for [001] oriented growth. The size distribution of the islands is relatively narrow, comparable to that obtained from self-assembled islands grown under Stranski-Krastanow (S-K) mode. A certain degree of self-organization was also found in the lateral distribution of islands: island chains were observed along the directions close to , which are also the edge directions. The interaction between neighboring islands through the island edge-induced strain field is believed to be responsible for the size uniformity and the lateral ordering

  4. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Thin film growth of CaFe2As2 by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hatano, T; Fujimoto, R; Nakamura, I; Mori, Y; Ikuta, H; Kawaguchi, T; Harada, S; Ujihara, T

    2016-01-01

    Film growth of CaFe 2 As 2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe 2 As 2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch. (paper)

  7. Thin film growth of CaFe2As2 by molecular beam epitaxy

    Science.gov (United States)

    Hatano, T.; Kawaguchi, T.; Fujimoto, R.; Nakamura, I.; Mori, Y.; Harada, S.; Ujihara, T.; Ikuta, H.

    2016-01-01

    Film growth of CaFe2As2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe2As2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch.

  8. Epitaxial growth of high temperature superconductors by cathodic sputtering I: thin films of YBaCuO

    International Nuclear Information System (INIS)

    Navacerrada, M.A.; Sefrioui, Z.; Arias, D.; Varela, M.; Loos, G.; Leon, C.; Lucia, M.L.; Santamaria, J.; Sanchez-Quesada, F.

    1998-01-01

    High quality c-oriented YBa 2 Cu 3 O 7 -x thin films have been grown on SrTiO 3 (100)substrates by high pressure sputtering in pure oxygen atmosphere. Low angle X-ray diffraction and atomic force microscopy were performed on films less than 250 angstrom thick showing a plenitude better than one unit cell. Moreover, the structural characterization by means of X ray φ scans showed that growth is epitaxial. The critical temperature has been measured by different ways and was always in the range 89.5-90.5K. the resistance transition is sharper than 1K and the mutual inductance response always shows magnetic losses peaks narrower than 0.3K. Critical current densities are in excess of 10''''6 angstrom/cm''''2 at 77K. (Author) 8 refs

  9. Threshold fluence measurement for laser liftoff of InP thin films by selective absorption

    NARCIS (Netherlands)

    Jan, A.; Reeves, B.A.; Van De Burgt, Y.B.; Hayes, G.J.; Clemens, B.M.

    2018-01-01

    e explore conditions for achieving laser liftoff in epitaxially grown heterojunctions, in which single crystal thin films can be separated from their growth substrates using a selectively absorbing buried intermediate layer. Because this highly non-linear process is subject to a variety of process

  10. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  11. Investigation on orientation, epitaxial growth and microstructure of a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ films prepared on (001), (110) and (111) SrTiO3 single crystal substrates by spray atomizing and coprecipitating laser chemical vapor deposition

    Science.gov (United States)

    Zhao, Pei; Wang, Ying; Huang, Zhi liang; Mao, Yangwu; Xu, Yuan Lai

    2015-04-01

    a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ (YBCO) films were pareared by spray atomizing and coprecipitating laser chemical vapor deposition. The surface of the a-axis-oriented YBCO film consisted of rectangular needle-like grains whose in-plane epitaxial growth relationship was YBCO [100] // STO [001] (YBCO [001] // STO [100]), and that of the c-axis-oriented YBCO film consisted of dense flat surface with epitaxial growth relationship of YBCO [001] // STO [001] (YBCO [100] //STO [100]). For the (103)/(110)-oriented and (113)-oriented YBCO film, they showed wedge-shaped and triangle-shaped grains, with corresponding in-plane epitaxial growth relationship of YBCO [110] // STO [110] (YBCO [010] // STO [010]) and YBCO [100] // STO [100] (YBCO [113] // STO [111], respectively.

  12. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  13. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  14. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  15. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    Science.gov (United States)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  16. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  17. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  18. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    International Nuclear Information System (INIS)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J.

    1996-01-01

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs

  19. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K.B.; Ridgway, M.C.; Llewellyn, D.J. [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1996-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  20. The influence of microscopic and macroscopic non-stoichiometry on interfacial planarity during the solid-phase epitaxial growth of amorphized GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Belay, K B; Ridgway, M C; Llewellyn, D J [Australian National Univ., Canberra, ACT (Australia). Dept. of Physics

    1997-12-31

    The influence of microscopic and macroscopic non-stoichiometry on the Solid-Phase Epitaxial Growth of GaAs has been studied. Ion implantation has been employed to produce microscopic non-stoichiometry via Ga and As implants and macroscopic non-stoichiometry via Ga or As implants. In-situ Time Resolved Reflectivity and Transmission Electron Microscopy and ex-situ Rutherford Backscattering Spectroscopy and Channeling have been used to investigate the regrowth of amorphized GaAs layers. As non-stoichiometry shifts from microscopic to macroscopic the interface loses its planar nature and subsequently gets rougher. 7 refs., 3 figs.

  1. Investigating the influence of epitaxial modulation on the evolution of superhardness of the VN/TiB{sub 2} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Yupeng [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Dong, Lei, E-mail: dlei0008@126.com [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Liu, Na; Yu, Jiangang; Li, Chun [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China); Li, Dejun, E-mail: dejunli@mail.tjnu.edu.cn [Energy and Materials Engineering Centre, College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Tianjin International Joint Research Centre of Surface Technology for Energy Storage Materials, Tianjin 300387 (China)

    2016-12-30

    Graphical abstract: The novel VN/TiB{sub 2} multilayers were produced by a magnetron sputtering system. Reasonable modulation structure affected properties of the multilayers. The double epitaxial growth as shown in HRTEM images was newly found to be a main reason for coherent growth of the VN/TiB{sub 2} multilayers within a certain thickness. The coherent growth model of the multilayer was also used to explain the growth mechanism of the VN/TiB{sub 2} multilayers in this work, which provided a useful inspiration to understand the strategies to enhance the multilayers’ engineering applications. - Highlights: • The VN/TiB{sub 2} multilayers are produced by magnetron sputtering. • A kind of second epitaxial growth is found in multilayer. • The coherent growth model is designed to explain the growth mechanism. • Second epitaxial growth promotes to form superhardness. • Coherent growth appears twice with modulation ratios decreasing. - Abstract: A series of the VN/TiB{sub 2} nanomultilayers with different modulation ratios (t{sub VN}:t{sub TiB2}) and different modulation periods were synthesized via a magnetron sputtering system. The cross-sectional transmission electron microscopy (TEM) and x-ray diffraction (XRD) examinations indicated that in the alternately deposited monolayers of the VN and TiB{sub 2}, due to the influence of the crystal (111){sub VN} texture, TiB{sub 2} layer presented epitaxial growth on the surface of the VN layer when its t{sub VN}:t{sub TiB2} was 5:1. Moreover, the formation of the TiB{sub 2} crystal promoted the growth of (200){sub VN} and significantly improved the preferential growth of nanomultilayers. With decreasing t{sub VN}:t{sub TiB2} to 1:7, the thin VN layer was crystallized under the introduction of crystalline TiB{sub 2} layers. A type of double epitaxial growth was observed to be a main reason for the coherent growth of the VN/TiB{sub 2} nanomultilayers within a certain thickness. Consequently, the multilayers

  2. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  3. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  4. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Higuchi, Jumpei, E-mail: higuchi@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Sato, Yoichi [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    NiFe epitaxial films are prepared on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  5. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    International Nuclear Information System (INIS)

    Higuchi, Jumpei; Ohtake, Mitsuru; Sato, Yoichi; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    NiFe epitaxial films are prepared on Cr(211) bcc and Cr(100) bcc underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211) bcc and Cr(100) bcc underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  6. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  7. Epitaxial Growth of Germanium on Silicon for Light Emitters

    Directory of Open Access Journals (Sweden)

    Chengzhao Chen

    2012-01-01

    Full Text Available This paper describes the role of Ge as an enabler for light emitters on a Si platform. In spite of the large lattice mismatch of ~4.2% between Ge and Si, high-quality Ge layers can be epitaxially grown on Si by ultrahigh-vacuum chemical vapor deposition. Applications of the Ge layers to near-infrared light emitters with various structures are reviewed, including the tensile-strained Ge epilayer, the Ge epilayer with a delta-doping SiGe layer, and the Ge/SiGe multiple quantum wells on Si. The fundamentals of photoluminescence physics in the different Ge structures are discussed briefly.

  8. Cathodoluminescence of GaN diped with Zn in the process of epitaxial growth and by the method of ionic implantation

    International Nuclear Information System (INIS)

    Khasanov, I.Sh.; Gippius, A.A.; Kuznetsov, A.V.; Petrov, M.N.; Sletov, M.M.

    1984-01-01

    The cathodoluminescence (CL) method was used to investigate the epitaxial GaN layers doped with Zn both in the process of growth and by the method of ionic implantation for the purpose of clarifying the effect of doping technique on impurity luminescence. It was shown that (2-3)x10 18 cm -3 concentration is optimal with respect to intensity of impurity ''blue'' luminescence for gallium nitride doped with Zn. The intensity of GaN ''blue'' luminescence during Zn ionic implantation is several times lower as compared with doping in the process of growth. This is related to incomplete optical activation of impurity atoms in the process of postimplantation thermal annealing. Increase of zinc concentration above optimal during ionic implantation intensifies crystal lattice disordering, which is supported by intensification of 1.7 eV cathodoluminescence band in spectra conditioned by defects

  9. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  10. Reactive molecular beam epitaxial growth and in situ photoemission spectroscopy study of iridate superlattices

    Directory of Open Access Journals (Sweden)

    C. C. Fan

    2017-08-01

    Full Text Available High-quality (001-oriented perovskite [(SrIrO3m/(SrTiO3] superlattices (m=1/2, 1, 2, 3 and ∞ films have been grown on SrTiO3(001 epitaxially using reactive molecular beam epitaxy. Compared to previously reported superlattices synthesized by pulsed laser deposition, our superlattices exhibit superior crystalline, interface and surface structure, which have been confirmed by high-resolution X-ray diffraction, scanning transmission electron microscopy and atomic force microscopy, respectively. The transport measurements confirm a novel insulator-metal transition with the change of dimensionality in these superlattices, and our first systematic in situ photoemission spectroscopy study indicates that the increasing strength of effective correlations induced by reducing dimensionality would be the dominating origin of this transition.

  11. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  12. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  13. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. The competing oxide and sub-oxide formation in metal-oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vogt, Patrick; Bierwagen, Oliver

    2015-01-01

    The hetero-epitaxial growth of the n-type semiconducting oxides β-Ga 2 O 3 , In 2 O 3 , and SnO 2 on c- and r-plane sapphire was performed by plasma-assisted molecular beam epitaxy. The growth-rate and desorbing flux from the substrate were measured in-situ under various oxygen to metal ratios by laser reflectometry and quadrupole mass spectrometry, respectively. These measurements clarified the role of volatile sub-oxide formation (Ga 2 O, In 2 O, and SnO) during growth, the sub-oxide stoichiometry, and the efficiency of oxide formation for the three oxides. As a result, the formation of the sub-oxides decreased the growth-rate under metal-rich growth conditions and resulted in etching of the oxide film by supplying only metal flux. The flux ratio for the exclusive formation of the sub-oxide (e.g., the p-type semiconductor SnO) was determined, and the efficiency of oxide formation was found to be the highest for SnO 2 , somewhat lower for In 2 O 3 , and the lowest for Ga 2 O 3 . Our findings can be generalized to further oxides that possess related sub-oxides

  15. Growth of Ferromagnetic Epitaxial Film of Hexagonal FeGe on (111) Ge Surface

    Science.gov (United States)

    Kumar, Dushyant; Joshi, P. C.; Hossain, Z.; Budhani, R. C.

    2014-03-01

    The realization of semiconductors showing ferromagnetic order at easily accessible temperatures has been of interest due to their potential use in spintronic devices where long spin life times are of key interest. We have realized the growth of FeGe thin films on Ge (111) wafers using pulsed laser deposition (PLD). The stoichiometric and single phase FeGe target used in PLD chamber has been made by arc melting. A typical θ-2 θ diffraction spectra performed on 40 nm thick FeGe film suggests the stabilization of β-Ni2In (B82-type) hexagonal phase with an epitaxial orientation of (0001)FeGe ||(111)Ge and [11-20]FeGe ||[-110]Ge. SEM images shows a granular structure with the formation of very large grains of about 100 to 500 nm in lateral dimension. The magnetization vs. temperature data taken from SQUID reveal the TC of ~ 270K. Since, PLD technique makes it easier to stabilize the B82 (Ni2In) hexagonal phase in thin FeGe films, this work opens opportunities to reinvestigate many conflicting results on various properties of the FeGe system.

  16. Molecular beam epitaxial growth and characterization of Al(Ga)N nanowire deep ultraviolet light emitting diodes and lasers

    International Nuclear Information System (INIS)

    Mi, Z; Zhao, S; Djavid, M; Liu, X; Kang, J; Woo, S Y; Bugnet, M; Botton, G A; Kong, X; Guo, H; Ji, W; Liu, Z

    2016-01-01

    We report on the detailed molecular beam epitaxial growth and characterization of Al(Ga)N nanowire heterostructures on Si and their applications for deep ultraviolet light emitting diodes and lasers. The nanowires are formed under nitrogen-rich conditions without using any metal catalyst. Compared to conventional epilayers, Mg-dopant incorporation is significantly enhanced in nearly strain- and defect-free Al(Ga)N nanowire structures, leading to efficient p -type conduction. The resulting Al(Ga)N nanowire LEDs exhibit excellent performance, including a turn-on voltage of ∼5.5 V for an AlN nanowire LED operating at 207 nm. The design, fabrication, and performance of an electrically injected AlGaN nanowire laser operating in the UV-B band is also presented. (paper)

  17. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  18. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  19. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  20. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  1. Van der Waals epitaxial growth and optoelectronics of large-scale WSe2/SnS2 vertical bilayer p-n junctions.

    Science.gov (United States)

    Yang, Tiefeng; Zheng, Biyuan; Wang, Zhen; Xu, Tao; Pan, Chen; Zou, Juan; Zhang, Xuehong; Qi, Zhaoyang; Liu, Hongjun; Feng, Yexin; Hu, Weida; Miao, Feng; Sun, Litao; Duan, Xiangfeng; Pan, Anlian

    2017-12-04

    High-quality two-dimensional atomic layered p-n heterostructures are essential for high-performance integrated optoelectronics. The studies to date have been largely limited to exfoliated and restacked flakes, and the controlled growth of such heterostructures remains a significant challenge. Here we report the direct van der Waals epitaxial growth of large-scale WSe 2 /SnS 2 vertical bilayer p-n junctions on SiO 2 /Si substrates, with the lateral sizes reaching up to millimeter scale. Multi-electrode field-effect transistors have been integrated on a single heterostructure bilayer. Electrical transport measurements indicate that the field-effect transistors of the junction show an ultra-low off-state leakage current of 10 -14 A and a highest on-off ratio of up to 10 7 . Optoelectronic characterizations show prominent photoresponse, with a fast response time of 500 μs, faster than all the directly grown vertical 2D heterostructures. The direct growth of high-quality van der Waals junctions marks an important step toward high-performance integrated optoelectronic devices and systems.

  2. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  3. Atomic oxygen effect on the in situ growth of stoichiometric YBa2Cu3O7 - delta epitaxial films by facing targets 90° off-axis radiofrequency magnetron sputtering

    Science.gov (United States)

    Oya, Gin-ichiro; Diao, Chien Chen; Imai, Syozo; Uzawa, Takaaki; Sawada, Yasuji; Sugai, Tokuko; Nakajima, Kensuke; Yamashita, Tsutomu

    1995-06-01

    (110)- and (103)-oriented almost stoichiometric YBa2Cu3O7-δ (YBCO) films have been grown epitaxially on hot SrTiO3 (110) substrates using a 90° off-axis rf magnetron sputtering technique, for fabrication of vertical sandwich-type YBCO/insulator/YBCO or YBCO/normal metal/YBCO Josephson junctions utilizing the high-quality YBCO films. The YBCO epitaxial films with high transition temperatures Tc of ˜90 K have been deposited in situ only under the conditions of substrate temperatures Ts of ˜650-˜700 °C and oxygen partial pressure PO2 of ˜5×10-3-˜10×10-3 Torr, which are in close proximity to the critical stability/decomposition line for YBa2Cu3O6 in the ordinary Y-Ba-Cu-O phase diagram. Using a quadrupole mass spectrometer, a high density of atomic oxygen has directly been observed to be efficiently produced in the sputter glow discharge under the above optimum conditions of PO2. This atomic oxygen has played a key role in promoting the formation of the perovskite structure and the epitaxial growth of the YBCO films. Furthermore, Shapiro steps have successfully been observed for a Nb-YBCO point-contact junction, which is made by pressing a Nb needle on a surface-etched YBCO epitaxial film, under 525.4 GHz submillimeter-wave irradiation.

  4. Coupled quantum dot-ring structures by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Koguchi, N; Sanguinetti, S

    2011-01-01

    The fabrication, by pure self-assembly, of GaAs/AlGaAs dot-ring quantum nanostructures is presented. The growth is performed via droplet epitaxy, which allows for the fine control, through As flux and substrate temperature, of the crystallization kinetics of nanometer scale metallic Ga reservoirs deposited on the surface. Such a procedure permits the combination of quantum dots and quantum rings into a single, multi-functional, complex quantum nanostructure.

  5. Adsorption-controlled growth of La-doped BaSnO3 by molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hanjong Paik

    2017-11-01

    Full Text Available Epitaxial La-doped BaSnO3 films were grown in an adsorption-controlled regime by molecular-beam epitaxy, where the excess volatile SnOx desorbs from the film surface. A film grown on a (001 DyScO3 substrate exhibited a mobility of 183 cm2 V−1 s−1 at room temperature and 400 cm2 V−1 s−1 at 10 K despite the high concentration (1.2 × 1011 cm−2 of threading dislocations present. In comparison to other reports, we observe a much lower concentration of (BaO2 Ruddlesden-Popper crystallographic shear faults. This suggests that in addition to threading dislocations, other defects—possibly (BaO2 crystallographic shear defects or point defects—significantly reduce the electron mobility.

  6. Schottky barriers based on metal nanoparticles deposited on InP epitaxial layers

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Yatskiv, Roman

    2013-01-01

    Roč. 28, č. 4 (2013) ISSN 0268-1242 R&D Projects: GA MŠk LD12014 Institutional support: RVO:67985882 Keywords : Colloidal graphite * Epitaxial growth * Schottky barrier diodes Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 2.206, year: 2013

  7. Epitaxial growth of InN on c-plane sapphire by pulsed laser deposition with r.f. nitrogen radical source

    International Nuclear Information System (INIS)

    Ohta, J.; Fujioka, H.; Honke, T.; Oshima, M.

    2004-01-01

    We have grown InN films on c-plane sapphire substrates by pulsed laser deposition (PLD) with a radio frequency nitrogen radical source for the first time and investigated the effect of the substrate surface nitridation on the structural and electrical properties of InN films with reflection high energy electron diffraction (RHEED), atomic force microscope, the Hall effect measurements and high-resolution X-ray diffraction (HRXRD). RHEED and HRXRD characterizations revealed that high-quality InN grows epitaxially on sapphire by PLD and its epitaxial relationship is InN (0 0 0 1) parallel sapphire (0 0 0 1) and InN [2 -1 -1 0] parallel sapphire [1 0 -1 0]. The InN crystalline quality and the electron mobility are improved by the substrate nitridation process. The area of the pits at the InN surface is reduced by the substrate nitridation process probably due to the reduction in the interface energy between InN and the substrate. The full width at half maximum of the -1 -1 2 4 X-ray rocking curve for InN grown by the present technique without using any buffer layers was as small as 34.8 arcmin. These results indicate that the present technique is promising for the growth of the high-quality InN films

  8. Thickness and growth-condition dependence of in-situ mobility and carrier density of epitaxial thin-film Bi2Se3

    International Nuclear Information System (INIS)

    Hellerstedt, Jack; Fuhrer, Michael S.; Edmonds, Mark T.; Zheng, C. X.; Chen, J. H.; Cullen, William G.

    2014-01-01

    Bismuth selenide Bi 2 Se 3 was grown by molecular beam epitaxy, while carrier density and mobility were measured directly in situ as a function of film thickness. Carrier density shows high interface n-doping (1.5 × 10 13  cm −2 ) at the onset of film conduction and bulk dopant density of ∼5 × 10 11  cm −2 per quintuple-layer unit, roughly independent of growth temperature profile. Mobility depends more strongly on the growth temperature and is related to the crystalline quality of the samples quantified by ex-situ atomic force microscopy measurements. These results indicate that Bi 2 Se 3 as prepared by widely employed parameters is n-doped before exposure to atmosphere, the doping is largely interfacial in origin, and dopants are not the limiting disorder in present Bi 2 Se 3 films.

  9. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  10. Epitaxial growth and control of the sodium content in Na{sub x}CoO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Sandra; Komissinskiy, Philipp [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Major, Marton [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); WIGNER RCP, RMKI, H-1525 Budapest, P.O.B. 49 (Hungary); Donner, Wolfgang [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Alff, Lambert, E-mail: alff@oxide.tu-darmstadt.de [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)

    2013-10-31

    Single-phase c-axis oriented Na{sub x}CoO{sub 2} thin films were grown on (001) SrTiO{sub 3} single-crystal substrates, using pulsed laser deposition. X-ray diffraction analysis indicates the epitaxial growth of Na{sub x}CoO{sub 2} thin films in two domains, rotated in-plane by 15 and 45 degrees relative to [100] SrTiO{sub 3}. The sodium stoichiometry x of the films can be controlled in a range of 0.38 < x < 0.84 by in-situ post-deposition annealing the Na{sub x}CoO{sub 2} films at 720 – 760 °C in oxygen for 10 – 30 min. γ - Na{sub x}CoO{sub 2} films are obtained with a full width at half maximum of the (002) Na{sub x}CoO{sub 2} rocking curve below 0.2 degrees. The post-deposition annealing can substitute commonly used chemical deintercalation of Na which is typically associated with a loss in crystallinity. - Highlights: • Single phase Na{sub x}CoO{sub 2} thin films grown by pulsed laser deposition • Epitaxial relations of Na{sub x}CoO{sub 2} thin films on (001) SrTiO{sub 3} substrates • Multi-domain thin films • Control of sodium content by in-situ annealing of Na{sub x}CoO{sub 2} thin films.

  11. Springer Handbook of Crystal Growth

    CERN Document Server

    Dhanaraj, Govindhan; Prasad, Vishwanath; Dudley, Michael

    2010-01-01

    Over the years, many successful attempts have been made to describe the art and science of crystal growth. Most modern advances in semiconductor and optical devices would not have been possible without the development of many elemental, binary, ternary, and other compound crystals of varying properties and large sizes. The objective of the Springer Handbook of Crystal Growth is to present state-of-the-art knowledge of both bulk and thin-film crystal growth. The goal is to make readers understand the basics of the commonly employed growth processes, materials produced, and defects generated. Almost 100 leading scientists, researchers, and engineers from 22 different countries from academia and industry have been selected to write chapters on the topics of their expertise. They have written 52 chapters on the fundamentals of bulk crystal growth from the melt, solution, and vapor, epitaxial growth, modeling of growth processes and defects, techniques of defect characterization as well as some contemporary specia...

  12. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  13. Growth of high mobility GaN and AlGaN/GaN high electron mobility transistor structures on 4H-SiC by ammonia molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Webb, James B.; Tang, H.; Bardwell, J. A.; Coleridge, P.

    2001-01-01

    Ammonia molecular-beam epitaxy has been used to grow high-quality epilayers of GaN and AlGaN/GaN heterostructure field-effect transistor (HFET) structures on insulating 4H-SiC. The growth process, which used a magnetron sputter epitaxy deposited buffer layer of AlN, has been described previously. Ex situ pretreatment of the SiC substrate was found to be unnecessary. For a single 2.0 μm thick silicon doped epilayer, a room temperature (RT) electron mobility of 500 cm2/Vs was measured at a carrier density of 6.6x10 16 cm -3 . For the HFET structure, a room temperature mobility of 1300 cm2/Vs at a sheet carrier density of 3.3x10 12 cm -2 was observed, increasing to 11000 cm2/Vs at 77 K. The surface morphology of the layers indicated a coalesced mesa structure similar to what we observed for growth on sapphire, but with a lower overall defect density and correspondingly larger grain size. The observation of well-resolved Shubnikov de Haas oscillations at fields as low as 3 T indicated a relatively smooth interface. [copyright] 2001 American Institute of Physics

  14. Selective LPCVD growth of graphene on patterned copper and its growth mechanism

    Science.gov (United States)

    Zhang, M.; Huang, B.-C.; Wang, Y.; Woo, J. C. S.

    2016-12-01

    Copper-catalyzed graphene low-pressure chemical-vapor deposition (LPCVD) growth has been regarded as a viable solution towards its integration to CMOS technology, and the wafer-bonding method provides a reliable alternative for transferring the selective graphene grown on a patterned metal film for IC manufacturing. In this paper, selective LPCVD graphene growth using patterned copper dots has been studied. The Raman spectra of grown films have demonstrated large dependence on the growth conditions. To explain the results, the growth mechanisms based on surface adsorption and copper-vapor-assisted growth are investigated by the comparison between the blanket copper films with/without the additional copper source. The copper vapor density is found to be critical for high-quality graphene growth. In addition, the copper-vapor-assisted growth is also evidenced by the carbon deposition on the SiO2 substrate of the patterned-copper-dot sample and chamber wall during graphene growth. This growth mechanism explains the correlation between the growth condition and Raman spectrum for films on copper dots. The study on the copper-catalyzed selective graphene growth on the hard substrate paves the way for the synthesis and integration of the 2D material in VLSI.

  15. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  16. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  17. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  18. Growth of Pb(Ti,Zr)O 3 thin films by metal-organic molecular beam epitaxy

    Science.gov (United States)

    Avrutin, V.; Liu, H. Y.; Izyumskaya, N.; Xiao, B.; Özgür, Ü.; Morkoç, H.

    2009-02-01

    Single-crystal Pb(Zr xTi 1-x)O 3 thin films have been grown on (0 0 1) SrTiO 3 and SrTiO 3:Nb substrates by molecular beam epitaxy using metal-organic source of Zr and two different sources of reactive oxygen—RF plasma and hydrogen-peroxide sources. The same growth modes and comparable structural properties were observed for the films grown with both oxygen sources, while the plasma source allowed higher growth rates. The films with x up to 0.4 were single phase, while attempts to increase x beyond gave rise to the ZrO 2 second phase. The effects of growth conditions on growth modes, Zr incorporation, and phase composition of the Pb(Zr xTi 1-x)O 3 films are discussed. Electrical and ferroelectric properties of the Pb(Zr xTi 1-x)O 3 films of ~100 nm in thickness grown on SrTiO 3:Nb were studied using current-voltage, capacitance-voltage, and polarization-field measurements. The single-phase films show low leakage currents and large breakdown fields, while the values of remanent polarization are low (around 5 μC/cm 2). It was found that, at high sweep fields, the contribution of the leakage current to the apparent values of remanent polarization can be large, even for the films with large electrical resistivity (˜10 8-10 9 Ω cm at an electric filed of 1 MV/cm). The measured dielectric constant ranges from 410 to 260 for Pb(Zr 0.33Ti 0.67)O 3 and from 313 to 213 for Pb(Zr 0.2Ti 0.8)O 3 in the frequency range from 100 to 1 MHz.

  19. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  20. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  1. Migration characterization of Ga and In adatoms on dielectric surface in selective MOVPE

    International Nuclear Information System (INIS)

    Chen Wei-Jie; Han Xiao-Biao; Lin Jia-Li; Hu Guo-Heng; Liu Ming-Gang; Yang Yi-Bin; Chen Jie; Wu Zhi-Sheng; Zhang Bai-Jun; Liu Yang

    2015-01-01

    Migration characterizations of Ga and In adatoms on the dielectric surface in selective metal organic vapor phase epitaxy (MOVPE) were investigated. In the typical MOVPE environment, the selectivity of growth is preserved for GaN, and the growth rate of GaN micro-pyramids is sensitive to the period of the patterned SiO 2 mask. A surface migration induced model was adopted to figure out the effective migration length of Ga adatoms on the dielectric surface. Different from the growth of GaN, the selective area growth of InGaN on the patterned template would induce the deposition of InGaN polycrystalline particles on the patterned SiO 2 mask with a long period. It was demonstrated with a scanning electron microscope and energy dispersive spectroscopy that the In adatoms exhibit a shorter migration length on the dielectric surface. (paper)

  2. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  3. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  4. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  5. Structural Studies of the Initial Stages of Fluoride Epitaxy on Silicon and GERMANIUM(111)

    Science.gov (United States)

    Denlinger, Jonathan David

    The epitaxial growth of ionic insulators on semiconductor substrates is of interest due to fundamental issues of interface bonding and structure as well as to potential technological applications. The initial stages of Group IIa fluoride insulator growth on (111) Si and Ge substrates by molecular beam epitaxy are studied with the in situ combination of X-ray Photoelectron Spectroscopy (XPS) and Diffraction (XPD). While XPS probes the electronic structure, XPD reveals atomic structure. In addition, low energy electron diffraction (LEED) is used to probe surface order and a separate study using X-ray standing wave (XSW) fluorescence reveals interface cation bonding sites. Following the formation of a chemically-reacted interface layer in CaF_2 epitaxy on Si(111), the morphology of the subsequent bulk layers is found to be dependent on substrate temperature and incident flux rate. At temperatures >=600 ^circC a transition from three -dimensional island formation at low flux to laminar growth at higher flux is observed with bulk- and interface-resolved XPD. At lower substrate temperatures, laminar growth is observed at all fluxes, but with different bulk nucleation behavior due to changes in the stoichiometry of the interface layer. This new observation of kinetic effects on the initial nucleation in CaF_2 epitaxy has important ramifications for the formation of thicker heterostructures for scientific or device applications. XPS and XPD are also used to identify for the first time, surface core-level species of Ca and F, and a secondary interface-shifted F Auger component arising from a second-layer site directly above interface-layer Ca atoms. The effects of lattice mismatch (from -3% to 8%) are investigated with various growths of Ca_{rm x}Sr _{rm 1-x}F_2 on Si and Ge (111) substrates. Triangulation of (111) and (220) XSW indicates a predominance of 3-fold hollow Sr bonding sites coexisting with 4-fold top sites for monolayers of SrF_2 on Si. XSW and LEED reveal a

  6. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  7. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  8. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    International Nuclear Information System (INIS)

    Mizerov, A. M.; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu.

    2015-01-01

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T s ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T s ≈ 750°C and growth conditions providing enrichment with metal is shown

  9. Control over the morphology of AlN during molecular beam epitaxy with the plasma activation of nitrogen on Si (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mizerov, A. M., E-mail: mizerov@beam.ioffe.ru; Kladko, P. N.; Nikitina, E. V.; Egorov, A. Yu. [Russian Academy of Sciences, St. Petersburg Academic University-Nanotechnology Research and Education Centre (Russian Federation)

    2015-02-15

    The results of studies of the growth kinetics of AlN layers during molecular beam epitaxy with the plasma activation of nitrogen using Si (111) substrates are presented. The possibility of the growth of individual AlN/Si (111) nanocolumns using growth conditions with enrichment of the surface with metal near the formation mode of Al drops, at a substrate temperature close to maximal, during molecular beam epitaxy with the plasma activation of nitrogen (T{sub s} ≈ 850°C) is shown. The possibility of growing smooth AlN layers on a nanocolumnar AlN/Si (111) buffer with the use of T{sub s} ≈ 750°C and growth conditions providing enrichment with metal is shown.

  10. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  11. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  12. Epitaxial growth of sexi-thiophene and para-hexaphenyl and its implications for the fabrication of self-assembled lasing nano-fibres

    International Nuclear Information System (INIS)

    Simbrunner, Clemens

    2013-01-01

    Over the last few years, epitaxially grown self-assembled organic nano-structures became of increasing interest due to their high potential for implementation within opto-electronic devices. Exemplarily, the epitaxial growth of the rod-like molecules para-hexaphenyl (p-6P) and α-sexi-thiophene (6T) is discussed within this review. Both molecules tend to crystallize in highly asymmetric elongated entities which are also called nano-fibres. It is demonstrated that the obtained needle orientations and morphologies result from a complex interplay between various parameters e.g. substrate surface symmetry, molecular adsorption, crystal structure and contact plane. The interplay and its implications on the fabrication of self-assembled waveguiding nano-fibres and optical resonator structures are discussed and substantiated by a comparison with the reported literature. In further consequence, it is demonstrated that a precise control on the molecular adsorption geometry and the crystal contact plane represents a fundamental key parameter for the fabrication of self-assembled nano-fibres. As both parameters are basically determined by the chosen molecule–substrate material couple, the possible spectrum of molecular building blocks for the fabrication of waveguiding and lasing nano-structures can be predicted by the discussed growth model. A possible expansion of this common valid concept is presented by the utilization of organic–organic heteroepitaxy. Based on the reported p-6P/6T heterostructures which have been fabricated on various substrate surfaces, it is substantiated that the fabrication of organic–organic interfaces can be effectively used to gain control on the molecular adsorption geometry. As the proposed strategy still lacks a precise control of the obtained crystal contact plane, further strategies are discussed which potentially lead to a controlled fabrication of opto-electronic devices based on self-assembled organic nano-structures. (invited review)

  13. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  14. Continuous growth of low-temperature Si epitaxial layer with heavy phosphorous and boron doping using photoepitaxy

    International Nuclear Information System (INIS)

    Yamazaki, T.; Minakata, H.; Ito, T.

    1990-01-01

    The authors grew p + -n + silicon epitaxial layers, heavily doped with phosphorus and boron, continuously at 650 degrees C using low-temperature photoepitaxy. Then N + photoepitaxial layer with a phosphorus concentration above 10 17 cm -3 grown on p - substrate shows high-density surface pits, and as a result, poor crystal quality. However, when this n + photoepitaxial layer is grown continuously on a heavily boron-doped p + photoepitaxial layer, these surface pits are drastically decreased, disappearing completely above a hole concentration of 10 19 cm -3 in the p + photoepitaxial layer. The phosphorus activation ratio and electron Hall mobility in the heavily phosphorus-doped n + photoexpitaxial layer were also greatly improved. The authors investigated the cause of the surface pitting using a scanning transmission electron microscope, secondary ion mass spectroscopy, and energy-dispersive x-ray spectroscopy. They characterized the precipitation of phosphorus atoms on the crystal surface at the initial stage of the heavily phosphorus-doped n + photoexpitaxial layer growth

  15. Selective area epitaxy of monolithic white-light InGaN/GaN quantum well microstripes with dual color emission

    Energy Technology Data Exchange (ETDEWEB)

    Li, Yuejing; Tong, Yuying; Yang, Guofeng, E-mail: gfyang@jiangnan.edu.cn; Yao, Chujun; Sun, Rui; Cai, Lesheng; Xu, Guiting; Wang, Jin; Zhang, Qing; Ye, Xuanchao; Wu, Mengting; Wen, Zhiqin [School of Science, Jiangnan University, Wuxi 214122 (China)

    2015-09-15

    Monolithic color synthesis is demonstrated using InGaN/GaN multiple quantum wells (QWs) grown on GaN microstripes formed by selective area epitaxy on SiO{sub 2} mask patterns. The striped microfacet structure is composed of (0001) and (11-22) planes, attributed to favorable surface polarity and surface energy. InGaN/GaN QWs on different microfacets contain spatially inhomogeneous compositions owing to the diffusion of adatoms among the facets. This unique property allows the microfacet QWs to emit blue light from the (11-22) plane and yellow light from the top (0001) plane, the mixing of which leads to the perception of white light emission.

  16. Selective area epitaxy of monolithic white-light InGaN/GaN quantum well microstripes with dual color emission

    International Nuclear Information System (INIS)

    Li, Yuejing; Tong, Yuying; Yang, Guofeng; Yao, Chujun; Sun, Rui; Cai, Lesheng; Xu, Guiting; Wang, Jin; Zhang, Qing; Ye, Xuanchao; Wu, Mengting; Wen, Zhiqin

    2015-01-01

    Monolithic color synthesis is demonstrated using InGaN/GaN multiple quantum wells (QWs) grown on GaN microstripes formed by selective area epitaxy on SiO 2 mask patterns. The striped microfacet structure is composed of (0001) and (11-22) planes, attributed to favorable surface polarity and surface energy. InGaN/GaN QWs on different microfacets contain spatially inhomogeneous compositions owing to the diffusion of adatoms among the facets. This unique property allows the microfacet QWs to emit blue light from the (11-22) plane and yellow light from the top (0001) plane, the mixing of which leads to the perception of white light emission

  17. Epitaxial growth of unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures on 4H Au nanoribbons

    KAUST Repository

    Fan, Zhanxi; Chen, Ye; Zhu, Yihan; Wang, Jie; Li, Bing; Zong, Yun; Han, Yu; Zhang, Hua

    2016-01-01

    Metal nanomaterials normally adopt the same crystal structure as their bulk counterparts. Herein, for the first time, the unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures have been synthesized on 4H Au nanoribbons (NRBs) via solution-phase epitaxial growth under ambient conditions. Interestingly, the 4H Au NRBs undergo partial phase transformation from 4H to face-centered cubic (fcc) structures after the metal coating. As a result, a series of polytypic 4H/fcc bimetallic Au@M (M = Ir, Rh, Os, Ru and Cu) core-shell NRBs has been obtained. We believe that the rational crystal structure-controlled synthesis of metal nanomaterials will bring new opportunities for exploring their phase-dependent physicochemical properties and promising applications.

  18. Epitaxial growth of unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures on 4H Au nanoribbons

    KAUST Repository

    Fan, Zhanxi

    2016-09-12

    Metal nanomaterials normally adopt the same crystal structure as their bulk counterparts. Herein, for the first time, the unusual 4H hexagonal Ir, Rh, Os, Ru and Cu nanostructures have been synthesized on 4H Au nanoribbons (NRBs) via solution-phase epitaxial growth under ambient conditions. Interestingly, the 4H Au NRBs undergo partial phase transformation from 4H to face-centered cubic (fcc) structures after the metal coating. As a result, a series of polytypic 4H/fcc bimetallic Au@M (M = Ir, Rh, Os, Ru and Cu) core-shell NRBs has been obtained. We believe that the rational crystal structure-controlled synthesis of metal nanomaterials will bring new opportunities for exploring their phase-dependent physicochemical properties and promising applications.

  19. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Epitaxial growth and electronic structure of a layered zinc pnictide semiconductor, β-BaZn2As2

    International Nuclear Information System (INIS)

    Xiao, Zewen; Ran, Fan-Yong; Hiramatsu, Hidenori; Matsuishi, Satoru; Hosono, Hideo; Kamiya, Toshio

    2014-01-01

    BaZn 2 As 2 is expected for a good p-type semiconductor and has two crystalline phases of an orthorhombic α phase and a higher-symmetry tetragonal β phase. Here, we report that high-quality epitaxial films of the tetragonal β-BaZn 2 As 2 were grown on single-crystal MgO (001) substrates by a reactive solid-phase epitaxy technique. Out-of-plane and in-plane epitaxial relationships between the film and the substrate were BaZn 2 As 2 (00 l)//MgO (001) and BaZn 2 As 2 [200]//MgO [200], respectively. The full-widths at half maximum were 0.082° for a 008 out-of-plane rocking curve and 0.342° for a 200 in-plane rocking curve. A step-and-terrace structure was observed by atomic force microscopy. The band gap of β-BaZn 2 As 2 was evaluated to be around 0.2 eV, which is much smaller than that of a family compound LaZnOAs (1.5 eV). Density functional theory calculation using the Heyd–Scuseria–Ernzerhof hybrid functionals supports the small band gap. - Highlights: • High-quality epitaxial β-BaZn 2 As 2 films were obtained. • The band gap of β-BaZn 2 As 2 was evaluated to around 0.2 eV. • Hybrid Heyd–Scuseria–Ernzerhof calculation supports the small band gap

  1. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  2. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  3. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  4. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  5. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  6. Engineering hybrid epitaxial InAsSb/Al nanowires for stronger topological protection

    DEFF Research Database (Denmark)

    Sestoft, Joachim E.; Kanne, Thomas; Gejl, Aske Nørskov

    2018-01-01

    The combination of strong spin-orbit coupling, large g factors, and the coupling to a superconductor can be used to create a topologically protected state in a semiconductor nanowire. Here we report on growth and characterization of hybrid epitaxial InAsSb/Al nanowires, with varying composition a...

  7. Mechanism for selective growth in electrical steel

    Science.gov (United States)

    Oh, Eun Jee; Heo, Nam Hoe; Kwon, Se Kyun; Koo, Yang Mo

    2018-01-01

    Through the competitive selective growth process between {100}, {110}, and {111} grains during final annealing which is governed by the primary grain size and the surface segregation concentration of sulfur, the sharp {110} annealing texture can be developed in a C-and Al-free Fe-3%Si-0.1%Mn electrical steel. Generally, the selective growth of the {110} grains occurs actively under the low surface segregation concentration of sulfur. In spite of the surface energy disadvantage, the selective growth of a {hkl} grain can however occur, if the {hkl} grain size is larger than the critical grain size linearly proportional to the strip thickness.

  8. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong, E-mail: hhong@aps.anl.gov [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Tung, I. C. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Chang, S.-H.; Bhattacharya, A.; Fong, D. D. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-01-15

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques.

  9. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong; Tung, I. C.; Chang, S.-H.; Bhattacharya, A.; Fong, D. D.

    2016-01-01

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques

  10. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  11. Novel Dilute Bismide, Epitaxy, Physical Properties and Device Application

    Directory of Open Access Journals (Sweden)

    Lijuan Wang

    2017-02-01

    Full Text Available Dilute bismide in which a small amount of bismuth is incorporated to host III-Vs is the least studied III-V compound semiconductor and has received steadily increasing attention since 2000. In this paper, we review theoretical predictions of physical properties of bismide alloys, epitaxial growth of bismide thin films and nanostructures, surface, structural, electric, transport and optic properties of various binaries and bismide alloys, and device applications.

  12. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  13. From epitaxial growth of ferrite thin films to spin-polarized tunnelling

    International Nuclear Information System (INIS)

    Moussy, Jean-Baptiste

    2013-01-01

    This paper presents a review of the research which is focused on ferrite thin films for spintronics. First, I will describe the potential of ferrite layers for the generation of spin-polarized currents. In the second step, the structural and chemical properties of epitaxial thin films and ferrite-based tunnel junctions will be presented. Particular attention will be given to ferrite systems grown by oxygen-assisted molecular beam epitaxy. The analysis of the structure and chemistry close to the interfaces, a key-point for understanding the spin-polarized tunnelling measurements, will be detailed. In the third part, the magnetic and magneto-transport properties of magnetite (Fe 3 O 4 ) thin films as a function of structural defects such as the antiphase boundaries will be explained. The spin-polarization measurements (spin-resolved photoemission, tunnel magnetoresistance) on this oxide predicted to be half-metallic will be discussed. Fourth, the potential of magnetic tunnel barriers, such as CoFe 2 O 4 , NiFe 2 O 4 or MnFe 2 O 4 , whose insulating behaviour and the high Curie temperatures make it exciting candidates for spin filtering at room temperature will be described. Spin-polarized tunnelling experiments, involving either Meservey–Tedrow or tunnel magnetoresistance measurements, will reveal significant spin-polarizations of the tunnelling current at low temperatures but also at room temperatures. Finally, I will mention a few perspectives with ferrite-based heterostructures. (topical review)

  14. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  15. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  16. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  17. Cs/CsPbX3 (X = Br, Cl) epitaxial heteronanocrystals with magic-angle stable/metastable grain boundary

    Science.gov (United States)

    Zhang, Yumeng; Fan, Baolu; Wu, Wenhui; Fan, Jiyang

    2017-05-01

    Metal-semiconductor heteronanostructures are crucial building blocks of nanoscale electronic and optoelectronic devices. However, the lattice misfit remains a challenge in constructing heteronanostructures. Perovskite nanocrystals are superior candidates for constructing nanodevices owing to excellent optical, ferroelectric, and superconducting properties. We report the epitaxial growth of lattice-matched Cs/CsPbBr3 metal-semiconductor heteronanocrystals in a liquid medium. The well-crystallized ultrathin Cs layers grow epitaxially on the surfaces of colloidal CsPbBr3 nanocrystals, forming heteronanocrystals with interface diameters of several nanometers. Most of them are pseudomorphic with coherent interfaces free from dislocations, and the others exhibit discrete high-angle grain boundaries. The model based on the calculation of the elastic potential energy of the epilayer and analysis of the near-coincidence sites explains well the experimental result. The analysis shows that the excellent lattice match between the metal and the semiconductor ensures the ideal epitaxial-growth of both Cs/CsPbBr3 and Cs/CsPbCl3 heteronanocrystals. Such metal/semiconductor heteronanocrystals pave the way for developing perovskite-based nanodevices.

  18. Crystallographic dependent in-situ CBr4 selective nano-area etching and local regrowth of InP/InGaAs by MOVPE

    DEFF Research Database (Denmark)

    Kuznetsova, Nadezda; Kulkova, Irina; Semenova, Elizaveta

    2014-01-01

    Selective area etching and growth in the metalorganic vapor phase epitaxy (MOVPE) reactor on nano-scale structures have been examined. Using different mask orientations, crystallographic dependent etching of InP can be observed when carbon tetrabromide (CBr4) is used as an etchant. Scanning...

  19. Growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Itoi, Takaomi [Graduate School of Engineering, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Yoshikawa, Akihiko, E-mail: yoshi@faculty.chiba-u.jp [Center for SMART Green Innovation Research, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522 (Japan); Graduate School of Engineering, Kogakuin University, Hachioji, Tokyo 192-0015 (Japan)

    2016-04-11

    The growth kinetics and structural perfection of (InN){sub 1}/(GaN){sub 1–20} short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN){sub 1}/(GaN){sub 4} SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  20. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed